JP2020532142A - 一体化されたエピタキシと予洗浄システム - Google Patents

一体化されたエピタキシと予洗浄システム Download PDF

Info

Publication number
JP2020532142A
JP2020532142A JP2020512543A JP2020512543A JP2020532142A JP 2020532142 A JP2020532142 A JP 2020532142A JP 2020512543 A JP2020512543 A JP 2020512543A JP 2020512543 A JP2020512543 A JP 2020512543A JP 2020532142 A JP2020532142 A JP 2020532142A
Authority
JP
Japan
Prior art keywords
chamber
substrate
processing
gas
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020512543A
Other languages
English (en)
Other versions
JP2020532142A5 (ja
JP7029522B2 (ja
Inventor
ララ ホーリルチャク
ララ ホーリルチャク
シューベルト エス シュー
シューベルト エス シュー
トゥシャール マンドレカール
トゥシャール マンドレカール
エロール シー サンチェス
エロール シー サンチェス
キン ポン ロー
キン ポン ロー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020532142A publication Critical patent/JP2020532142A/ja
Publication of JP2020532142A5 publication Critical patent/JP2020532142A5/ja
Priority to JP2022023955A priority Critical patent/JP7348975B2/ja
Application granted granted Critical
Publication of JP7029522B2 publication Critical patent/JP7029522B2/ja
Priority to JP2023145857A priority patent/JP2023174653A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Led Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本開示の諸実施態様は一般に、少なくとも1つの気相エピタキシチャンバに結合された移送チャンバと、移送チャンバに結合されたプラズマ酸化物除去チャンバとに関し、このプラズマ酸化物除去チャンバは、混合チャンバおよびガス分配器を有するリッドアセンブリと;リッドアセンブリの一部分を貫通して形成され、混合チャンバと流体連結している第1のガス入口と;リッドアセンブリの一部分を貫通して形成され、混合チャンバと流体連結している第2のガス入口と;リッドアセンブリの一部分を貫通して形成され、混合チャンバと流体連結している第3のガス入口と;基板支持体であって、基板支持面;および基板支持面の凹部に配置され、基板支持体を介してリフトアクチュエータに結合されたリフト部材を有する、基板支持体とを含み;諸実施態様はさらに、移送チャンバに結合されたロードロックチャンバに関する。

Description

本開示の諸実施態様は一般に、基板の表面を洗浄する装置および方法に関する。
集積回路は、シリコンおよび他の半導体基板の中および上に形成される。単結晶シリコンの場合、基板は、溶融シリコンの槽からインゴットを成長させ、次に、その固体インゴットを鋸切断して多数の基板にすることによって作られる。次いで、エピタキシャルシリコン層が単結晶シリコン基板上に形成されて、ドープされることも非ドープにされることもある無欠陥シリコン層を形成することができる。トランジスタなどの半導体デバイスをこのエピタキシャルシリコン層から製造することができる。形成されたエピタキシャルシリコン層の電気的特性は一般に、単結晶シリコン基板の特性よりも良い。
単結晶シリコンおよびエピタキシャルシリコン層の表面は、典型的な基板製造周囲条件に曝された場合に汚染の影響を受けやすい。たとえば、天然の酸化物層がエピタキシャル層の堆積の前に、基板のハンドリングにより、および/または基板処理設備内の周囲環境に曝されることにより、単結晶シリコン表面に生じることがある。加えて、周囲環境に存在する炭素種および酸素種などの異種汚染物質が単結晶表面に堆積することがある。天然の酸化物層または汚染物質が単結晶シリコン表面に存在すると、その後に単結晶表面に形成されるエピタキシャル層の品質に悪影響を及ぼす。したがって、基板を予洗浄することが、エピタキシャル層が基板上に成長される前に表面酸化または他の汚染物質を除去するために望ましい。しかし、予洗浄処理は、1つまたは複数の独立型の真空処理チャンバ内で行われることが多く、これにより、基板ハンドリング時間および基板を周囲環境に曝す機会が増加し得る。
したがって、当技術分野では、エピタキシャル堆積処理を実行する前に基板表面を洗浄するための、基板をハンドリングする時間および周囲環境に曝すことを最小限にする、改善された基板処理システムを提供することが必要とされている。
本開示では、少なくとも1つの膜形成チャンバに結合された移送チャンバと;移送チャンバに結合されたプラズマ酸化物除去チャンバであって、遠隔プラズマ源、ならびに冷却チャネルおよび加熱器を含む基板支持体を備える、プラズマ酸化物除去チャンバと;移送チャンバに結合されたロードロックチャンバとを備える、処理システムを記載する。
本明細書にはまた、基板を処理する方法が記載され、この方法は、NH3、HF、およびラジカルを含む処理ガスに基板を曝すことを含む処理によって基板から酸化物を除去すること;および気相エピタキシ処理によって基板上に膜を形成することを含む。
本明細書にはまた、少なくとも1つの気相エピタキシチャンバに結合された第1の移送チャンバと;第1の移送チャンバに結合されたプラズマ酸化物除去チャンバとを備える処理装置であって、このプラズマ酸化物除去チャンバが、混合チャンバおよびガス分配器を有するリッドアセンブリと;リッドアセンブリの一部分を貫通して形成され、混合チャンバと流体連結している第1のガス入口と;リッドアセンブリの一部分を貫通して形成され、混合チャンバと流体連結している第2のガス入口と;リッドアセンブリの一部分を貫通して形成され、混合チャンバと流体連結している第3のガス入口と;基板支持体であって、基板支持面、基板支持体に埋め込まれた冷却チャネルおよび1つまたは複数の抵抗加熱器、ならびに基板支持面の凹部に配置され、基板支持体を介してリフトアクチュエータに結合されたリフト部材を有する、基板支持体とを含み、処理装置がさらに、第1の移送チャンバに結合されたロードロックチャンバを備える処理装置が記載される。
上記で簡潔に要約され、以下でより詳細に論じられる本開示の実施態様は、添付の図面に表された本開示の説明的な諸実施態様を参照して理解することができる。しかし、本開示では、その他の同様に効果的な実施態様を認めることができるので、添付の図面は、本開示の典型的な実施態様を示すのみであり、したがって、本開示の範囲を限定するものとみなされるべきでないことに留意されたい。
本開示の1つの実施態様による処理シーケンスを示す図である。 本開示の1つの実施態様による、図1の洗浄処理を行うために使用される洗浄チャンバの断面図である。 図2Aの処理チャンバの一部分の拡大図である。 1つの実施形態による基板支持体の拡大断面図である。 エピタキシャル堆積処理を行うための単一基板化学気相堆積(CVD)リアクタを示す図である。 エピタキシャル堆積処理を行うための裏側加熱処理チャンバの概略断面図である。 エピタキシャル堆積処理を行うためのCVDチャンバの概略断面図である。 本明細書に記載の洗浄処理および堆積処理を行うための例示的な真空処理システムを示す図である。
理解しやすいように、可能な場合には、各図に共通の同じ要素を指定するのに同じ参照番号を使用した。図は原寸に比例していなく、分かりやすくするために簡略化されていることがある。1つの実施態様の要素および特徴は、別に詳述されていなくても他の実施態様に有利に組み込まれることが企図されている。
図1は、本開示の1つの実施態様による処理シーケンス100を示す。ボックス102で酸化物は、洗浄処理を用いて半導体基板の表面から除去される。基板はシリコン含有材料を含み、その表面はシリコン(Si)、ゲルマニウム(Ge)またはシリコンゲルマニウム合金(SiGe)などの材料を含み得る。いくつかの実施態様では、Si、Ge、またはSiGe表面には、天然の酸化物層などの酸化物層、および汚染物質が配置され得る。エピタキシャル堆積処理が酸化物、および炭素含有汚染物質などの汚染物質の影響を受けやすいことにより、ほとんどの典型的な洗浄室環境に数時間曝す結果として生じる表面汚染は、累積した酸化物および汚染物質がその後に形成されるエピタキシャル層の品質に影響を及ぼすのにかなり十分なものになり得る。
基板表面は、酸化物除去処理および汚染物質除去処理をすることによって洗浄することができる。1つの実施態様では、酸化物は、洗浄処理(ボックス102)を用いて基板の表面から除去され、炭素含有汚染物質などの汚染物質は、たとえば還元処理を用いて基板の表面から除去される。洗浄処理はプラズマ処理を含み得る。プラズマ処理では、水素(H2)、ヘリウム(He)、アルゴン(Ar)、アンモニア(NH3)、NF3、HFなどのフッ素含有ガス、またはこれらのガスの任意の組み合わせを含むガスから形成されたプラズマを使用することができる。プラズマは、誘導結合または容量結合することができ、あるいはプラズマは、処理チャンバ内でマイクロ波源によって形成することができる。処理チャンバは、基板が配置されている処理領域から空間的に隔離されている遠隔プラズマチャンバとすることができる。本明細書に記載の用語の「空間的に分離された」とは、図2Aに示されたブロッカプレート228およびガス分配器230などの1つまたは複数のチャンバ部品によって、またはさらには遠隔プラズマチャンバと基板処理チャンバの間の導管によって、基板処理領域から分離されているプラズマ形成領域を指すことがある。
1つの実施態様では、プラズマは容量結合プラズマ源を使用して形成される。プラズマからのラジカルは、基板の上方に配置されたガス分配プレートを通過することができ、この基板は、摂氏約5度から摂氏約75度、たとえば摂氏約10度などの、摂氏約5度から摂氏約100度の温度の支持体の上に置かれている。処理圧力は、大気中の値より低い圧力、たとえば、約2トールから約10トールまでの間など、約500ミリトールから約20トールとすることができる。ラジカルは、基板に達してから表面酸化物と反応する。プラズマエッチング処理を行うように適合させることができる例示的な処理チャンバには、SiCoNi(商標)またはSelectra(商標)チャンバが含まれ、これらは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能である。他の製造者によるチャンバもまた使用することができる。
1つの例示的な実施態様では、プラズマ洗浄処理は遠隔プラズマ支援ドライ洗浄処理であり、基板をHFおよびNH3(任意選択でこれらのガスの1つまたは複数のプラズマ副生成物を含む)に同時に曝すことが伴う。アルゴンおよびヘリウムなどの不活性ガスもまた使用することができる。不活性/HF/NH3の3つのガスのいずれか1つ、または組み合わせが上述のエネルギーの作用を受けて、そのプラズマが形成され得る。このプラズマは処理チャンバに充填するために他のガスと混合される。あるいはプラズマと他のガスとが別々の経路をたどって処理チャンバに供給されて、処理チャンバに到着したときに混合されてもよい。1つの例では、プラズマ洗浄処理は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なSiCoNi(商標)処理に類似していること、またはこれを含むことがある。
遠隔プラズマ処理は、酸化物層に対しほとんど共形および選択性にすることができ、したがって、シリコン、ゲルマニウム、または窒化物の層を、これらの層がアモルファス、結晶、または多結晶であるかどうかに関係なく、すぐにはエッチングしない。HF/NH3プラズマ洗浄処理の酸化物対シリコンまたはゲルマニウムの選択性は、少なくとも約3:1で、通常は5:1またはこれよりも良く、場合によっては10:1である。HF/NH3プラズマ洗浄処理はまた、酸化物対窒化物の選択性が高い。窒化物に対するHF/NH3プラズマ洗浄処理の選択性は、少なくとも約3:1で、通常は5:1またはこれよりも良く、場合によっては10:1である。
いくつかの実施形態では、遠隔プラズマ処理中、または遠隔プラズマ処理の実行後のいずれかに、ある量の熱エネルギーを処理基板に加えて、発生した副生成物がもしあればそれを除去する助けにすることができる。いくつかの実施形態では、熱エネルギーが輻射、対流および/または伝導熱伝達プロセスによって供給され、これにより、基板面で見られる望ましくない副生成物を昇華させる。
任意選択のボックス103で、第2の洗浄処理が、基板の表面から炭素汚染物質を除去することによって行われてもよい。ボックス106で、エピタキシャル層が基板の表面に形成される。上述のように前洗浄されている場合、基板の表面は均一な酸化物であり、汚染物質がなく、そのため、その後に基板の表面に形成された層の品質が改善する。例示的なエピタキシャル処理には、摂氏約800度未満、たとえば摂氏約450〜650度の温度で行われる選択的エピタキシャル処理があり得る。エピタキシャル層は、高温化学気相堆積(CVD)プロセスを用いて形成することができる。エピタキシャル層は、結晶シリコン、ゲルマニウム、もしくはシリコンゲルマニウム、またはIII−V族化合物もしくはII−VI族化合物などの任意の適切な半導体材料とすることができる。1つの例示的な熱CVDプロセスでは、クロロシランSiHxCl4-x(モノ、ジ、トリ、テトラ)、Six2X+2シラン(シラン、ジシラン、トリシランなど)、ゲルマンGex2x+2(ゲルマン、ジゲルマンなど)、塩化水素HCl、塩素ガスCl2、またはこれらの組み合わせなどの処理ガスが使用されて、エピタキシャル層が形成される。処理温度は、摂氏約300度から摂氏約600度などの、摂氏800度未満で、たとえば摂氏約450度であり、処理圧力は5トールから600トールまでの間である。エピタキシャル堆積処理を行うために使用できる例示的な処理チャンバは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なCentura(商標)Epiチャンバである。他の製造者によるチャンバもまた使用することができる。
ボックス102、103、および106は、図9に示された処理システムなどの1つの処理システムで実行することができ、以下でさらに説明される。任意選択の熱処理がまた、106の層形成処理を実行する前に、残留副生成物または汚染物質がもしあればそれを除去するために、また表面欠陥がもしあれば表面をアニールしてそれを除去するために、処理102および103の間または後に行われてもよい。このようなアニールは、任意選択でアルゴンおよびヘリウムなどの不活性ガスを含む水素雰囲気のもとで行うことができ、また摂氏400〜800度の温度、および1トールから300トールの圧力で行うことができる。
図2Aは、ボックス102に見られる処理のうちの少なくとも一部を行うように適合されている、すなわち、酸化物などの汚染物質を基板の表面から除去するように構成されている、処理チャンバ200の断面図である。図2Bは、図2Aの処理チャンバ200の一部分の拡大図である。
処理チャンバ200は、熱もしくはプラズマベースの洗浄処理、および/またはプラズマ支援ドライエッチング処理を行うのに特に有用であり得る。処理チャンバ200は、チャンバ本体212、リッドアセンブリ214、および支持体アセンブリ216を含む。リッドアセンブリ214は、チャンバ本体212の上端部に配置され、支持体アセンブリ216は、チャンバ本体212の中に少なくとも部分的に配置される。真空システムを、処理チャンバ200からガスを除去するために使用することができる。この真空システムは、チャンバ本体212に配置された真空ポート221に結合されている真空ポンプ218を含む。処理チャンバ200はまた、処理チャンバ200内の処理を制御する制御器202を含む。
リッドアセンブリ214は、前駆体ガスおよび/またはプラズマをチャンバ200内の処理領域222に供給するように構成された、複数の積み重ね構成要素を含む。第1のプレート220は、第2のプレート240に結合される。第3のプレート244は、第2のプレート240に結合される。リッドアセンブリ214は、リッドアセンブリ214の中に形成された円錐形チャンバ242にプラズマを供給するための電源224に連結することができる。リッドアセンブリ214はまた、蓋スタックの上流にプラズマを生成する遠隔プラズマ源に連結することもできる。遠隔プラズマキャビティ(たとえば、図2A〜2Bのアイテム222、220、240)が、ガス源252に結合される(またはガス源252が、遠隔プラズマ源224が不在の状態でリッドアセンブリ214に直接結合される)。ガス源252には、ヘリウム、アルゴン、または他の不活性ガスを供給するように構成されているガス源が含まれ得る。いくつかの構成では、ガス源252から供給されるガスは、遠隔プラズマ源224を使用することによって活性化して、リッドアセンブリ214に供給されるプラズマにすることができる。代替実施形態では、ガス源252は処理ガスを供給することができ、この処理ガスは、処理チャンバ200内に配置されている基板の表面に導入される前に遠隔プラズマ源224によって活性化することができる。図2Bを参照すると、円錐形チャンバ242は開口246を有し、この開口により、形成されたプラズマが遠隔プラズマ源224から、リッドアセンブリ214の第4のプレート250に形成された容積部248まで流れることができる。
リッドアセンブリ214のいくつかの構成では、プラズマ源から送出されたエネルギーを印加することによって、プラズマが円錐形チャンバ242の中に生成される。1つの例では、このエネルギーは、リッドアセンブリ214にバイアスをかけて、RF、VHFおよび/またはUHFエネルギーを円錐形チャンバ242に入っているガスに容量結合することによって供給することができる。このリッドアセンブリ214の構成では、遠隔プラズマ源224が使用されなくても、リッドアセンブリ214内に取り付けられなくてもよい。
第4のプレート250に形成されている中心導管270が、容積部248から供給されたプラズマ生成種をリッドアセンブリ214の第6のプレート268に形成された混合チャンバ266まで、第5のプレート254を通して供給するように適合されている。中心導管270は、第5のプレート254の開口264を介して混合チャンバ266と連通している。開口264は、中心導管270の直径よりも小さい、大きい、または同じ直径を有し得る。図2Bの実施形態では、開口264は中心導管270と同じ直径を有する。
第4のプレート250はまた、ガスを混合チャンバ266に供給するように構成されている複数の入口256および258を含む。入口256は第1のガス源260に結合され、入口258は第2のガス源262に結合されている。第1のガス源260および第2のガス源262は、処理ガスならびに、キャリアガスとして利用される不活性ガス(たとえば、アルゴンおよび/またはヘリウムなどの希ガス)を含み得る。第1のガス源260は、アンモニア(NH3)ならびにアルゴンを含み得る。第2のガス源262は、フッ素含有ガス、水素含有ガス、またはこれらの組み合わせを収容し得る。1つの例では、第2のガス源262は、フッ化水素(HF)ならびにアルゴンを収容し得る。
図2Bに示されているように、いくつかの構成では、入口256は、円筒形チャネル259(想像線で図示)を介して混合チャンバ266と、プレート254に形成された複数の孔265とに結合される。入口258は、円筒形チャネル257(想像線で図示)を介して混合チャンバ266と、第5のプレート254に形成された複数の孔267とに結合される。プレート254に形成された孔265、267は一般に、各ガスの均一な流れを可能にするように寸法設定され、これらのガスは、そのそれぞれのガス源260、262から混合チャンバ266の中へ供給される。1つの構成では、孔267は、第4のプレート250に形成された円筒形チャネル257の、対向する側壁によって画定された開口の幅よりも小さい直径を有する。孔267は通常、混合チャンバ266の中への流体流が均一になるように、円筒形チャネル257の中心線の周囲に分布している。1つの構成では、孔265は、第4のプレート250に形成された円筒形チャネル259の、対向する側壁によって画定された開口の幅よりも小さい直径を有する。孔265は通常、混合チャンバ266の中への流体流が均一になるように、円筒形チャネル259の中心線の周囲に分布している。
入口256および258は、第4のプレート250を横に貫通し第5のプレート254へ向きを変えてこれを混合チャンバ266まで貫く、それぞれの流体流路を提供する。リッドアセンブリ214はまた、第7のプレートすなわち第1のガス分配器272を含み、このガス分配器は、シャワーヘッドなどのガス分配プレートとすることができ、リッドアセンブリ214で混合された様々なガスが、リッドアセンブリに形成された穿孔274を通して流される。穿孔274は、混合チャンバ266から第1のガス分配器272を通過する流路を提供するために、混合チャンバ266と流体連結している。図2Aに戻ると、ブロッカプレート228と、シャワーヘッドなどのガス分配プレートであり得る第2のガス分配器230などのガス分配プレートとが、リッドアセンブリ214の下に配置されている。
あるいは、別の洗浄処理が基板面を洗浄するために利用されてもよい。たとえば、HeおよびNF3を含有する遠隔プラズマが、リッドアセンブリ214を通して処理チャンバ200の中に導入されてもよく、NH3が、チャンバ本体212の側面に配置されガス源(図示せず)に結合されている別個のガス入口225を経由して、処理チャンバ200の中に直接注入されてもよい。
支持体アセンブリ216は、処理中にその上に基板210を支持するための基板支持体232を含み得る。基板支持体232は、チャンバ本体212の底部に形成された中心設置の開口を通って延びる軸236によって、アクチュエータ234に結合することができる。アクチュエータ234は、軸236まわりの真空漏洩を防止するベローズ(図示せず)によって、チャンバ本体212に対して柔軟に封止することができる。アクチュエータ234は、基板支持体232を、チャンバ本体212内において処理位置とローディング位置の間で垂直に移動させる。このローディング位置は、チャンバ本体212の側壁に形成されたトンネルの開口(図示せず)のほんの少し下にある。
基板支持体232は、平坦な、または実質的に平坦な基板支持面を、その面で処理されるべき基板を支持するために有する。基板支持体232は、軸236により基板支持体232に結合されているアクチュエータ234によって、チャンバ本体212内で垂直に移動することができる。いくつかのステップでは、基板支持体232はリッドアセンブリ214の近傍の位置まで上昇させて、処理される基板210の温度を制御することができる。このように、基板210は、第2のガス分配器230もしくは別の輻射源から発せられた輻射によって、または介在ガスによる第2のガス分配器230からの対流もしくは伝導によって、加熱することができる。いくつかの処理ステップでは、基板をリフトピン251上に配置して、アニーリングステップを実行するなどの、追加の熱処理ステップを実行することができる。
図2Cは、図2Aの基板支持体232の拡大断面図である。基板支持体232は、流体供給導管241および流体戻り導管243と流体連結している熱制御プレナム235を含み、導管241および243のそれぞれが軸236に通して配置されている。熱制御プレナム235は、冷却流体を流体供給導管241によって熱制御プレナム235の中へ、また流体戻り導管243によって外へと循環させることによって、基板支持体232の冷却機能部になり得る。
基板支持体232はまた、複数の加熱器237および239を含み得る。複数の加熱器は、この実施形態では、第1の加熱器237および第2の加熱器239を含む。第1の加熱器237と第2の加熱器239は、実質的に共平面の関係で基板支持体232内に、これらの加熱器と基板支持体面の間の熱結合を可能にする位置で、配置される。ゾーン温度制御を行うために、第1の加熱器237は基板支持体232の周辺部に配置され、第2の加熱器239は基板支持体232の中心領域に配置される。第1の加熱器237および第2の加熱器239のそれぞれは、軸236に通して配置されたそれぞれの電力導管249および247によって電源(図示せず)に結合されている、抵抗加熱器とすることができる。
動作の際、温度制御は、温度制御プレナム235と加熱器237および239との並行動作によって行うことができる。熱制御プレナム235には、上述のように冷却流体を供給することができ、抵抗加熱器としての加熱器237および239には電力を供給することができる。このようにして、別個の制御回路を、一方のアイテム、たとえば加熱器237および239では速い応答が得られるように、また熱制御プレナム235では遅い応答が得られるように、またはその逆に調整することができる。少なくとも、別々の制御パラメータが熱制御プレナム235、第1の加熱器237、および第2の加熱器239に適用されて、最適化ゾーン温度制御システムが達成され得る。
図2Cに示されるように、別個のリフト部材245が支持体アセンブリ216に含まれ得る。基板が基板支持面に載っているときに部材245のリフトピン251を収容するために、凹部が基板支持面に設けられてもよい。リフト部材245は、軸236に通して配置されたリフト部材245の延長部によって、リフトアクチュエータ255に結合することができる。リフトアクチュエータは、リフト部材245を垂直に移動させて、基板を基板支持面から第1のガス分配器272に向けて持ち上げることができる。リフト部材245は、開輪または閉輪などの輪とすることができ、この輪は、U形、円形、馬蹄形、または任意の便宜的な形でよい。リフト部材245は、基板を持ち上げるときの構造強度が得られる厚さを有する。1つの例では、リフト部材はセラミック材料で作られ、約1mmの厚さである。
図3は、1つの実施形態による、石英製処理チャンバまたは反応チャンバ305を含む、単一基板化学気相堆積(CVD)リアクタ300を示す。リアクタ300は、本明細書で開示されたSiGe膜およびGe膜を含む、いくつかの異なる材料のCVDに利用することができる。さらに、図示のリアクタ300は、以下の議論で明らかになるように、同一のチャンバ305内で複数の堆積ステップを遂行することができる。
リアクタ300は全体的に、長方形の箱の形状を有し得る。熱エネルギーを、感知できるほどには処理チャンバ305の壁に吸収されることなく処理チャンバ305に供給するために、複数の輻射熱源が処理チャンバ305の外側に支持されている。諸実施形態は、半導体基板を処理するための「冷えた壁」のCVDリアクタに関連して説明されているが、本明細書に記載の方法には、誘導加熱または抵抗加熱を使用するものなど、他の加熱/冷却システムと組み合わせての有用性があることを理解されたい。
輻射熱源は、複数の細長い加熱要素310(この図では1つだけ示されている)からなる上方加熱アセンブリを備える。加熱要素310は、ランプなどの、細長い管型輻射加熱要素である。加熱要素310は、間隔を置いた平行関係に配置され、処理チャンバ305を通り抜ける反応物質ガス流路(矢印312で示す)とも実質的に平行になっている。下方加熱アセンブリは、処理チャンバ305の下に置かれ加熱要素310に対し横向きの、ランプなどの、同様の加熱要素315を備える。輻射熱の一部分が、上の加熱要素310および下の加熱要素315のそれぞれ上方および下方の粗鏡面反射鏡プレート(図示せず)によって、処理チャンバ305の中に拡散反射される。加えて、複数のスポットランプ320が基板支持構造体(以下で説明)の下側に集中熱を供給して、処理チャンバ305の底部を貫通して延びる冷えた支持構造体によって生じるヒートシンク効果を相殺する。いくつかの実施形態では、加熱要素310、315のそれぞれは、高輝度タングステンフィラメントランプであり、感知できるほどには吸収されずに処理チャンバ305の壁を経由して伝達される輻射熱エネルギーを生成する。半導体処理機器の技術分野では知られているように、様々な加熱要素310、315、320の電力は、温度センサに応答して個別に、またはグループ化ゾーン内で制御することができる。
シリコン基板325を含む加工品が図で、処理チャンバ305内で基板支持体構造330の上に支持されている。図示の支持体構造330は、基板325が載っている基板ホルダ332と、支持体スパイダ334とを含む。スパイダ334は軸336に取り付けられ、この軸は、チャンバ下方壁を貫通して延びる管338の中を下向きに延びる。管338は、基板の処理中に流れることができるパージガスの供給源と連通している。パージガスを利用して、処理ガスが処理チャンバ305の下方区域に入ることを抑制することができる。パージガスはまた、基板325の下に水平に流れることもできる。
複数の温度センサが、基板325の近傍に置かれている。温度センサは、光高温計または熱電対など、様々な形を取ることができる。図示の実施形態では、温度センサは、任意の適切な方法で基板ホルダ332の下に吊り下げられた、第1すなわち中心の熱電対340を含む、熱電対を備える。中心熱電対340は、基板ホルダ332の近傍でスパイダ334を通過する。リアクタ300はさらに、前縁部すなわち前部熱電対345、後縁部すなわち後部熱電対350、および側部熱電対(図示せず)を含む、複数の第2すなわち周辺の熱電対をやはり基板325の近傍に含む。周辺熱電対のそれぞれは、基板ホルダ332および基板325を取り囲むスリップリング352の中に収容される。中心および周辺の熱電対のそれぞれは温度制御器に接続され、この温度制御器は、熱電対の読み取り値に応じて様々な加熱要素310、315、320の電力を設定する。
周辺熱電対を収容することに加えて、スリップリング352は、高温処理中に輻射熱を吸収し放出する。スリップリング352を利用して、基板縁部近くの領域において体積に対する表面積の比が大きいことにより生じることが分かっている現象である、基板縁部の大きい熱損失または熱吸収を補償することができる。縁部損失を最小限にすることによって、スリップリング352は、基板325全体にわたる半径方向の温度不均一性のリスクを低減することができる。スリップリング352は、任意の適切な手段で吊り下げることができる。たとえば、図示のスリップリング352は、前部チャンバ仕切り356から延びる支持体部材354と、後部チャンバ仕切り358との上に載っている。仕切り356、358は、望ましくは石英で造られる。一部の装置では、後部仕切り358を省くことができる。
図示の処理チャンバ305は、反応物質およびキャリアガスを注入するための入口ポート360を含み、基板325もまた、この入口ポートから受け入れることができる。出口ポート364が処理チャンバ305の反対側にあり、基板支持体構造330が入口ポート360と出口ポート364の間に位置する。
入口構成要素365が処理チャンバ305に嵌合され、入口ポート360を取り囲むように適合されており、基板325を挿入できる水平に細長いスロット367を含む。全体的に垂直の入口368がガス源からのガスを受け入れ、このようなガスをスロット367および入口ポート360に送る。図3には個別に示されていないが、ガス源は、水素、シリコン前駆体およびゲルマニウム前駆体と、Siおよび/またはGe堆積の前の冷却ステップの間中に表面活性化合物をチャンバに流し込むステップを含む本明細書に記載の一連のステップを制御する、制御器(たとえば、あらかじめプログラムされたコンピュータ)とを含み得る。入口368は、単一基板リアクタのガス流の均一性を最大にするように設計されたガス注入器を含み得る。
出口構成要素370は同様に、排気開口372が出口ポート364と整合し排気導管374につながるように処理チャンバ305に取り付く。その結果、導管374は、処理ガスを処理チャンバ305から排気する適切な真空手段(図示せず)と連通することができる。1つの実施形態では、処理ガスは、処理チャンバ305および下流スクラバ(図示せず)から引き出される。ポンプまたはファンが好ましくは、処理チャンバ305から処理ガスを引き出す助けになるように、また減圧処理のために、すなわち、以下で論じるように、大気圧よりは低いが超高真空圧範囲よりは高くにチャンバを排気するために、含まれる。
図示のリアクタ300はまた、リアクタ300の上流に置かれた励起種の供給源376も含む。図示の実施形態の励起種供給源376は、マグネトロン電力発生器と、ガスライン378に沿ったアプリケータとを含む遠隔プラズマ発生器を備える。図示の実施形態では、マグネトロンからのマイクロ波エネルギーは、ガスライン378に沿ったアプリケータ内で、流れるガスと結合される。前駆体ガス源380が、励起種供給源376への導入のためにガスライン378に結合される。キャリアガス源382もまた、ガスライン378に結合される。1つまたは複数の分岐ライン384もまた、追加反応物質用に設けることができる。当技術分野では知られているように、ガス源380、382は、反応物質種の形状および揮発性に応じて、ガスタンク、バブラなどを備えることができる。各ガスラインは、供給源376に導入されてから処理チャンバ305に入るキャリアと反応物質種の相対量を選択できるように、図示の別個の質量流量制御器(MFC)およびバルブを備えることができる。励起種供給源376は、プラズマ促進堆積に使用できるが、チャンバ305内に基板がないときに過剰堆積のチャンバ305を洗浄するための、エッチャントを励起するのに利用することもできる。
200mm基板を処理するように設計された単一基板処理チャンバ305の総容積容量は、約20リットル未満など、約30リットル未満であり、1つの実施形態では、約10リットル未満である。図示のチャンバ305は、約7.5リットルの容量を有する。図示の処理チャンバ305は、仕切り356、358、基板ホルダ332、およびリング352と、管338から流れるパージガスとによって仕切られているので、処理ガスが流れる有効容量は、総容量のおよそ半分である(たとえば、図示の実施形態では約3.77リットル)。単一基板処理チャンバ305の容量は、処理チャンバ305が収容するように設計されている対象の基板のサイズによって異なり得ることを理解されたい。たとえば、300mm基板用の単一基板処理チャンバ305は、約60リットルなど、約100リットル未満の容量を有し、1つの実施形態では約30リットル未満である。1つの例では、300mm基板用の単一基板処理チャンバ305は、約24リットルの総容量を有し、有効容量が約12リットルである。
Ge含有層の堆積温度は通常、摂氏(C)約250度から約600度C、たとえば約300度Cから約450度Cの範囲内である。たとえば、前駆体の熱安定性が低下するにつれて、低い堆積温度がより適切になる傾向がある。単一基板処理チャンバ305内の総圧力は、10-5トールから約800トールの範囲内である。いくつかの実施形態では、圧力は、約1トールから約200トールなど、約200ミリトールから約760トールであり、たとえば約1トールから約60トールである。
図4は、1つの実施形態による、低圧エピタキシャル堆積用に構成された裏側加熱処理チャンバ400の概略断面図を示す。処理チャンバ400を使用して、基板325の上面への材料の堆積を含む、1つまたは複数の基板の処理をすることができる。処理チャンバ400は、構成要素の中でも特に、処理チャンバ400内に配置された基板支持体406の裏側404を加熱するための、輻射加熱ランプ402のアレイを含み得る。基板支持体406は、図示の円盤状基板支持体406とすることができ、あるいはリング状基板支持体(中心開口を有する)とすることができ、この支持体は基板を基板の縁部から支持して、ランプ402の熱輻射に基板を曝しやすくする。
基板支持体406は、処理チャンバ400内の上ドーム428と下ドーム414の間にある。上ドーム428、下ドーム414、ならびに上ドーム428と下ドーム414の間に配置されているベースリング436は、全体で処理チャンバ400の内部領域を画定する。基板325(原寸に比例していない)は、処理チャンバ400の中に移送され、この図では示されていないローディングポートを経由して基板支持体406の上に置かれる。
基板支持体406は中心軸432によって支持されており、この中心軸は基板325をローディング中およびアンローディング中に、および場合によっては基板325の処理中に、垂直方向434に動かす。基板支持体406は、上昇させた処理位置で図4に示されているが、中心軸432に結合されたアクチュエータ(図示せず)によって、処理位置の下のローディング位置まで垂直に移ることができる。処理位置の下に下ろされると、リフトピン(図示せず)が基板325に接触し、基板325を基板支持体406から引き上げる。次に、ロボット(図示せず)が処理チャンバ400に入って基板325に係合し、基板を処理チャンバからローディングポートに通して移動させる。基板支持体406は次に、処理位置まで垂直に駆動されて基板325を、そのデバイス面416を上向きにして、基板支持体406の前面410に置くことができる。
基板支持体406は処理位置にあるが、処理チャンバ400の内部容積部を基板325の上の処理ガス領域456と、基板支持体406の下のパージガス領域458とに分割する。基板支持体406は、処理中に中心軸432によって回転されて熱の影響と、処理チャンバ400内の処理ガス流の空間的異常とが最小限にされ、したがって、基板425の均一な処理が容易になる。基板支持体406は、ランプ402からの輻射エネルギーを吸収して基板325に輻射エネルギーを伝えるように、炭化ケイ素または炭化ケイ素でコーティングされたグラファイトから造ることができる。
一般に、上ドーム428の中心窓部分および下ドーム414の底部は、石英などの光学的に透明な材料から造られる。上ドーム428の厚さおよび曲率は、処理チャンバ内の均一な流れ均一性のより平らな幾何形状が得られるように構成することができる。
ランプ402のアレイは、下ドーム414に隣接して、またその下に、中心軸432のまわりに特定の最適な所望の方法で、処理ガスが通過するときに基板325の様々な領域の温度を個々に制御するように配置することができ、これにより、基板325の上面への材料の堆積が容易になる。ここでは詳細に論じないが、堆積される材料には、ガリウム砒素、ガリウムナイトライド、またはアルミニウムガリウムナイトライドが含まれ得る。いくつかの実施形態では、ランプ402などの輻射加熱ランプのアレイは、上ドーム428の上に配置されることがある。
ランプ402は、基板325を約200度Cから約1600度Cの範囲内の温度に加熱するように構成された電球を含むように構成することができる。各ランプ402は、電力を各ランプ402に供給する電力分配ボード(図示せず)に結合される。ランプ402はランプヘッド445の中に配置され、このランプヘッドは、処理中または処理後に、たとえばランプ402の間にあるチャネル449に冷却流体を導入することによって、冷却することができる。ランプヘッド445は、ランプヘッド445が下ドーム414に近接していることに一部は起因して、下ドーム414を伝導的および輻射的に冷却する。ランプヘッド445はまた、ランプ壁と、ランプまわりのリフレクタの壁(図示せず)とを冷却することもできる。あるいは、下ドーム414は、対流的手法によって冷却することもできる。適用例によって、ランプヘッド445は下ドーム414に接触することもしないこともある。
円形遮蔽体467が任意選択で基板支持体406のまわりに配置され、ライナアセンブリ463で取り囲まれてもよい。遮蔽体467は、処理ガスの予加熱ゾーンを形成しながら、ランプ402から基板325のデバイス側416への熱/光ノイズの漏洩を防止または最小化する。遮蔽体467は、CVD SiC、SiCでコーティングされた焼結グラファイト、成長SiC、不透明石英、もしくはコーティングされた石英から、または処理およびパージガスによって分解された化学物質に耐性がある任意の同様の適切な材料から作ることができる。
ライナアセンブリ463は、ベースリング436の内周部の中に入れ子にされるか内周部に取り囲まれるように寸法設定される。ライナアセンブリ463は、処理容積部(すなわち、処理ガス領域456およびパージガス領域458)を処理チャンバ400の金属壁から遮蔽する。金属壁は前駆体と反応し、処理容積部の汚染を引き起こすことがある。ライナアセンブリ463は単一本体として図示されているが、ライナアセンブリ463は、構成が異なる1つまたは複数のライナを含み得る。
基板支持体406から基板325を裏側加熱する結果、基板支持体の温度測定/制御のために光高温計418の使用を実施することができる。このようにして基板前側410を加熱することは放射率に無関係であるので、光高温計418による温度測定はまた、基板325のデバイス側416に対して、放射率が未知でも行うことができる。その結果、光高温計418は、光高温計418に直接到達するランプ402からの背景輻射が最小限の状態で、基板支持体406から熱を伝える高温の基板325からの輻射だけを検知することができる。
リフレクタ422が、基板325から輻射する光を反射して基板325に返すように、上ドーム428の外側に任意選択で配置されてもよい。リフレクタ422は、クランプリング430を使用して上ドーム428に固定することができる。リフレクタ422は、アルミニウムまたはステンレス鋼などの金属で作ることができる。反射効率は、リフレクタ領域を金などの高反射性被膜でコーティングすることによって改善することができる。リフレクタ422は、冷却源(図示せず)に連結された1つまたは複数のチャネル426を有することができる。チャネル426は、リフレクタ422を冷却するためにリフレクタ422の側面に形成された通路(図示せず)につながる。通路は、水などの流体の流れを搬送するように構成され、リフレクタ422の一部分または全面を覆う任意の所望のパターンで、リフレクタ422の側面に沿って水平に伸びることができる。
処理ガス源472から供給される処理ガスは、ベースリング436の側壁に形成された処理ガス入口474を通して処理ガス領域456に導入される。処理ガス入口474は、概して半径方向内向きに処理ガスを導くように構成される。膜形成処理の間中、基板支持体406は、処理ガス入口474にほぼ同じ高さで隣接する処理位置にあり、それによって処理ガスが、基板325の上面全体にわたって層流の形で、流路473に沿って上にもまわりにも流れることができる。処理ガスは、処理ガス入口774の反対側の処理チャンバ400の側面に設置されたガス出口478を通って処理ガス領域456を(流路475に沿って)出る。ガス出口478を通して処理ガスを除去することが、ガス出口に結合された真空ポンプ480によって容易になり得る。処理ガス入口474とガス出口478は互いに一直線に揃えられ、ほぼ同じ高さに配置されるので、このような並列配置は、より平らな上ドーム428と組み合わされた場合に概して平面の均一なガス流を基板325全体にわたって可能にすると考えられる。さらに半径方向の均一性が、基板325を基板支持体406によって回転させることによってもたらされ得る。
パージガスが、ベースリング436の側壁に形成された任意選択のパージガス入口464を通して(または、処理ガス入口474を通して)、パージガス源462からパージガス領域458に供給され得る。パージガス入口464は、処理ガス入口474より下の高さに配置される。循環遮蔽体467または予加熱リング(図示せず)が使用される場合、この循環遮蔽体または予加熱リングは、処理ガス入口474とパージガス入口464の間に配置することができる。どちらの場合も、パージガス入口464は、パージガスを概して半径方向内向きに導くように構成される。膜形成処理中、基板支持体406は、パージガスが層流の形で基板支持体406の裏側404全体にわたって流路465に沿って流れるような位置に置くことができる。何か特定の理論に束縛されるものではないが、パージガスの流れは、処理ガスの流れがパージガス領域458に入ることを防止または実質的に回避するものと考えられ、あるいはパージガス領域458(すなわち、基板支持体406の下の領域)に入る処理ガスの拡散を低減するものと考えられる。パージガスは、パージガス領域458を(流路466に沿って)出ると、パージガス入口464の反対側の処理チャンバ400の側面に設置されているガス出口478を通って、処理チャンバから排出される。
図5は、CVDまたはエピタキシャル堆積処理チャンバ500の概略断面図であり、このチャンバは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なCENTURA(登録商標)一体型処理システムの一部であり得る。処理チャンバ500は、アルミニウムまたはステンレス鋼、たとえば316 Lステンレス鋼などの、処理耐性材料で作られたハウジング構造体501を含む。ハウジング構造体501は、石英チャンバ530などの処理チャンバ500の様々な機能要素を取り囲み、この石英チャンバは、上チャンバ505、および下チャンバ524を含み、その中に処理容積部518が収容される。反応性種がガス分配アセンブリ550によって石英チャンバ530に供給され、処理副生成物が処理容積部518から、通常は真空源(図示せず)と連通している出口ポート538を通して除去される。
基板支持体517は、処理容積部518へ移送される基板325を受け取るように適合される。基板支持体517は、処理チャンバ500の縦軸502に沿って配置される。基板支持体500は、セラミック材料、または炭化ケイ素などのケイ素材料でコーティングされたグラファイト材料、または他の処理耐性材料で作ることができる。前駆体反応物質材料からの反応性種が基板325の表面516に付加され、その後に副生成物を表面516から除去することができる。基板325および/または処理容積部518の加熱が、上ランプモジュール510Aおよび下ランプモジュール510Bなどの輻射源によって行われ得る。
1つの実施形態では、上ランプモジュール510Aおよび下ランプモジュール510Bは赤外線(IR)ランプである。ランプモジュール510Aおよび510Bからの非熱エネルギーすなわち輻射は、上石英チャンバ505の上石英窓504を通して、および下石英チャンバ524の下石英窓503を通して伝わる。必要な場合、上石英チャンバ505の冷却ガスが入口512から入り、出口513から出る。前駆体反応物質材料、ならびに希釈剤、処理チャンバ500のパージガスおよびベントガスが、ガス分配アセンブリ550を通って入り、出口ポート538から出る。上石英窓504は湾曲しているように、または凸形であるように図示されているが、上石英窓504の両側の圧力が実質的に同じ(すなわち大気圧)であるので、上石英窓504は平面であっても凹面であってもよい。
反応性種を活性化し、反応物質の吸着と、基板325の表面516からの処理副生成物の脱着とを助けるために使用される、処理容積部518内の短波長の輻射は通常、約0.8μmから約1.2μmの範囲、たとえば約0.95μmから約1.05μmまでの間であり、たとえばエピタキシャル成長される膜の組成に応じて、様々な波長の組み合わせが提供される。
成分ガスは、ガス分配アセンブリ550を経由して処理容積部518に入る。ガスは、大まかに522で示されているように、ガス分配アセンブリ550から流れ出てポート538から出る。基板表面を洗浄/不動態化するために、またはエピタキシャル成長されるシリコンおよび/またはゲルマニウム含有膜を形成するために使用される、成分ガスを組み合わせたものは通常、処理容積部に入る前に混合される。処理容積部518の全体圧力は、出口ポート538のバルブ(図示せず)によって調整することができる。処理容積部518の内面の少なくとも一部分は、ライナ531で覆われる。1つの実施形態では、ライナ531は、不透明な石英材料を含む。このようにして、チャンバ壁は処理容積部518の熱から絶縁される。
処理容積部518の表面の温度は、入口512から入って出口513から出る冷却ガスの流れによって、上石英窓04の上方に置かれた上ランプモジュール510Aからの輻射と相まって、約200℃から約600℃以上の温度範囲内に制御することができる。下石英チャンバ524内の温度は、図示されていないブロアの速度を調整することによって、および下石英チャンバ524の下に配置された下ランプモジュール510Bからの輻射によって、約200℃から約600℃以上の温度範囲内に制御することができる。処理容積部518の圧力は、約5トールから約30トールまでの間など、約0.1トールから約600トールまでの間とすることができる。
基板325の表面516の温度は、下石英チャンバ524の下ランプモジュール510Bの電力調整によって、または上石英窓504の上にある上ランプモジュール510Aと、下石英チャンバ524の下ランプモジュール510Bとの両方の電力調整によって、制御することができる。処理容積部518内の電力密度は、約80W/cm2から約120W/cm2など、約40W/cm2から約400W/cm2までの間とすることができる。
1つの態様では、ガス分配アセンブリ550は、処理チャンバ500または基板325の縦軸502に対して直角に、すなわち半径方向506に配置される。この向きでは、ガス分配アセンブリ550は、基板325の表面516を横切る、または表面に平行な半径方向506に処理ガスを流すように適合される。1つの処理適用例では、処理ガスは、処理容積部518への導入の前にガスの予加熱を開始するために、および/またはガスの特定の結合を切断するために、処理チャンバ500への導入の時点で予加熱される。このようにして、表面反応速度論が、基板325の熱温度とは無関係に修正され得る。
動作中、SiおよびSiGeブランケットまたは選択膜を形成するための前駆体が、1つまたは複数のガス源540Aおよび540Bからガス分配アセンブリ550に供給される。IRランプ556(図5には1つだけ示されている)を利用して、前駆体をガス分配アセンブリ550内部で、ならびに流路522に沿って加熱することができる。ガス源540A、540Bは、平面図で見たときに外側ゾーン間の半径方向外側ゾーンおよび半径方向内側ゾーンなどの、ガス分配アセンブリ550内の導入ゾーンを容易にするように構成された方法で、ガス分配アセンブリ550に結合することができる。ガス源540A、540Bは、これらのゾーンへの導入速度を制御するためのバルブ(図示せず)を含み得る。
ガス源540A、540Bは、シラン(SiH4)、ジシラン(Si26)、ジクロロシラン(SiH2Cl2)、ヘキサクロロジシラン(Si2Cl6)、ジブロモシラン(SiH2Br2)、高次シラン、これらの誘導体、およびこれらの組み合わせを含む、シランなどのシリコン前駆体を含み得る。ガス源540A、540Bはまた、ゲルマン(GeH4)、ジゲルマン(Ge26)、ゲルマニウムテトラクロライド(GeCl4)、ジクロロゲルマン(GeH2Cl2)、これらの誘導体、およびこれらの組み合わせなどの、ゲルマニウム含有前駆体を含み得る。シリコンおよび/またはゲルマニウム含有前駆体は、塩化水素(HCl)、塩素ガス(Cl2)、臭化水素(HBr)、およびこれらの組み合わせと一緒に使用することができる。ガス源540A、540Bは、1つまたは複数のシリコンおよびゲルマニウム含有前駆体をガス源540A、540Bの一方または両方に含み得る。
前駆体材料は、この励起状態で、有孔板554の開口または複数の孔558(図5には1つだけ示されている)を通って処理容積部518に入り、この有孔板は、1つの実施形態では、孔558が貫通して形成されている石英材料である。有孔板554は、IRエネルギーに対し透過性であり、透明な石英材料で作ることができる。別の実施形態では、有孔板554は、IRエネルギーに対し透過性であり処理化学物質および他の処理化学物質に対し耐性がある、任意の材料とすることができる。活性化前駆体は、有孔板554の複数の孔558を通り、複数のチャネル552N(図5には1つだけ示されている)を通って処理容積部518に向かって流れる。IRランプ556からの光子および非熱エネルギーの一部分もまた、ガス分配アセンブリ550の内面に配置された反射性材料および/または表面によって助長されて孔558、有孔板554、およびチャネル552Nを通過し、それによって、前駆体材料の流路(図5に矢印522として示される)が照らされる。このようにして、前駆体材料の振動エネルギーが、導入箇所から処理容積部518まで流路に沿って維持され得る。
図6は、本開示の諸実施態様による、図1に示された処理シーケンス100を完了するために使用できる例示的な真空処理システム600を示す。図6に示されるように、複数の処理チャンバ602a、602b、602c、602dは、第1の移送チャンバ604に結合される。処理チャンバ602a〜602dは、アニーリング、化学気相堆積、物理的気相堆積、エピタキシャル処理、エッチング処理、熱酸化または熱窒化処理、脱ガスなどの、任意の基板関連処理を行うために使用することができる。1つの実施態様では、処理チャンバ602aは、気相エピタキシ堆積チャンバなどの膜形成チャンバ、たとえば、カリフォルニア州Santa ClaraのApplied Materialsから入手可能なEpiチャンバとすることができ、このEpiチャンバは、結晶シリコンまたはシリコンゲルマニウムを形成することができる。別の実施態様では、処理チャンバ602aは、単一基板処理チャンバ(たとえば、図3に関連して説明したリアクタ300)などの、エピタキシ堆積チャンバとすることができる。別の実施態様では、処理チャンバ602aは、図4に関連して説明した処理チャンバ400とすることができる。別の実施態様では、処理チャンバ602aは、図5に関連して説明した処理チャンバ500とすることができる。
処理チャンバ602bは、急速熱処理チャンバ(RTP)とすることができる。処理チャンバ602cは、プラズマエッチングチャンバまたはプラズマ洗浄チャンバである。たとえば、処理チャンバ602cは、図2Aに関連して説明した処理チャンバ200、または図3に関連して説明した処理チャンバ300とすることができる。処理チャンバ602dは、脱ガスチャンバとすることができる。第1の移送チャンバ604はまた、少なくとも1つの移行ステーション、たとえば1対の通過ステーション606、608に結合される。通過ステーション606、608は、基板が第1の移送チャンバ604と第2の移送チャンバ610の間で移送されるようにしながら真空を維持する。第1の移送チャンバ604は、基板を通過ステーション606、608と処理チャンバ602a〜602dのいずれかとの間で移送するための、ロボット基板ハンドリング機構(図示せず)を有する。処理チャンバ602a〜602dは、図6に特定の順序で構成されて示されているが、任意の所望の順序で構成されてもよい。
通過ステーション606、608の一端は、第2の移送チャンバ610に結合される。したがって、第1の移送チャンバ604と第2の移送チャンバ610は、通過ステーション606、608によって分離され連結される。第2の移送チャンバ610は第1のプラズマ洗浄チャンバ614と結合され、この第1のプラズマ洗浄チャンバは、基板の表面から酸化物を除去するためのボックス602に見られる処理のうちの少なくとも一部を行うように適合されている、処理チャンバ200(図2A)などのプラズマチャンバとすることができる。1つの実施態様では、第1のプラズマ洗浄チャンバ614は、Siconi(商標)チャンバまたはSelectra(商標)チャンバであり、カリフォルニア州Santa ClaraのApplied Materialsから入手可能である。別の実施態様では、プラズマ洗浄チャンバ614は、図2Aに関連して説明した処理チャンバ200とすることができる。別の実施態様では、プラズマ洗浄チャンバ614は、図3に関連して説明した処理チャンバ300とすることができる。
1つの実施態様では、少なくとも1つの移行ステーション、たとえば通過ステーション606、608のうちの1つは、プラズマ洗浄チャンバであるように構成される。あるいは、プラズマ洗浄チャンバが、基板の表面から汚染物質を除去するために、通過ステーション606、608のうちの1つと結合されてもよい。したがって、処理システム600は、通過ステーション606、608のうちの1つである、またはその1つと結合されている、第2のプラズマ洗浄チャンバを有し得る。図6に示された1つの実施態様では、通過ステーション606は第2のプラズマ洗浄チャンバ616を含む。第2のプラズマ洗浄チャンバ616は、基板の表面から汚染物質を除去するためのボックス102に見られる処理の少なくとも一部を実行するように適合されている、処理チャンバ300(図3)の1つのバージョンとすることができる。ただ1つのプラズマ洗浄チャンバ616が図で通過ステーションに、この場合には通過ステーション606に、結合されているが、プラズマ洗浄チャンバ(たとえば、処理チャンバ300の1つのバージョン)が両方の通過ステーション606および608に結合されてもよいことに留意されたい。
第2の移送チャンバ610もまた、基板を1組のロードロックチャンバ612と第1のプラズマ洗浄チャンバ614または第2のプラズマ洗浄チャンバ616との間で移送するための、ロボット基板ハンドリング機構(図示せず)を有する。ファクトリインターフェース620が、ロードロックチャンバ612によって第2の移送チャンバ610に連結される。ファクトリインターフェース620は、ロードロックチャンバ612の反対側の1つまたは複数のポッド630に結合される。ポッド630は通常、洗浄室(図示せず)からアクセス可能な前面開口統合ポッド(FOUP)である。
2つの移送チャンバが示されているが、これらの移送チャンバのいずれかが省かれてもよいことが企図されている。第2の移送チャンバ610が省かれる1つの実施態様では、第2のプラズマ洗浄チャンバ616は、通過ステーション606または608によって占有されているように現在示されている位置で、第1の移送チャンバ604内に配置されても、これに結合されてもよい。第1の移送チャンバ604は、たとえばカリフォルニア州Santa ClaraのApplied Materials Inc.から入手可能なCentura(商標)Epiチャンバであるエピタキシチャンバなどの、結晶シリコンまたはシリコンゲルマニウムを形成できる1つまたは複数の処理チャンバに結合することができる。あるいは、第1の移送チャンバ604が省かれてもよく、また第2のプラズマ洗浄チャンバ616が、第2の移送チャンバ610に結合されている通過ステーション606内に配置されても、これに結合されてもよい。このような場合には、第2の移送チャンバ610は、結晶シリコンまたはシリコンゲルマニウムを形成できる1つまたは複数の処理チャンバに結合されるように構成することができる。
動作中、基板がポッド630から真空処理システム600へ、ロードロックチャンバ612のうちの1つの中に置かれている輸送カセット(図示せず)に入れられて搬送される。第2の移送チャンバ610内のロボット輸送機構は、基板をロードロックチャンバ612から第1のプラズマ洗浄チャンバ614まで1度に1枚輸送し、この第1のプラズマ洗浄チャンバでは、たとえばボックス102に見られる処理の洗浄処理が行われて、酸化物が基板の表面から除去される。酸化物が基板表面から除去された後、第2の移送チャンバ610内に配置されたロボット輸送機構は、基板を第1のプラズマ洗浄チャンバ614から第2のプラズマ洗浄チャンバ616まで移送し、この第2のプラズマ洗浄チャンバでは、たとえばボックス103に見られる処理の還元処理が行われて、炭素または炭化水素などの汚染物質が基板表面から除去される。ここでの各ステップはまた、逆の順序で、すなわちロボット輸送機構を使用して基板を第2のプラズマ洗浄チャンバ616から第1のプラズマ洗浄チャンバ614まで移送して、行われてもよいことが企図されている。どちらの場合でも、清浄な基板は次に、第1の移送チャンバ604内に配置されたロボット輸送機構によって、第2のプラズマ洗浄チャンバ616(または第1のプラズマ洗浄チャンバ614)から1つまたは複数の処理チャンバ602a〜602dまで移送される。1つまたは複数の処理チャンバ602a〜602dは、ボックス106に記載されたエピタキシャル堆積などの層形成処理が行われるエピタキシ処理チャンバを含み得る。
1つまたは複数の処理チャンバ602a〜602dでの処理が完了すると、第1の移送チャンバ604内に配置されたロボット輸送機構は、基板を処理チャンバ602のうちのどれか1つから通過ステーション608まで移動させる。次に基板は、第2の移送チャンバ610内に配置されたロボット輸送機構によって通過ステーション608から取り出され、別のロードロックチャンバ612まで移送され、このロードロックチャンバを通して基板が真空処理システム600から引き出される。
図1の3つのボックス102、103および106すべての処理が同一の真空処理システム600の中で実行されるので、基板が様々なチャンバの間で移送されるときに真空が損なわれず、これにより、汚染の可能性が低減し、堆積エピタキシャル膜の品質が改善する。基板の移動は、本明細書では説明の目的で記述されていることを理解されたい。制御器(図示せず)を使用して、適用例によって変わり得る所望の順序付けプログラムに従って真空処理システム600中の基板の移動をスケジュールすることができる。
本開示の利点には、2つの異なるタイプの予洗浄チャンバを同一の真空処理システムのエピタキシャル処理チャンバと一体化する、改善された真空処理システムが含まれる。予洗浄処理チャンバは、第1のプラズマ洗浄処理チャンバおよび第2のプラズマ洗浄処理チャンバを含み得る。同一の真空処理システムに2つのタイプの表面材料除去チャンバが共存すると、表面前処理とエピタキシャル堆積の間で基板が真空中にとどまることができ、これにより、基板が外界に曝される時間が低減するとともに、別の処理チャンバまたはシステムで基板を準備する必要がなくなる。このアーキテクチャではまた、2つの移送チャンバ間の通過ステーションが予洗浄処理チャンバとしても機能するので、真空システム上の処理チャンバの数を最大限にし、これによっても基板の総ハンドリング時間が低減する。
図1の処理102の一例は、図2Aの処理チャンバ200で実行することができる。アルゴンが遠隔プラズマユニット224を通され、アルゴンの5〜10%のHFからなる第1の混合物が入口256を通され、アルゴンの25%のNH3からなる第2の混合物が入口258を通される。遠隔プラズマは、2sLmで流れるアルゴンガスに500Wのマイクロ波またはRF出力を加えることによって形成される。第1の混合物は第1の入口256に500sccmで流され、第2の混合物は第2の入口258に500sccmで流される。基板は、温度制御流体を熱制御プレナム235に通すことによって摂氏10度の温度に維持される。基板支持体232に電力が供給されて半径方向の温度制御が行われ得る。チャンバは5トールの圧力に維持され、基板は、基板表面のすべての所望の酸化物を昇華可能な固体に変換するのに適している時間、たとえば300秒間処理される。基板は次に、基板表面の輻射加熱または伝導加熱を行うために摂氏約200度に加熱されている第2のガス分配器230の近くに移動される。基板は、基板表面に形成された固体を昇華させるために、第2のガス分配器230からの熱輻射の近傍に1〜5分間保持されて、無酸素の表面が残る。次に基板は、任意選択で不活性雰囲気のもとで熱処理されて、フッ素含有種などの酸素除去処理の残留種がもしあれば除去され得る。この熱処理には、熱処理チャンバ内に基板を配置し、チャンバ内の熱処理装置に通電して基板を約1分間、摂氏約300度の温度に加熱することが含まれ得る。
上記は本開示の諸実施態様を対象としているが、本開示のその他のさらなる実施態様を本開示の基本的な範囲から逸脱することなく考案することができる。

Claims (15)

  1. 少なくとも1つの膜形成チャンバに結合された移送チャンバと、
    前記移送チャンバに結合されたプラズマ酸化物除去チャンバであって、遠隔プラズマ源、ならびに冷却チャネルおよび加熱器を含む基板支持体を備える、プラズマ酸化物除去チャンバと、
    前記移送チャンバに結合されたロードロックチャンバと
    を備える、処理システム。
  2. 前記プラズマ酸化物除去チャンバがRF遠隔プラズマチャンバである、請求項1に記載の処理システム。
  3. 前記膜形成チャンバがエピタキシチャンバである、請求項1に記載の処理システム。
  4. 前記プラズマ酸化物除去チャンバがフッ素処理チャンバであり、前記膜形成チャンバがエピタキシチャンバである、請求項1に記載の処理システム。
  5. 前記プラズマ酸化物除去チャンバの前記加熱器が抵抗加熱器である、請求項4に記載の処理システム。
  6. アニールチャンバをさらに備える、請求項5に記載の処理システム。
  7. 前記プラズマ酸化物除去チャンバが、
    チャンバを有し、また、前記チャンバにすべてが流体結合されている中心導管と円筒形導管と2つの入口とを有するリッドアセンブリ
    を備える、請求項1に記載の処理システム。
  8. 前記プラズマ酸化物除去チャンバの前記加熱器が抵抗加熱器である、請求項7に記載の処理システム。
  9. 少なくとも1つの気相エピタキシチャンバに結合された第1の移送チャンバと、
    前記第1の移送チャンバに結合されたプラズマ酸化物除去チャンバとを備える処理装置であって、前記プラズマ酸化物除去チャンバが、
    混合チャンバおよびガス分配器を有するリッドアセンブリと、
    前記リッドアセンブリの一部分を貫通して形成され、前記混合チャンバと流体連結している第1のガス入口と、
    前記リッドアセンブリの一部分を貫通して形成され、前記混合チャンバと流体連結している第2のガス入口と、
    前記リッドアセンブリの一部分を貫通して形成され、前記混合チャンバと流体連結している第3のガス入口と、
    基板支持体であって、
    基板支持面、
    前記基板支持体に埋め込まれた冷却チャネルおよび1つまたは複数の抵抗加熱器、ならびに
    前記基板支持面の凹部に配置され、前記基板支持体を介してリフトアクチュエータに結合されたリフト部材を有する、基板支持体とを含み、前記処理装置がさらに
    前記第1の移送チャンバに結合されたロードロックチャンバを備える、処理装置。
  10. 1つまたは複数の通過ステーションによって前記第1の移送チャンバに結合された第2の移送チャンバをさらに備える、請求項9に記載の処理装置。
  11. 前記第1または第2の移送チャンバに結合された熱処理チャンバをさらに備える、請求項10に記載の処理装置。
  12. 前記プラズマ酸化物除去チャンバがRF遠隔プラズマチャンバである、請求項9に記載の処理システム。
  13. 膜形成チャンバをさらに備える、請求項9に記載の処理システム。
  14. 前記膜形成チャンバがエピタキシチャンバである、請求項13に記載の処理システム。
  15. 前記プラズマ酸化物除去チャンバがフッ素処理チャンバであり、前記膜形成チャンバがエピタキシチャンバである、請求項13に記載の処理システム。
JP2020512543A 2017-08-30 2018-08-13 一体化されたエピタキシと予洗浄システム Active JP7029522B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2022023955A JP7348975B2 (ja) 2017-08-30 2022-02-18 一体化されたエピタキシと予洗浄システム
JP2023145857A JP2023174653A (ja) 2017-08-30 2023-09-08 一体化されたエピタキシと予洗浄システム

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762552107P 2017-08-30 2017-08-30
US62/552,107 2017-08-30
PCT/US2018/046501 WO2019046001A1 (en) 2017-08-30 2018-08-13 INTEGRATED EPITAXY AND PRE-CLEANING SYSTEM

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022023955A Division JP7348975B2 (ja) 2017-08-30 2022-02-18 一体化されたエピタキシと予洗浄システム

Publications (3)

Publication Number Publication Date
JP2020532142A true JP2020532142A (ja) 2020-11-05
JP2020532142A5 JP2020532142A5 (ja) 2020-12-17
JP7029522B2 JP7029522B2 (ja) 2022-03-03

Family

ID=65437915

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020512543A Active JP7029522B2 (ja) 2017-08-30 2018-08-13 一体化されたエピタキシと予洗浄システム
JP2022023955A Active JP7348975B2 (ja) 2017-08-30 2022-02-18 一体化されたエピタキシと予洗浄システム
JP2023145857A Pending JP2023174653A (ja) 2017-08-30 2023-09-08 一体化されたエピタキシと予洗浄システム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2022023955A Active JP7348975B2 (ja) 2017-08-30 2022-02-18 一体化されたエピタキシと予洗浄システム
JP2023145857A Pending JP2023174653A (ja) 2017-08-30 2023-09-08 一体化されたエピタキシと予洗浄システム

Country Status (6)

Country Link
US (2) US11164737B2 (ja)
JP (3) JP7029522B2 (ja)
KR (1) KR102300508B1 (ja)
CN (2) CN117448783A (ja)
TW (2) TW202310006A (ja)
WO (1) WO2019046001A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020231557A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
US11666952B2 (en) * 2020-03-06 2023-06-06 Applied Materials, Inc. Condition selectable backside gas
US11605544B2 (en) * 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
CN113192869A (zh) * 2021-04-29 2021-07-30 北京北方华创微电子装备有限公司 进气机构和半导体工艺设备
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007537582A (ja) * 2004-03-31 2007-12-20 東京エレクトロン株式会社 ウェハヒータアッセンブリ
JP2009525611A (ja) * 2006-02-03 2009-07-09 アプライド マテリアルズ インコーポレイテッド エピタキシャル堆積プロセス及び装置
JP2013522882A (ja) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド 周期的な酸化およびエッチングのための装置と方法
JP2015528060A (ja) * 2012-07-12 2015-09-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス混合装置
JP2016528734A (ja) * 2013-08-09 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1187189A1 (en) * 2000-02-10 2002-03-13 Shin-Etsu Handotai Co., Ltd Silicon boat with protective film, method of manufacture thereof, and silicon wafer heat-treated using silicon boat
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR20130092574A (ko) 2010-08-04 2013-08-20 어플라이드 머티어리얼스, 인코포레이티드 기판 표면으로부터 오염물들 및 자연 산화물들을 제거하는 방법
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9721792B2 (en) * 2013-09-16 2017-08-01 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TWI782220B (zh) * 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007537582A (ja) * 2004-03-31 2007-12-20 東京エレクトロン株式会社 ウェハヒータアッセンブリ
JP2009525611A (ja) * 2006-02-03 2009-07-09 アプライド マテリアルズ インコーポレイテッド エピタキシャル堆積プロセス及び装置
JP2013522882A (ja) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド 周期的な酸化およびエッチングのための装置と方法
JP2015528060A (ja) * 2012-07-12 2015-09-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス混合装置
JP2016528734A (ja) * 2013-08-09 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置

Also Published As

Publication number Publication date
TW202310006A (zh) 2023-03-01
JP2022084597A (ja) 2022-06-07
JP2023174653A (ja) 2023-12-08
KR20200035185A (ko) 2020-04-01
CN111052334A (zh) 2020-04-21
KR102300508B1 (ko) 2021-09-08
WO2019046001A1 (en) 2019-03-07
US11164737B2 (en) 2021-11-02
US20220059342A1 (en) 2022-02-24
US20190066998A1 (en) 2019-02-28
CN111052334B (zh) 2023-10-13
TWI785094B (zh) 2022-12-01
CN117448783A (zh) 2024-01-26
JP7348975B2 (ja) 2023-09-21
TW201913739A (zh) 2019-04-01
JP7029522B2 (ja) 2022-03-03

Similar Documents

Publication Publication Date Title
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
KR102511483B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
JP2016167610A (ja) エピタキシャル堆積プロセス及び装置
KR20090089882A (ko) 저온 cvd 시스템에서의 가스 반응 운동 및 전구체 해리 제어를 위한 독립적인 복사 가스 예열
US11605544B2 (en) Methods and systems for cleaning high aspect ratio structures

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200228

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210401

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220218

R150 Certificate of patent or registration of utility model

Ref document number: 7029522

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150