JP7046162B2 - 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム - Google Patents

高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム Download PDF

Info

Publication number
JP7046162B2
JP7046162B2 JP2020512399A JP2020512399A JP7046162B2 JP 7046162 B2 JP7046162 B2 JP 7046162B2 JP 2020512399 A JP2020512399 A JP 2020512399A JP 2020512399 A JP2020512399 A JP 2020512399A JP 7046162 B2 JP7046162 B2 JP 7046162B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
gas
processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020512399A
Other languages
English (en)
Other versions
JP2020532140A (ja
Inventor
ララ エイ ホーリルチャク
キン ポン ロー
エロール シー サンチェス
シューベルト エス シュー
トゥシャール マンドレカール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020532140A publication Critical patent/JP2020532140A/ja
Application granted granted Critical
Publication of JP7046162B2 publication Critical patent/JP7046162B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides

Description

本開示の諸実施態様は一般に、基板の表面を洗浄する装置および方法に関する。
集積回路は、シリコンおよび他の半導体基板の中および上に形成される。単結晶シリコンの場合、基板は、溶融シリコンの槽からインゴットを成長させ、次に、その固体インゴットを鋸切断して多数の基板にすることによって作られる。次いで、エピタキシャルシリコン層が単結晶シリコン基板上に形成されて、ドープされることも非ドープにされることもある無欠陥シリコン層を形成することができる。トランジスタなどの半導体デバイスをこのエピタキシャルシリコン層から製造することができる。形成されたエピタキシャルシリコン層の電気的特性は一般に、単結晶シリコン基板の特性よりも良い。
単結晶シリコンおよびエピタキシャルシリコン層の表面は、典型的な基板製造周囲条件に曝された場合に汚染の影響を受けやすい。たとえば、天然の酸化物層がエピタキシャル層の堆積の前に、基板のハンドリングにより、および/または基板処理設備内の周囲環境に曝されることにより、単結晶シリコン表面に生じることがある。加えて、周囲環境に存在する炭素種および酸素種などの異種汚染物質が単結晶表面に堆積することがある。天然の酸化物層または汚染物質が単結晶シリコン表面に存在すると、その後に単結晶表面に形成されるエピタキシャル層の品質に悪影響を及ぼす。したがって、基板を予洗浄することが、エピタキシャル層が基板上に成長される前に表面酸化または他の汚染物質を除去するために望ましい。しかし、予洗浄処理は、1つまたは複数の独立型の真空処理チャンバ内で行われることが多く、これにより、基板ハンドリング時間および基板を周囲環境に曝す機会が増加し得る。
したがって、当技術分野では、エピタキシャル堆積処理を実行する前に基板表面を洗浄するための、基板をハンドリングする時間および周囲環境に曝すことを最小限にする、改善された基板処理システムを提供することが必要とされている。
本開示では、少なくとも1つの膜形成チャンバに結合された第1の移送チャンバと、第2の移送チャンバと、第1または第2の移送チャンバに結合されたプラズマ酸化物除去チャンバと、第1または第2の移送チャンバに結合されたプラズマ汚染物質除去チャンバと、第2の移送チャンバに結合されたロードロックチャンバとを含む、真空処理システムを記載する。
本明細書にはまた、基板を処理する方法が記載され、この方法は、NF3およびHFを含む処理ガスに基板を曝すことを含む処理によって基板から酸化物を除去すること;水素ラジカルに基板を曝すことを含む処理によって基板から炭化水素汚染物質を除去すること;およびエピタキシ処理によって基板上に膜を形成することを含む。
本明細書にはまた、第1の移送チャンバと;第1の移送チャンバに結合された少なくとも1つの気相エピタキシチャンバと;第1の移送チャンバに結合されたプラズマ酸化物除去チャンバであって、混合チャンバおよびガス分配器を有するシャワーヘッドと;シャワーヘッドの一部分を貫通して形成され、混合チャンバと流体連結している第1のガス入口と;シャワーヘッドの一部分を貫通して形成され、混合チャンバと流体連結している第2のガス入口と;基板支持面、基板支持体に埋め込まれた冷却チャネルを有する基板支持体とを含む、プラズマ酸化物除去チャンバと;第1または第2の移送チャンバに結合されたプラズマ駆動汚染物質除去チャンバであって、プラズマ源、および上に配置された基板を摂氏25度から摂氏650度の間の温度まで加熱するように動作可能な基板支持体を含む、プラズマ汚染物質除去チャンバとを備える、真空処理装置が記載される。
本明細書にはまた、第1の移送チャンバと;1つまたは複数の通過ステーションによって第1の移送チャンバに結合された第2の移送チャンバと;第2の移送チャンバに結合された少なくとも1つの気相エピタキシチャンバと;第1または第2の移送チャンバに結合されたプラズマ酸化物除去チャンバであって、混合チャンバおよびガス分配器を有するシャワーヘッドと;シャワーヘッドの一部分を貫通して形成され、混合チャンバと流体連結している第1のガス入口と;シャワーヘッドの一部分を貫通して形成され、混合チャンバと流体連結している第2のガス入口と;シャワーヘッドの一部分を貫通して形成され、混合チャンバと流体連結している第3のガス入口と;基板支持面、基板支持体に埋め込まれた冷却チャネルおよび1つまたは複数の抵抗加熱器を有する基板支持体とを含む、プラズマ酸化物除去チャンバと;第1または第2の移送チャンバに結合されたプラズマ駆動汚染物質除去チャンバであって、プラズマ源、磁気イオンフィルタ、および上に配置された基板を摂氏25度から摂氏650度の間の温度まで加熱するように動作可能な基板支持体を含むプラズマ汚染物質除去チャンバと;第2の移送チャンバに結合されたロードロックチャンバとを備える、真空処理装置が記載される。
上記で簡潔に要約され、以下でより詳細に論じられる本開示の実施態様は、添付の図面に表された本開示の説明的な諸実施態様を参照して理解することができる。しかし、本開示では、その他の同様に効果的な実施態様を認めることができるので、添付の図面は、本開示の典型的な実施態様を示すのみであり、したがって、本開示の範囲を限定するものとみなされるべきでないことに留意されたい。
本開示の1つの実施態様による処理シーケンスを示す図である。 本開示の1つの実施態様による、図1の洗浄処理を行うために使用される洗浄チャンバの断面図である。 図2Aの処理チャンバの一部分の拡大図である。 1つの実施形態による、基板支持体の断面詳細図である。 本開示の1つの実施態様による、図1の還元処理を行うために使用される洗浄チャンバの断面図である。 遠隔プラズマ源を有する処理チャンバなどの基板処理チャンバ内に配置できる基板支持体の斜視図である。 本明細書に提示された本開示の一実施形態による、図4の基板支持体の一部分の断面図である。 エピタキシャル堆積処理を行うための単一基板化学気相堆積(CVD)リアクタを示す図である。 エピタキシャル堆積処理を行うための裏側加熱処理チャンバの概略断面図である。 エピタキシャル堆積処理を行うためのCVDチャンバの概略断面図である。 本明細書に記載の洗浄処理および堆積処理を行うための例示的な真空処理システムを示す図である。
理解しやすいように、可能な場合には、各図に共通の同じ要素を指定するのに同じ参照番号を使用した。図は原寸に比例していなく、分かりやすくするために簡略化されていることがある。1つの実施態様の要素および特徴は、別に詳述されていなくても他の実施態様に有利に組み込まれることが企図されている。
図1は、本開示の1つの実施態様による処理シーケンス100を示す。いくつかの実施形態では、処理シーケンス100のボックス102~106のうちの2つ以上が、図9に示された、以下でさらに説明する真空処理システムなどの1つの処理システムにおいて実行されてよい。
ボックス102で、酸化物は、洗浄処理を用いて半導体基板の表面から除去される。基板はシリコン含有材料を含み、その表面はシリコン(Si)、ゲルマニウム(Ge)またはゲルマニウム合金(SiGe)を含み得る。いくつかの実施態様では、Si、Ge、またはSiGe表面には、天然の酸化物層などの酸化物層、および汚染物質が配置され得る。エピタキシャル堆積処理が酸化物、および炭素含有汚染物質などの汚染物質の影響を受けやすいことにより、ほとんどの典型的な洗浄室環境に数時間曝す結果として生じる表面汚染は、累積した酸化物および汚染物質がその後に形成されるエピタキシャル層の品質に影響を及ぼすのにかなり十分なものになり得る。
基板表面は、酸化物除去処理および汚染物質除去処理をすることによって洗浄することができる。1つの実施態様では、酸化物は、洗浄処理(ボックス102)を用いて基板の表面から除去され、炭素含有汚染物質などの汚染物質は、たとえば還元処理を用いて基板の表面から除去される(ボックス104)。ボックス102で行われる洗浄処理には、プラズマを利用して、不要な汚染物質がもしあればこれを除去する処理が含まれ得る。プラズマ処理では、水素(H2)、ヘリウム(He)、アルゴン(Ar)、アンモニア(NH3)、NF3またはHFなどのフッ素含有ガス、またはこれらのガスの任意の組み合わせを含むガスから形成されたプラズマを使用することができる。プラズマは、誘導結合または容量結合することができ、あるいはプラズマは、処理チャンバ内でマイクロ波源によって形成することができる。処理チャンバは、基板が配置されている処理領域から空間的に分離されている、遠隔プラズマチャンバとすることができる。本明細書に記載の用語の「空間的に分離された」とは、図2Aに示されたブロッカプレート228およびガス分配プレート230などの1つまたは複数のチャンバ部品によって、さらには遠隔プラズマチャンバと基板処理チャンバの間の導管によって、基板処理領域から分離されているプラズマ形成領域を指すことがある。
1つの実施態様では、プラズマは容量結合プラズマ源を使用して形成される。プラズマからのラジカルは、基板の上方に配置されたガス分配プレートを通過することができ、この基板は、摂氏約5度から摂氏約75度、たとえば摂氏約10度などの、摂氏約5度から摂氏約100度の温度の支持体の上に置かれている。処理圧力は、大気中の値より低い圧力、たとえば、約2トールから約10トールまでの間など、約500ミリトールから約20トールとすることができる。ラジカルは、基板に達してから表面酸化物と反応する。プラズマエッチング処理を行うように適合させることができる例示的な処理チャンバには、SiCoNi(商標)またはSelectra(商標)チャンバが含まれ、これらは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能である。他の製造者によるチャンバもまた使用することができる。
1つの例示的な実施態様では、プラズマ洗浄処理は遠隔プラズマ支援ドライ洗浄処理であり、基板をNF3およびNH3(任意選択でこれらのガスの1つまたは複数のプラズマ副生成物を含む)に同時に曝すことが伴う。アルゴンおよびヘリウムなどの不活性ガスもまた使用することができる。不活性/NF3/NH3の3つのガスのいずれか1つ、または組み合わせが上述のエネルギーの作用を受けて、そのプラズマが形成され得る。このプラズマは処理チャンバへ送出する前に他のガスと混合される。あるいはプラズマと他のガスが別々の経路をたどって処理チャンバに供給されて、処理チャンバに到着したときに混合されてもよい。1つの例では、プラズマ洗浄処理は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なSiCoNi(商標)処理に類似していること、またはこれを含むことがある。
遠隔プラズマ処理は、酸化物層に対しほとんど共形および選択性にすることができ、したがって、シリコン、ゲルマニウム、または窒化物の層を、これらの層がアモルファス、結晶、または多結晶であるかどうかに関係なく、すぐにはエッチングしない。NF3/NH3プラズマ洗浄処理の酸化物対シリコンまたはゲルマニウムの選択性は、少なくとも約3:1で、通常は5:1またはこれよりも良く、場合によっては10:1である。NF3/NH3プラズマ洗浄処理はまた、酸化物対窒化物の選択性が高い。窒化物に対するNF3/NH3プラズマ洗浄処理の選択性は、少なくとも約3:1で、通常は5:1またはこれよりも良く、場合によっては10:1である。
いくつかの実施形態では、遠隔プラズマ処理中、または遠隔プラズマ処理の実行後のいずれかに、ある量の熱エネルギーを処理基板に加えて、発生した副生成物がもしあればそれを除去する助けにすることができる。いくつかの実施形態では、熱エネルギーが輻射、対流および/または伝導熱伝達プロセスによって供給され、これにより、基板面で見られる望ましくない副生成物を昇華させる。
ボックス103で、任意選択のチャンバコンディショニングステップは、ボックス104で行われる動作に使用されるチャンバ内で実行することができる。ボックス103で行われる動作には、水素ラジカルを含有するガスの使用が含まれ、それにより、曝されたチャンバ表面がパッシベーションされるようにすることができる。いくつかの実施形態では、チャンバ表面は、蒸気すなわち水蒸気に曝すことによってパッシベーションすることができる。蒸気は、知られている方法によって施設内または元の場所で生成することができ、チャンバ表面は蒸気に1秒から60秒の期間、たとえば30秒曝して、水素ラジカルに対する耐性が少なくともわずかに改善された表面を得ることができる。蒸気不動態化処理中、活性生産基板(active production substrate)は、処理チャンバ内で基板支持体の上に配置されて存在することができる。交互に、ダミー基板を基板支持体上に配置することができる。基板支持体が蒸気と反応し得る材料で作られている場合には、蒸気不動態化処理中に基板をその支持体の上に配置することで蒸気との反応性を低減することができる。基板は、蒸気パッシベーション処理中に摂氏400度以上の温度に加熱することができる。
ボックス104では、ボックス102で行われる処理を用いることによって基板の表面から酸化物を除去した後に、基板の表面に残っている汚染物質がもしあれば除去される。ボックス104の1つの実施態様では、炭素または炭化水素などの汚染物質が、還元処理を用いて基板の表面から除去される。還元処理では、水素含有プラズマを使用して汚染物質を除去することができる。プラズマは、水素ガス(H2)、ヘリウム(He)、アルゴン(Ar)、アンモニア(NH3)、またはこれらのガスの任意の組み合わせを含有する洗浄ガスから形成することができる。プラズマは、誘導結合または容量結合プラズマ源を使用して形成することができるか、あるいはプラズマは、処理チャンバに結合されているマイクロ波源を使用して形成することができる。いくつかの実施形態では、プラズマは、RF、VHFおよび/またはUHF電源を含む誘導結合または容量結合プラズマ源を使用して形成することができる。プラズマ源は、基板が配置されている処理チャンバから物理的に隔離されている遠隔プラズマチャンバとすることができる。
1つの実施態様では、プラズマは、ボックス104で行われる還元処理を行うための遠隔プラズマ源(RPS)である誘導結合プラズマ源を使用して形成される。プラズマからのラジカルが、通路管と、基板の上に配置されたガス分配プレートとを通過することができる。基板は、摂氏約100度から摂氏約500度までの間など、場合によっては少なくとも摂氏約400度、場合によっては摂氏約400度など、摂氏約25度から摂氏約650度の温度の支持体上に置かれる。処理圧力は、大気中の値より低い圧力、たとえば約5トール未満の圧力、または約1トール未満の圧力、さらには約100ミリトールから約1トールまでの間の圧力とすることができる。還元処理を行うように適合させることができる例示的な処理チャンバには、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なAKTIV Pre-Clean(商標)、PCxT Reactive Preclean(商標)(RPC)、またはSelectra(商標)が含まれる。他の製造者によるチャンバもまた使用することができる。
遠隔プラズマ処理では、水素ラジカルを含有するガスを形成する。上述のように、プラズマを含有する水素は、磁場で取り囲まれた導管を通され、この磁場は、水素ラジカルなどの中性粒子、および他のラジカルおよび分子は基板収容処理領域まで通過させながら荷電粒子をそらす。基板は、水素ラジカルを含有するガスに曝されて、炭素を含む汚染物質を除去する還元処理が行われる。この処理ではまた、基板の表面が均一に水素終端されたままになり、基板表面の結晶構造の欠陥が最小限になる。
ボックス106で、エピタキシャル層が基板の表面に形成される。上述のように前洗浄されている場合、基板の表面は均一な酸化物であり、汚染物質がなく、そのため、基板の表面に形成された成長エピタキシャル層の品質が改善する。例示的なエピタキシャル処理には、摂氏約800度未満、たとえば摂氏約450~650度の温度で行われる選択的エピタキシャル処理があり得る。エピタキシャル層は、高温化学気相堆積(CVD)プロセスを用いて形成することができる。エピタキシャル層は、結晶シリコン、ゲルマニウム、もしくはシリコンゲルマニウム、またはIII-V族化合物もしくはII-VI族化合物などの任意の適切な半導体材料とすることができる。1つの例示的な熱CVDプロセスでは、クロロシランSiHxCl4-x(モノ、ジ、トリ、テトラ)、Six2X+2シラン(シラン、ジシラン、トリシランなど)、ゲルマンGex2x+2(ゲルマン、ジゲルマンなど)、塩化水素HCl、塩素ガスCl2、またはこれらの組み合わせなどの処理ガスが使用されて、エピタキシャル層が形成される。処理温度は、摂氏約300度から摂氏約600度などの、摂氏800度未満で、たとえば摂氏約450度であり、処理圧力は5トールから600トールまでの間である。エピタキシャル堆積処理を行うために使用できる例示的な処理チャンバは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なCentura(商標)Epiチャンバである。他の製造者によるチャンバもまた使用することができる。
図1に戻ると、ボックス102および104に記述されている処理は逆にできることが企図される。加えて、ボックス102および104に記述されている処理は、必要なだけ何度でも繰り返すことができる。102および104の処理は、1つのチャンバでも2つのチャンバでも実行できることに留意されたい。処理102および104が1つのチャンバで実行される場合、任意選択のフラッシュが不活性ガスを使用して処理間で実行されて、各処理化学物質が分離され得る。任意選択の熱処理もまた、残留副生成物または汚染物質がもしあればこれを除去するために、また表面をアニールして表面欠陥がもしあればこれを除去するために、106の層形成処理を実行する前に、処理102と104の間または後に実行されてよい。このようなアニールは、任意選択でアルゴンおよびヘリウムなどの不活性ガスを含む水素雰囲気のもとで行うことができ、また摂氏400~800度の温度、および1トールから300トールの圧力で行うことができる。
図2Aは、ボックス102に見られる処理のうちの少なくとも一部を行うように適合されている、すなわち、酸化物などの汚染物質を基板の表面から除去するように構成されている、処理チャンバ200の断面図である。図2Bは、図2Aの処理チャンバ200の一部分の拡大図である。
処理チャンバ200は、熱またはプラズマベースの洗浄処理、および/またはプラズマ支援ドライエッチング処理を行うのに特に有用であり得る。処理チャンバ200は、チャンバ本体212、リッドアセンブリ214、および支持体アセンブリ216を含む。リッドアセンブリ214は、チャンバ本体212の上端部に配置され、支持体アセンブリ216は、チャンバ本体212の中に少なくとも部分的に配置される。真空システムを、処理チャンバ200からガスを除去するために使用することができる。この真空システムは、チャンバ本体212に配置された真空ポート221に結合されている真空ポンプ218を含む。処理チャンバ200はまた、処理チャンバ200内の処理を制御する制御器202を含む。
リッドアセンブリ214は、前駆体ガスおよび/またはプラズマをチャンバ200内の処理領域222に供給するように構成された、複数の積み重ね構成要素を含む。第1のプレート220は、第2のプレート240に結合される。第3のプレート244は、第2のプレート240に結合される。リッドアセンブリ214は、リッドアセンブリ214の中に形成された円錐形チャンバ242にプラズマを供給するための電源224に連結することができる。リッドアセンブリ214はまた、蓋スタックの上流にプラズマを生成する遠隔プラズマ源に連結することもできる。遠隔プラズマキャビティ(たとえば、図2A~2Bのアイテム222、220、240)が、ガス源252に結合される(またはガス源252が、遠隔プラズマ源224が不在の状態でリッドアセンブリ214に直接結合される)。ガス源252には、ヘリウム、アルゴン、または他の不活性ガスを供給するように構成されているガス源が含まれ得る。いくつかの構成では、ガス源252から供給されるガスは、遠隔プラズマ源224を使用することによって活性化して、リッドアセンブリ214に供給されるプラズマにすることができる。代替実施形態では、ガス源252は処理ガスを供給することができ、この処理ガスは、処理チャンバ200内に配置されている基板の表面に導入される前に遠隔プラズマ源224によって活性化することができる。図2Bを参照すると、円錐形チャンバ242は開口246を有し、この開口により、形成されたプラズマが遠隔プラズマ源224から、リッドアセンブリ214の第4のプレート250に形成された容積部248まで流れることができる。
リッドアセンブリ214のいくつかの構成では、プラズマ源から送出されたエネルギーを印加することによって、プラズマが円錐形チャンバ242の中に生成される。1つの例では、このエネルギーは、リッドアセンブリ214にバイアスをかけて、RF、VHFおよび/またはUHFエネルギーを円錐形チャンバ242に入っているガスに容量結合することによって供給することができる。このリッドアセンブリ214の構成では、遠隔プラズマ源224が使用されなくても、リッドアセンブリ214内に取り付けられなくてもよい。
第4のプレート250に形成されている中心導管270が、容積部248から供給されたプラズマ生成種をリッドアセンブリ214の第6のプレート268に形成された混合チャンバ266まで、第5のプレート254を通して供給するように適合されている。中心導管270は、第5のプレート254の開口264を介して混合チャンバ266と連通している。開口264は、中心導管270の直径よりも小さい、大きい、または同じ直径を有し得る。図2Bの実施形態では、開口264は中心導管270と同じ直径を有する。
第4のプレート250はまた、ガスを混合チャンバ266に供給するように構成されている複数の入口256および258を含む。入口256は第1のガス源260に結合され、入口258は第2のガス源262に結合されている。第1のガス源260および第2のガス源262は、処理ガスならびに、キャリアガスとして利用される不活性ガス(たとえば、アルゴンおよび/またはヘリウムなどの希ガス)を含み得る。第1のガス源260は、アンモニア(NH3)ならびにアルゴンを含み得る。第2のガス源262は、フッ素含有ガス、水素含有ガス、またはこれらの組み合わせを収容し得る。1つの例では、第2のガス源262は、フッ化水素(HF)ならびにアルゴンを収容し得る。
図2Bに示されているように、いくつかの構成では、入口256は、円筒形チャネル259を介して混合チャンバ266と、プレート254に形成された複数の孔265とに結合される。入口258は、円筒形チャネル257を介して混合チャンバ266と、第5のプレート254に形成された複数の孔267とに結合される。プレート254に形成された孔265、267は一般に、各ガスの均一な流れを可能にするように寸法設定され、これらのガスは、そのそれぞれのガス源260、262から混合チャンバ266の中へ供給される。1つの構成では、開口267は、第4のプレート250に形成された円筒形チャネル257の、対向する側壁によって画定された開口の幅よりも小さい直径を有する。開口267は通常、チャンバ266の中への流体流が均一になるように、円筒形導管257の中心線の周囲に分布している。1つの構成では、孔265は、第4のプレート250に形成された円筒形チャネル259の、対向する側壁によって画定された開口の幅よりも小さい直径を有する。孔265は通常、チャンバ266の中への流体流が均一になるように、円筒形導管259の中心線の周囲に分布している。
入口256および258は、第4のプレート250を横に貫通し第5のプレート254へ向きを変えてこれを混合チャンバ266まで貫く、それぞれの流体流路を提供する。リッドアセンブリ214はまた、第7のプレートすなわち第1のガス分配器272を含み、このガス分配器は、シャワーヘッドなどのガス分配プレートとすることができ、リッドアセンブリ214で混合された様々なガスが、リッドアセンブリに形成された穿孔274を通して流される。穿孔274は、混合チャンバ266から第1のガス分配器272を通過する流路を提供するために、混合チャンバ266と流体連結している。図2Aに戻ると、ブロッカプレート228と、シャワーヘッドなどのガス分配プレートであり得る第2のガス分配器230などのガス分配プレートとが、リッドアセンブリ214の下に配置されている。
あるいは、別の洗浄処理が基板面を洗浄するために利用されてもよい。たとえば、HeおよびNF3を含有する遠隔プラズマが、リッドアセンブリ214を通して処理チャンバ200の中に導入されてもよく、NH3が、チャンバ本体212の側面に配置されガス源261に結合されている別個のガス入口225を経由して、処理チャンバ200の中に直接注入されてもよい。
支持体アセンブリ216は、処理中にその上に基板210を支持するための基板支持体232を含み得る。基板支持体232は、チャンバ本体212の底部に形成された中心設置の開口を通って延びる軸236によって、アクチュエータ234に結合することができる。アクチュエータ234は、軸236まわりの真空漏洩を防止するベローズ(図示せず)によって、チャンバ本体212に対して柔軟に封止することができる。アクチュエータ234は、基板支持体232を、チャンバ本体212内において処理位置とローディング位置の間で垂直に移動させる。このローディング位置は、チャンバ本体212の側壁に形成されたトンネルの開口(図示せず)のほんの少し下にある。
基板支持体232は、平坦な、または実質的に平坦な基板支持面を、その面で処理されるべき基板を支持するために有する。基板支持体232は、軸236により基板支持体232に結合されているアクチュエータ234によって、チャンバ本体212内で垂直に移動することができる。いくつかのステップでは、基板支持体232はリッドアセンブリ214の近傍の位置まで上昇させて、処理される基板210の温度を制御することができる。このように、基板210は、第2のガス分配器230もしくは別の輻射源から発せられた輻射によって、または介在ガスによる第2のガス分配器230からの対流もしくは伝導によって、加熱することができる。いくつかの処理ステップでは、基板をリフトピン251上に配置して、アニーリングステップを実行するなどの、追加の熱処理ステップを実行することができる。
図2Cは、基板支持体232の断面詳細図である。基板支持体232は、流体供給導管241および流体戻り導管243と流体連結している熱制御プレナム235を含み、導管241および243のそれぞれが軸236に通して配置されている。熱制御プレナム235は、冷却流体を流体供給導管241によって熱制御プレナム235の中へ、また流体戻り導管243によって外へと循環させることによって、基板支持体232の冷却機能部になり得る。
基板支持体232はまた、複数の加熱器237および239を含み得る。複数の加熱器は、この実施形態では、第1の加熱器237および第2の加熱器239を含む。第1の加熱器237と第2の加熱器239は、実質的に共平面の関係で基板支持体232内に、これらの加熱器と基板支持体面の間の熱結合を可能にする位置で、配置される。ゾーン温度制御を行うために、第1の加熱器237は基板支持体232の周辺部に配置され、第2の加熱器239は基板支持体232の中心領域に配置される。第1の加熱器237および第2の加熱器239のそれぞれは、軸236に通して配置されたそれぞれの電力導管249および247によって電源(図示せず)に結合されている、抵抗加熱器とすることができる。
動作の際、温度制御は、温度制御プレナム235と加熱器237および239との並行動作によって行うことができる。熱制御プレナム235には、上述のように冷却流体を供給することができ、抵抗加熱器としての加熱器237および239には電力を供給することができる。このようにして、別個の制御回路を、一方のアイテム、たとえば加熱器237および239では速い応答が得られるように、また熱制御プレナム235では遅い応答が得られるように、またはその逆に調整することができる。少なくとも、別々の制御パラメータが熱制御プレナム235、第1の加熱器237、および第2の加熱器239に適用されて、最適化ゾーン温度制御システムが達成され得る。
図2Cに示されるように、別個のリフト部材245が支持体アセンブリ216に含まれ得る。基板が基板支持面に載っているときに部材245のリフトピン251を収容するために、凹部(図示せず)が基板支持面に設けられてもよい。リフト部材245は、軸236に通して配置されたリフト部材245の延長部によって、リフトアクチュエータ255に結合することができる。リフトアクチュエータは、リフト部材245を垂直に移動させて、基板を基板支持面から第1のガス分配器272に向けて持ち上げることができる。リフト部材245は、開輪または閉輪などの輪とすることができ、この輪は、U形、円形、馬蹄形、または任意の便宜的な形でよい。リフト部材245は、基板を持ち上げるときの構造強度が得られる厚さを有する。1つの例では、リフト部材はセラミック材料で作られ、約1mmの厚さである。
図3は処理チャンバ300の断面図であり、この処理チャンバを使用してボックス104に見られる処理の少なくとも一部を実行することができ、したがって、基板の表面に蓄積した炭素または炭化水素などの汚染物質が除去される。処理チャンバ300は、チャンバ筐体316を含むチャンバ本体310、処理キットハウジング318、および蓋340を有する。チャンバ筐体316および蓋340は、アルミニウム、ステンレス鋼、または他の適切な材料から製造することができる。処理キットハウジング318は、アルミニウム合金または他の適切な材料から製造することができる。蓋340は、処理キットハウジング318を介してチャンバ筐体316に取り外し可能に結合される。
処理キットハウジング318は、蓋340に結合する上面と、チャンバ筐体316に結合する底面とを有するリング形ハウジングとすることができる。処理キットハウジング318は、処理キットハウジング318の内面331から下に延びる遮蔽部329を有する。処理キットハウジング318の内面331は、ガス分配プレート326を取り囲み支持する。ガス分配プレート326は、石英シャワーヘッドとすることができる。プレナム348は、ガス分配プレート326と蓋340の間に画定される。ガス分配プレート326は、ガスがポート342を通ってプレナム348に流れ込むことができるように、ガス分配プレート326の厚さを貫通して形成された複数の開孔327を含む。いくつかの実施形態では、RPS350から供給されたガスは、342を通って348まで流れる。次に、ガス分配プレート326の開孔327により、基板308を収容する処理領域330にガスが流れ込む。開孔327は、基板308への均一なガスまたはラジカルの分配を確実にするために、ガス分配プレート326の直径にわたって均一に分布している。開孔327を通って流れるガスは、ガス分配プレート326と加熱器314の間に画定された処理領域330に配置されている基板308全体にわたって分散される。遮蔽部329はまた、電気的に中性のラジカルを処理領域330内に閉じ込める助けになる。1つの例では、遮蔽部329は、基板が処理位置にあるときに、加熱器314の縁部の近傍または下の位置まで延びている。
処理チャンバ300は、導管360によってポート342に結合されている遠隔プラズマ源350を含む。ポート342は蓋340に形成される。導管360は通路356を画定し、第1の内径と、第1の内径よりも大きい第2の内径とを有し得る。第1の内径は、遠隔プラズマ源350に隣接して配置することができ、第2の内径は、蓋340に隣接して配置することができる。1つの例では、第1の内径は約12mmから約50mm、たとえば約20mmとすることができ、第2の内径は約35mmから約60mm、たとえば約40mmとすることができる。
導管360は、電気的に中性のラジカルが処理領域330に入れるようにしながら、遠隔プラズマ源350で生成されたイオンを処理領域330に入る前に濾過して除去するように構成される。処理領域330内のイオンの相対濃度がこうして低減される。1つの実施態様では、通路356を通って流れるガスが、通路管360に隣接して配置された1つまたは複数の磁石によって生成される磁場で濾過される。磁石は、導管360を横切る磁場を生成して、遠隔プラズマ源350から流れる反応性ラジカルに同伴する荷電粒子が濾過されて除去される。
図3に示された実施態様では、第1の磁石352および第2の磁石354が導管360に隣接して配置されている。第1の磁石352および第2の磁石354は、永久磁石でも電磁石でもよい。磁石352、354は、導管360の第1の内径をはさんで互いに向かい合わせに配置することができる。たとえば、磁石352、354は、導管360の外周の対向する側面に接着または固定することができる。磁石352、354は、チャンバ本体310のチャンバ蓋340または他の構成要素に交互に固定することができる。対向する磁石と通路管360内に形成される通路356との間の相対距離は、通路356を通過する磁場の強さに影響を及ぼし、それによって濾過効率に影響を及ぼす。磁場はまた、別の磁石を使用することによって、すなわち強さが異なる磁石352、354に置き換えることによって、調整することもできる。通過する荷電粒子は、導管360の内面370に引き寄せられて接触し、電気的に中性の非イオン種になる。そのため、濾過された電気的に中性のラジカルは基板の表面まで送り出されて、表面の汚染物質と反応し清浄にする。
交互に、いくつかの実施形態では、第1の磁石352および第2の磁石354は、導管360の周囲に配置される単一のリング磁石に置き換えられる。単一のリング磁石は、永久磁石でも電磁石でもよい。
いくつかの実施形態では、石英含有面が、チャンバ本体310に入る処理ガス(すなわち、ラジカルおよびイオン)の流路を覆うように配置される。たとえば、通路356を画定する導管360の内面370は、石英により全体的または部分的にコーティングする、または製造することができる。1つの構成では、プレナム348および/またはガス分配プレート326を画定する面もまた、石英により全体的または部分的にコーティングする、または製造することができる。たとえば、図3の実施態様では、上部ライナ324を処理キットハウジング318の内面331に沿って配置することができる。上部ライナ324は、プレナム348を取り囲むリング形本体を有することができ、その内面がプレナム348の外側境界を画定する。上部ライナ324は、石英から作ることができる。上部ライナ324は、ガス分配プレート326に載ることができ、あるいは他の任意の適切な固定手法で支持することができる。
ライナプレート344が、蓋340の底面に沿って配置されてもよい。ライナプレート344は、石英でコーティングする、または製造することができる。ライナプレート344は、プレナム348の上部境界を画定する。したがって、ライナプレート344、上部ライナ324、およびガス分配プレート326がプレナム348を画定する。底部ライナ325は、処理キットハウジング318の内面331に沿って配置することができる。底部ライナ325はリング形本体を有することができ、動作のために組み立てられると処理領域330を取り囲み、その内面が処理領域330の外側境界を画定する。底部ライナ325は、石英でコーティングする、または製造することができる。底部ライナ325は、遮蔽部329によって支持することができる。1つの実施形態では、張出部303が、底部ライナ325を支持するために遮蔽部329の端部で半径方向内側に延びている。したがって、導管360、ライナプレート344、上部ライナ324、底部ライナ325、およびガス分配プレートが共に、処理ガスの流路に沿って石英面を形成する。
基板支持体314が、チャンバ本体310の処理領域330に配置される。基板支持体314は、中心軸341を介してチャンバ筐体316の底部に結合される。基板支持体314は、ボックス102および104に関して上述した処理などの処理中に基板308を支持するための、基板支持面を有する。任意選択のフォーカスリング338が、基板支持面の外周の基板支持体314に配置されてもよい。フォーカスリング338は、処理中にプラズマまたは中性種を基板308の上の領域に閉じ込める。フォーカスリング338は、石英から製造することができる。
基板支持体314はアルミニウムから製造することができ、複数のサファイア接点(図示せず)が、基板支持面とサファイア接点上に配置された基板との間の接触を最小限にするために、基板支持面に配置されている。基板支持体314は、ローディング位置と処理位置の間で垂直に移動するように駆動ユニット337によって駆動される。基板支持体314には、均一な熱エネルギーを基板支持面に供給するために、1つまたは複数の加熱要素335が埋め込まれてもよい。適切な加熱要素335には、抵抗加熱器、熱電デバイス、または熱伝導流体を流すための導管が、いくつかある加熱デバイスの中で特に含まれ得る。加熱要素335は、基板308の温度を約25℃から約500℃の温度範囲に、たとえば約300℃から約350℃、約350℃から約450℃、または約450℃から約500℃に維持できるようにする。いくつかの実施態様では、加熱器314は、基板支持面の周縁部を通して形成された切り欠きを有することができ、それにより、基板支持体314がローディング位置に置かれているときに、基板ハンドラ(図示せず)が基板308をリフトピン(図示せず)から持ち上げる、または降ろすことができる。たとえば、洗浄処理中(ボックス102)、基板支持体314および基板308は、ローディング位置の上方のガス分配プレート326近くに配置されている処理位置に置かれる。
処理チャンバ300はポンプ317を含む。ポンプ317は、フォアライン361を介してチャンバ本体310に連結される。フォアライン361は、筐体316の底部に形成された開口315でチャンバ本体310につながる。チャンバ300はまた、フォアライン361内に配置されたスロットルバルブ363を含む。スロットルバルブ363は、処理中に処理チャンバプラズマ300内に所望の真空圧力を維持するために必要な範囲で、どれだけでも開閉するように操作される。ポンプ317およびスロットルバルブ363は、チャンバ本体310内部の圧力を約0.005トールから750トールまでの間、たとえば約40トールから約500トールに制御する。1つの例では、ポンプ317はドライポンプであり、処理チャンバ300内部の圧力を例示的な約0.1トールから約40トールの圧力範囲に、たとえば約30トールに維持する。1つの例では、ポンプ317は低圧ポンプであり、処理チャンバ300内部の圧力を例示的な約100ミリトールから約500ミリトールの圧力範囲に、たとえば約150ミリトールに維持する。いくつかの例では、ポンプ317はターボポンプであり、処理チャンバ300内部の圧力を例示的な約20ミリトールから500ミリトールの圧力範囲に維持する。
図4は、遠隔プラズマ源(RPS)を有する処理チャンバなどの基板処理チャンバ内に配置できる基板支持体400の斜視図である。基板支持体400は、処理チャンバ300に使用されている基板支持体314の一例である。
基板支持体400は一般に、支持体本体402と、支持体本体402に結合された軸404と、軸404の周囲に配置されたベローズ406とを含む。ベローズ406は、支持体本体402の底部および/または軸404に結合される。1つの例では、ベローズ406の底部は、基板処理チャンバの底部に真空気密に取り付けられる。駆動ユニット416が、基板処理チャンバに対する支持体本体402の垂直の動きを可能にするために、軸404に結合されてよい。いくつかの実施形態では、駆動ユニット416は、軸404を回転させるように、したがって支持体本体402を回転させるように構成することができる。
支持体本体402は、基板支持面408を有する。支持体本体402には、プラズマ洗浄処理などの処理中に均一な熱エネルギーを基板支持面408に配置されるべき基板に供給するために、1つまたは複数の加熱要素520(図5参照)が埋め込まれる、または収容される。加熱要素520は、基板の均一な加熱を確実にするために、方位対称パターンの形で配置することができる。適切な加熱要素には、抵抗加熱器、熱電デバイス、または熱伝導流体を流す導管が、いくつかある加熱デバイスの中で特に含まれ得る。1つの例では、加熱要素は抵抗加熱コイルである。加熱要素は、基板の温度を約25℃から約650℃以上の温度範囲に、たとえば約300℃から約350℃、約350℃から約450℃、約450℃から約550℃、約550℃から約650℃以上に維持できるようにする。
基板支持面408は、基板処理チャンバ内で処理中に基板が載っている、複数の接触点410を有する。接触点410は、基板支持面408に分布している。1つの実施態様では、接触点410は、支持体本体402の中心点412のまわりに同心円の形で配置される。加えて、または別法として、接触点410は、基板の均一な処理を確実にするために、方位対称パターンの形で配置されてもよい。接触点410は、突起または隆起の形状とすることができる。突起または隆起により、基板が基板支持面408に直接接触することを防止する接触表面積の最小化が実現する。1つの実施態様では、接触点410はサファイアボールである。
支持体本体402は、支持体本体402の周縁部に配置された複数の切り欠き414を有し得る。これらの切り欠きは、支持体本体の全厚を通して、すなわち基板支持面408から支持体本体402の裏側まで形成される。切り欠き414は、支持体本体402の周縁部に均等に間隔をあけて配置することができる。1つの実施態様では、4つの切り欠き414が、支持体本体402の周縁部に対称に配置される。切り欠き414は、支持体本体402がローディング位置に置かれているときに、基板ハンドラ(図示せず)が基板をリフトピン(図示せず)から持ち上げる、または降ろすことができるように寸法設定される。
支持体本体402は、セラミック、アルミニウム、または他の、窒化アルミニウムなどの適切な材料から製造することができる。軸404は、金属(たとえば、アルミニウム)から、または窒化アルミニウム、酸化アルミニウムなどのセラミック材料から、または窒化チタンもしくは窒化クロムでドープされたアルミナ、ドープされた酸化アルミニウム、ドープされた窒化ホウ素などの、ドープされたセラミックから製造することができる。1つの実施形態では、軸404は、純度約95%の窒化アルミニウムで造られる。1つの実施形態では、軸404および支持体本体402は同一の材料から製造することができる。
図5は、図4の基板支持体400の一部分の断面図である。支持体本体402の1つの実施態様には、サファイアボールなどの接触点410を受けるための複数のキャビティ510が基板支持面408に形成されている。別のバージョンの支持体本体は、支持体本体と接触点が一体型材料部片になるように支持体本体402の表面に直接機械加工された接触点を有する。第3のバージョンの支持体本体は、CVD、PVD、または蒸発堆積処理などの堆積処理によって支持体本体に堆積された接触点を有する。軸404は中空であり、中心開口512を軸内に画定する側壁518を有する。中心開口512には電力ライン528を通すことができる。電力ライン528の一端は、1つまたは複数の加熱要素520に接続され、電力ライン528の他端は、DCまたはAC電源などの加熱電源532に接続される。
軸404は、冷却流体源(図示せず)につながるチャネル530を含み得る。チャネル530は、冷却流体を冷却流体源から循環させて、軸404の温度、したがって支持体本体402の温度と、処理中に支持体本体の上に置かれる基板の温度とを制御するために、軸404内の任意の所望の位置に配置することができる。
基板支持体400は、基板支持体400もしくは基板支持面408の温度、または基板支持面408に配置されたときの基板の温度などの温度を測定するために、基板支持体400内に配置された熱電対534を含み得る。熱電対534は、熱電対プローブなどの、任意の適切な熱電対設計とすることができる。熱電対534は温度制御器535に結合することができ、この制御器は、熱電対534によって測定された温度に基づいて電力供給源536を制御することができる。
いくつかの実施形態では、加熱要素520は、別個に制御できる外側ゾーン546および内側ゾーン548を含む、マルチゾーン加熱器を備える。パージガス入口550および出口552を基板支持体400に設けることができる。入口550および出口552を利用して、裏側ガスを基板支持面408上の基板に供給することができる。ガスチャネル552はまた、基板の前面と背面の間に圧力差を作り出して基板を台座面408に保持するために、真空ポンプまたは低圧領域に連結することもできる。いくつかの実施形態では、エッジリング554を基板支持面408の上に設けることができる。
図6は、1つの実施形態による、石英製処理チャンバまたは反応チャンバ605を含む、単一基板化学気相堆積(CVD)リアクタ600を示す。リアクタ600は、本明細書で開示されたSiGe膜およびGe膜を含む、いくつかの異なる材料のCVDに利用することができる。さらに、図示のリアクタ600は、以下の議論で明らかになるように、同一のチャンバ605内で複数の堆積ステップを遂行することができる。
チャンバ600は全体的に、長方形の箱の形状を有し得る。熱エネルギーを、感知できるほどには石英チャンバ605の壁に吸収されることなくチャンバ605に供給するために、複数の輻射熱源がチャンバ605の外側に支持されている。諸実施形態は、半導体基板を処理するための「冷えた壁」のCVDリアクタに関連して説明されているが、本明細書に記載の方法には、誘導加熱または抵抗加熱を使用するものなど、他の加熱/冷却システムと組み合わせての有用性があることを理解されたい。
輻射熱源は、細長い管型輻射加熱要素610からなる上方加熱アセンブリを備える。上方加熱要素610は、好ましくは間隔を置いた平行関係に配置され、反応チャンバ605を通り抜ける反応物質ガス流路(矢印612で示す)とも実質的に平行になっている。下方加熱アセンブリは、反応チャンバ605の下に置かれ上方加熱要素610に対し横向きの、同様の細長い管型輻射加熱要素615を備える。輻射熱の一部分が、上のランプ610および下のランプ615のそれぞれ上方および下方の粗鏡面反射鏡プレート(図示せず)によって、チャンバ605の中に拡散反射される。加えて、複数のスポットランプ620が基板支持構造体(以下で説明)の下側に集中熱を供給して、反応チャンバ605の底部を貫通して延びる冷えた支持構造体によって生じるヒートシンク効果を相殺する。細長い管型加熱要素610、615のそれぞれは、好ましくは高輝度タングステンフィラメントランプであり、感知できるほどには吸収されずに反応チャンバ605の壁を経由して伝達される輻射熱エネルギーを生成する。半導体処理機器の技術分野では知られているように、様々なランプ610、615、620の電力は、温度センサに応答して個別に、またはグループ化ゾーン内で制御することができる。
シリコン基板625を含む加工品が図で、反応チャンバ605内で基板支持体構造630の上に支持されている。図示の支持体構造630は、基板625が載っている基板ホルダ632と、支持体スパイダ634とを含む。スパイダ634は軸636に取り付けられ、この軸は、チャンバ下方壁を貫通して延びる管638の中を下向きに延びる。管638は、基板の処理中に流れることができるパージガスの供給源と連通している。パージガスを利用して、処理ガスがチャンバ605の下方区域に入ることを抑制することができる。パージガスはまた、基板625の下に水平に流れることもできる。
複数の温度センサが、基板625の近傍に置かれている。温度センサは、光高温計または熱電対など、様々な形を取ることができる。図示の実施形態では、温度センサは、任意の適切な方法で基板ホルダ632の下に吊り下げられた、第1すなわち中心の熱電対640を含む、熱電対を備える。中心熱電対640は、基板ホルダ632の近傍でスパイダ634を通過する。リアクタ600はさらに、前縁部すなわち前部熱電対645、後縁部すなわち後部熱電対650、および側部熱電対(図示せず)を含む、複数の第2すなわち周辺の熱電対をやはり基板625の近傍に含む。周辺熱電対のそれぞれは、基板ホルダ632および基板625を取り囲むスリップリング652の中に収容される。中心および周辺の熱電対のそれぞれは温度制御器に接続され、この温度制御器は、熱電対の読み取り値に応じて様々な加熱要素610、615、620の電力を設定する。
周辺熱電対を収容することに加えて、スリップリング652は、高温処理中に輻射熱を吸収し放出する。スリップリング652を利用して、基板縁部近くの領域において体積に対する表面積の比が大きいことにより生じることが分かっている現象である、基板縁部の大きい熱損失または熱吸収を補償することができる。縁部損失を最小限にすることによって、スリップリング652は、基板625全体にわたる半径方向の温度不均一性のリスクを低減することができる。スリップリング652は、任意の適切な手段で吊り下げることができる。たとえば、図示のスリップリング652は、前部チャンバ仕切り656から延びる支持体部材654と、後部チャンバ仕切り658との上に載っている。仕切り656、658は、望ましくは石英で造られる。一部の装置では、後部仕切り658を省くことができる。
図示の反応チャンバ605は、反応物質およびキャリアガスを注入するための入口ポート660を含み、基板625もまた、この入口から受け入れることができる。出口ポート664がチャンバ605の反対側にあり、基板支持体構造630が入口660と出口664の間に位置する。
入口構成要素665が反応チャンバ605に嵌合され、入口ポート660を取り囲むように適合されており、基板625を挿入できる水平に細長いスロット667を含む。全体的に垂直の入口668がガス源からのガスを受け入れ、このようなガスをスロット667および入口ポート660に送る。図6には個別に示されていないが、ガス源は、水素、シリコン前駆体およびゲルマニウム前駆体と、Siおよび/またはGe堆積の前の冷却ステップの間中に表面活性化合物をチャンバに流し込むステップを含む本明細書に記載の一連のステップを制御する、制御器(たとえば、あらかじめプログラムされたコンピュータ)とを含み得る。入口668は、単一基板リアクタのガス流の均一性を最大にするように設計されたガス注入器を含み得る。
出口構成要素670は同様に、排気開口672が出口ポート664と整合し排気導管674につながるように処理チャンバ605に取り付く。その結果、導管674は、処理ガスをチャンバ605から排気する適切な真空手段(図示せず)と連通することができる。1つの実施形態では、処理ガスは、反応チャンバ605および下流スクラバ(図示せず)から引き出される。ポンプまたはファンが好ましくは、チャンバ605から処理ガスを引き出す助けになるように、また減圧処理のために、すなわち、以下で論じるように、大気圧よりは低いが超高真空圧範囲よりは高くにチャンバを排気するために、含まれる。
図示のリアクタ600はまた、チャンバ600の上流に置かれた励起種の供給源676も含む。図示の実施形態の励起種供給源676は、ガスライン678に沿った遠隔プラズマ発生器を備える。図示の実施形態では、RPSエネルギー供給源からのマイクロ波エネルギーは、ガスライン678に沿ったアプリケータ内で、流れるガスと結合される。前駆体ガスの供給源680が、励起種供給源676への導入のためにガスライン678に結合される。キャリアガスの供給源682もまた、ガスライン678に結合される。1つまたは複数の分岐ライン684もまた、追加反応物質用に設けることができる。当技術分野では知られているように、ガス源680、682は、反応物質種の形状および揮発性に応じて、ガスタンク、バブラなどを備えることができる。各ガスラインは、供給源676に導入されてから反応チャンバ605に入るキャリアと反応物質種の相対量を選択できるように、図示の別個の質量流量制御器(MFC)およびバルブを備えることができる。励起種供給源676は、プラズマ促進堆積に使用できるが、チャンバ605内に基板がないときに過剰堆積のチャンバ605を洗浄するための、エッチャントを励起するのに利用することもできる。
200mm基板を処理するように設計された単一基板処理チャンバ605の総容積容量は、約20リットル未満など、約30リットル未満であり、1つの実施形態では、約10リットル未満である。図示のチャンバ605は、約7.5リットルの容量を有する。図示のチャンバ605は、仕切り656、658、基板ホルダ632、およびリング652と、管638から流れるパージガスとによって仕切られているので、処理ガスが流れる有効容量は、総容量のおよそ半分である(たとえば、図示の実施形態では約3.77リットル)。単一基板処理チャンバ605の容量は、チャンバ605が収容するように設計されている対象の基板のサイズによって異なり得ることを理解されたい。たとえば、300mm基板用の単一基板処理チャンバ605は、約60リットルなど、約100リットル未満の容量を有し、1つの実施形態では約30リットル未満である。1つの例では、300mm基板用の単一基板処理チャンバ605は、約24リットルの総容量を有し、有効容量が約12リットルである。
Ge含有層の堆積温度は通常、摂氏(C)約250度から約600度C、たとえば約300度Cから約450度Cの範囲内である。たとえば、前駆体の熱安定性が低下するにつれて、低い堆積温度がより適切になる傾向がある。単一基板処理チャンバ605内の総圧力は、10-5トールから約800トールの範囲内である。いくつかの実施形態では、圧力は、約1トールから約200トールなど、約200ミリトールから約760トールであり、たとえば約1トールから約60トールである。
図7は、1つの実施形態による、低圧エピタキシャル堆積用に構成された裏側加熱処理チャンバ700の概略断面図を示す。処理チャンバ700を使用して、基板625の上面への材料の堆積を含む、1つまたは複数の基板の処理をすることができる。処理チャンバ700は、構成要素の中でも特に、処理チャンバ700内に配置された基板支持体706の裏側704を加熱するための、輻射加熱ランプ702のアレイを含み得る。基板支持体706は、図示の円盤状基板支持体706とすることができ、あるいはリング状基板支持体(中心開口を有する)とすることができ、この支持体は基板を基板の縁部から支持して、ランプ702の熱輻射に基板を曝しやすくする。
基板支持体706は、処理チャンバ700内の上ドーム728と下ドーム714の間にある。上ドーム728、下ドーム714、ならびに上ドーム728と下ドーム714の間に配置されているベースリング736は、全体で処理チャンバ700の内部領域を画定する。基板625(原寸に比例していない)は、処理チャンバ700の中に移送され、この図では示されていないローディングポート103を経由して基板支持体706の上に置かれる。
基板支持体706は中心軸732によって支持されており、この中心軸は基板625をローディング中およびアンローディング中に、および場合によっては基板625の処理中に、垂直方向734に動かす。基板支持体706は、上昇させた処理位置で図7に示されているが、中心軸732に結合されたアクチュエータ(図示せず)によって、処理位置の下のローディング位置まで垂直に移ることができる。処理位置の下に下ろされると、リフトピン705が基板625に接触し、基板625を基板支持体706から引き上げる。次に、ロボット(図示せず)が処理チャンバ700に入って基板625に係合し、基板を処理チャンバからローディングポートに通して移動させる。基板支持体706は次に、処理位置まで垂直に駆動されて基板625を、そのデバイス面716を上向きにして、基板支持体706の前面710に置くことができる。
基板支持体706は処理位置にあるが、処理チャンバ700の内部容積部を基板625の上の処理ガス領域756と、基板支持体706の下のパージガス領域758とに分割する。基板支持体706は、処理中に中心軸732によって回転されて熱の影響と、処理チャンバ700内の処理ガス流の空間的異常とが最小限にされ、したがって、基板625の均一な処理が容易になる。基板支持体706は、ランプ702からの輻射エネルギーを吸収して基板625に輻射エネルギーを伝えるように、炭化ケイ素または炭化ケイ素でコーティングされたグラファイトから造ることができる。
一般に、上ドーム728の中心窓部分および下ドーム14の底部は、石英などの光学的に透明な材料から造られる。上ドーム728の厚さおよび曲率は、処理チャンバ内の均一な流れ均一性のより平らな幾何形状が得られるように構成することができる。
ランプ702のアレイは、下ドーム714に隣接して、またその下に、中心軸732のまわりに特定の最適な所望の方法で、処理ガスが通過するときに基板625の様々な領域の温度を個々に制御するように配置することができ、これにより、基板625の上面への材料の堆積が容易になる。ここでは詳細に論じないが、堆積される材料には、ガリウム砒素、ガリウムナイトライド、またはアルミニウムガリウムナイトライドが含まれ得る。いくつかの実施形態では、ランプ102などの輻射加熱ランプのアレイは、上ドーム728の上に配置されることがある。
ランプ702は、基板625を約200度Cから約1600度Cの範囲内の温度に加熱するように構成された電球を含むように構成することができる。各ランプ702は、電力を各ランプ702に供給する電力分配ボード(図示せず)に結合される。ランプ702はランプヘッド745の中に配置され、このランプヘッドは、処理中または処理後に、たとえばランプ702の間にあるチャネル749に冷却流体を導入することによって、冷却することができる。ランプヘッド745は、ランプヘッド745が下ドーム714に近接していることに一部は起因して、下ドーム714を伝導的および輻射的に冷却する。ランプヘッド745はまた、ランプ壁と、ランプまわりのリフレクタの壁(図示せず)とを冷却することもできる。あるいは、下ドーム714は、対流的手法によって冷却することもできる。適用例によって、ランプヘッド745は下ドーム714に接触することもしないこともある。
円形遮蔽体767が任意選択で基板支持体706のまわりに配置され、ライナアセンブリ763で取り囲まれてもよい。遮蔽体767は、処理ガスの予加熱ゾーンを形成しながら、ランプ702から基板625のデバイス側716への熱/光ノイズの漏洩を防止または最小化する。遮蔽体767は、CVD SiC、SiCでコーティングされた焼結グラファイト、成長SiC、不透明石英、もしくはコーティングされた石英から、または処理およびパージガスによって分解された化学物質に耐性がある任意の同様の適切な材料から作ることができる。
ライナアセンブリ763は、ベースリング736の内周部の中に入れ子にされるか内周部に取り囲まれるように寸法設定される。ライナアセンブリ763は、処理容積部(すなわち、処理ガス領域756およびパージガス領域758)を処理チャンバ700の金属壁から遮蔽する。金属壁は前駆体と反応し、処理容積部の汚染を引き起こすことがある。ライナアセンブリ763は単一本体として図示されているが、ライナアセンブリ763は、構成が異なる1つまたは複数のライナを含み得る。
基板支持体706から基板625を裏側加熱する結果、基板支持体の温度測定/制御のために光高温計718の使用を実施することができる。このようにして基板前側710を加熱することは放射率に無関係であるので、光高温計718による温度測定はまた、基板625のデバイス側716に対して、放射率が未知でも行うことができる。その結果、光高温計718は、光高温計718に直接到達するランプ702からの背景輻射が最小限の状態で、基板支持体706から熱を伝える高温の基板625からの輻射だけを検知することができる。
リフレクタ722が、基板625から輻射する光を反射して基板625に返すように、上ドーム728の外側に任意選択で配置されてもよい。リフレクタ722は、クランプリング730を使用して上ドーム728に固定することができる。リフレクタ722は、アルミニウムまたはステンレス鋼などの金属で作ることができる。反射効率は、リフレクタ領域を金などの高反射性被膜でコーティングすることによって改善することができる。リフレクタ722は、冷却源(図示せず)に連結された1つまたは複数のチャネル726を有することができる。チャネル726は、リフレクタ722を冷却するためにリフレクタ722の側面に形成された通路(図示せず)につながる。通路は、水などの流体の流れを搬送するように構成され、リフレクタ722の一部分または全面を覆う任意の所望のパターンで、リフレクタ722の側面に沿って水平に伸びることができる。
処理ガス源772から供給される処理ガスは、ベースリング736の側壁に形成された処理ガス入口774を通して処理ガス領域756に導入される。処理ガス入口774は、概して半径方向内向きに処理ガスを導くように構成される。膜形成処理の間中、基板支持体706は、処理ガス入口774にほぼ同じ高さで隣接する処理位置にあり、それによって処理ガスが、基板625の上面全体にわたって層流の形で、流路773に沿って上にもまわりにも流れることができる。処理ガスは、処理ガス入口774の反対側の処理チャンバ700の側面に設置されたガス出口778を通って処理ガス領域756を(流路775に沿って)出る。ガス出口778を通して処理ガスを除去することが、ガス出口に結合された真空ポンプ780によって容易になり得る。処理ガス入口774とガス出口778は互いに一直線に揃えられ、ほぼ同じ高さに配置されるので、このような並列配置は、より平らな上ドーム728と組み合わされた場合に概して平面の均一なガス流を基板625全体にわたって可能にすると考えられる。さらに半径方向の均一性が、基板625を基板支持体706によって回転させることによってもたらされ得る。
パージガスが、ベースリング736の側壁に形成された任意選択のパージガス入口764を通して(または、処理ガス入口774を通して)、パージガス源765からパージガス領域758に供給され得る。パージガス入口764は、処理ガス入口774より下の高さに配置される。循環遮蔽体767または予加熱リング(図示せず)が使用される場合、この循環遮蔽体または予加熱リングは、処理ガス入口774とパージガス入口764の間に配置することができる。どちらの場合も、パージガス入口764は、パージガスを概して半径方向内向きに導くように構成される。膜形成処理中、基板支持体706は、パージガスが層流の形で基板支持体706の裏側704全体にわたって流路765に沿って流れるような位置に置くことができる。何か特定の理論に束縛されるものではないが、パージガスの流れは、処理ガスの流れがパージガス領域758に入ることを防止または実質的に回避するものと考えられ、あるいはパージガス領域758(すなわち、基板支持体706の下の領域)に入る処理ガスの拡散を低減するものと考えられる。パージガスは、パージガス領域758を(流路766に沿って)出ると、パージガス入口764の反対側の処理チャンバ700の側面に設置されているガス出口778を通って、処理チャンバから排出される。
図8は、CVDまたはエピタキシャル堆積チャンバ800の概略断面図であり、このチャンバは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なCENTURA(登録商標)一体型処理システムの一部であり得る。堆積チャンバ800は、アルミニウムまたはステンレス鋼、たとえば316 Lステンレス鋼などの、処理耐性材料で作られたハウジング構造体801を含む。ハウジング構造体801は、石英チャンバ830などの処理チャンバ800の様々な機能要素を取り囲み、この石英チャンバは、上チャンバ805、および下チャンバ824を含み、その中に処理容積部818が収容される。反応性種がガス分配アセンブリ850によって石英チャンバ830に供給され、処理副生成物が処理容積部818から、通常は真空源(図示せず)と連通している出口838を通して除去される。
基板支持体817は、処理容積部818へ移送される基板625を受け取るように適合される。基板支持体817は、堆積チャンバ800の縦軸802に沿って配置される。基板支持体は、セラミック材料、または炭化ケイ素などのケイ素材料でコーティングされたグラファイト材料、または他の処理耐性材料で作ることができる。前駆体反応物質材料からの反応性種が基板625の表面816に付加され、その後に副生成物を表面816から除去することができる。基板625および/または処理容積部818の加熱が、上ランプモジュール810Aおよび下ランプモジュール810Bなどの輻射源によって行われ得る。
1つの実施形態では、上ランプモジュール810Aおよび下ランプモジュール810Bは赤外線(IR)ランプである。ランプモジュール810Aおよび810Bからの非熱エネルギーすなわち輻射は、上石英チャンバ805の上石英窓804を通して、および下石英チャンバ824の下石英部803を通して伝わる。必要な場合、上石英チャンバ805の冷却ガスが入口812から入り、出口813から出る。前駆体反応物質材料、ならびに希釈剤、チャンバ800のパージガスおよびベントガスが、ガス分配アセンブリ850を通って入り、出口838から出る。上石英窓804は湾曲しているように、または凸形であるように図示されているが、上石英窓804の両側の圧力が実質的に同じ(すなわち大気圧)であるので、上石英窓804は平面であっても凹面であってもよい。
反応性種を活性化し、反応物質の吸着と、基板625の表面816からの処理副生成物の脱着とを助けるために使用される、処理容積部818内の短波長の輻射は通常、約0.8μmから約1.2μmの範囲、たとえば約0.95μmから約1.05μmまでの間であり、たとえばエピタキシャル成長される膜の組成に応じて、様々な波長の組み合わせが提供される。
成分ガスは、ガス分配アセンブリ850を経由して処理容積部818に入る。ガスは、大まかに822で示されているように、ガス分配アセンブリ850から流れ出てポート838から出る。基板表面を洗浄/不動態化するために、またはエピタキシャル成長されるシリコンおよび/またはゲルマニウム含有膜を形成するために使用される、成分ガスを組み合わせたものは通常、処理容積部に入る前に混合される。処理容積部818の全体圧力は、出口ポート838のバルブ(図示せず)によって調整することができる。処理容積部818の内面の少なくとも一部分は、ライナ831で覆われる。1つの実施形態では、ライナ831は、不透明な石英材料を含む。このようにして、チャンバ壁は処理容積部818の熱から絶縁される。
処理容積部818の表面の温度は、ポート812から入ってポート813から出る冷却ガスの流れによって、上石英窓804の上方に置かれた上ランプモジュール810Aからの輻射と相まって、約200℃から約600℃以上の温度範囲内に制御することができる。下石英チャンバ824内の温度は、図示されていないブロアの速度を調整することによって、および下石英チャンバ824の下に配置された下ランプモジュール810Bからの輻射によって、約200℃から約600℃以上の温度範囲内に制御することができる。処理容積部818の圧力は、約5トールから約30トールまでの間など、約0.1トールから約600トールまでの間とすることができる。
基板625の表面816の温度は、下石英チャンバ824の下ランプモジュール810Bの電力調整によって、または上石英チャンバ804の上にある上ランプモジュール810Aと、下石英チャンバ824の下ランプモジュール810Bとの両方の電力調整によって、制御することができる。処理容積部818内の電力密度は、約80W/cm2から約120W/cm2など、約40W/cm2から約400W/cm2までの間とすることができる。
1つの態様では、ガス分配アセンブリ850は、チャンバ800または基板625の縦軸802に対して直角に、すなわち半径方向806に配置される。この向きでは、ガス分配アセンブリ850は、基板625の表面816を横切る、または表面に平行な半径方向806に処理ガスを流すように適合される。1つの処理適用例では、処理ガスは、処理容積部818への導入の前にガスの予加熱を開始するために、および/またはガスの特定の結合を切断するために、チャンバ800への導入の時点で予加熱される。このようにして、表面反応速度論が、基板625の熱温度とは無関係に修正され得る。
動作中、SiおよびSiGeブランケットまたは選択膜を形成するための前駆体が、1つまたは複数のガス源840Aおよび840Bからガス分配アセンブリ850に供給される。IRランプ856(図8には1つだけ示されている)を利用して、前駆体をガス分配アセンブリ850内部で、ならびに流路822に沿って加熱することができる。ガス源840A、840Bは、平面図で見たときに外側ゾーン間の半径方向外側ゾーンおよび半径方向内側ゾーンなどの、ガス分配アセンブリ850内の導入ゾーンを容易にするように構成された方法で、ガス分配アセンブリ850に結合することができる。ガス源840A、840Bは、これらのゾーンへの導入速度を制御するためのバルブ(図示せず)を含み得る。
ガス源840A、840Bは、シラン(SiH4)、ジシラン(Si26)、ジクロロシラン(SiH2Cl2)、ヘキサクロロジシラン(Si2Cl6)、ジブロモシラン(SiH2Br2)、高次シラン、これらの誘導体、およびこれらの組み合わせを含む、シランなどのシリコン前駆体を含み得る。ガス源840A、840Bはまた、ゲルマン(GeH4)、ジゲルマン(Ge26)、ゲルマニウムテトラクロライド(GeCl4)、ジクロロゲルマン(GeH2Cl2)、これらの誘導体、およびこれらの組み合わせなどの、ゲルマニウム含有前駆体を含み得る。シリコンおよび/またはゲルマニウム含有前駆体は、塩化水素(HCl)、塩素ガス(Cl2)、臭化水素(HBr)、およびこれらの組み合わせと一緒に使用することができる。ガス源840A、840Bは、1つまたは複数のシリコンおよびゲルマニウム含有前駆体をガス源840A、840Bの一方または両方に含み得る。
前駆体材料は、この励起状態で、有孔板854の開口または複数の孔858(図8には1つだけ示されている)を通って処理容積部818に入り、この有孔板は、1つの実施形態では、孔858が貫通して形成されている石英材料である。有孔板854は、IRエネルギーに対し透過性であり、透明な石英材料で作ることができる。別の実施形態では、有孔板854は、IRエネルギーに対し透過性であり処理化学物質および他の処理化学物質に対し耐性がある、任意の材料とすることができる。活性化前駆体は、有孔板854の複数の孔858を通り、複数のチャネル852(図8には1つだけ示されている)を通って処理容積部818に向かって流れる。IRランプ856からの光子および非熱エネルギーの一部分もまた、ガス分配アセンブリ850の内面に配置された反射性材料および/または表面によって助長されて孔858、有孔板854、およびチャネル852を通過し、それによって、前駆体材料の流路(図8に矢印822として示される)が照らされる。このようにして、前駆体材料の振動エネルギーが、導入箇所から処理容積部818まで流路に沿って維持され得る。
図9は、本開示の諸実施態様による、図1に示された処理シーケンス100を完了するために使用できる例示的な真空処理システム900を示す。図9に示されるように、複数の処理チャンバ902a、902b、902c、902dは、第1の移送チャンバ904に結合される。処理チャンバ902a~902dは、アニーリング、化学気相堆積、物理的気相堆積、エピタキシャル処理、エッチング処理、熱酸化または熱窒化処理、脱ガスなどの、任意の基板関連処理を行うために使用することができる。1つの実施態様では、処理チャンバ902aは、気相エピタキシ堆積チャンバなどの膜形成チャンバ、たとえば、カリフォルニア州Santa ClaraのApplied Materialsから入手可能なEpiチャンバとすることができ、このEpiチャンバは、結晶シリコンまたはシリコンゲルマニウムを形成することができる。別の実施態様では、処理チャンバ902aは、図6に関連して説明した単一基板処理チャンバ600などの、エピタキシ堆積チャンバとすることができる。別の実施態様では、処理チャンバ902aは、図7に関連して説明した処理チャンバ700とすることができる。別の実施態様では、処理チャンバ902aは、図8に関連して説明した処理チャンバ800とすることができる。
処理チャンバ902bは、急速熱処理チャンバ(RTP)とすることができる。処理チャンバ902cは、プラズマエッチングチャンバまたはプラズマ洗浄チャンバである。たとえば、処理チャンバ902cは、図2Aに関連して説明した処理チャンバ200、または図3に関連して説明した処理チャンバ300とすることができる。処理チャンバ902dは、脱ガスチャンバとすることができる。第1の移送チャンバ904はまた、少なくとも1つの移行ステーション、たとえば1対の通過ステーション906、908に結合される。通過ステーション906、908は、基板が第1の移送チャンバ904と第2の移送チャンバ910の間で移送されるようにしながら真空を維持する。第1の移送チャンバ904は、基板を通過ステーション906、908と処理チャンバ902a~902dのいずれかとの間で移送するための、ロボット基板ハンドリング機構(図示せず)を有する。処理チャンバ902a~902dは、図9に特定の順序で構成されて示されているが、任意の所望の順序で構成されてもよい。
通過ステーション906、908の一端は、第2の移送チャンバ910に結合される。したがって、第1の移送チャンバ904と第2の移送チャンバ910は、通過ステーション906、908によって分離され連結される。第2の移送チャンバ910は第1のプラズマ洗浄チャンバ914と結合され、この第1のプラズマ洗浄チャンバは、基板の表面から酸化物を除去するためのボックス902に見られる処理のうちの少なくとも一部を行うように適合されている、処理チャンバ200(図2A)などのプラズマチャンバとすることができる。1つの実施態様では、第1のプラズマ洗浄チャンバ914は、Siconi(商標)チャンバまたはSelectra(商標)チャンバであり、カリフォルニア州Santa ClaraのApplied Materialsから入手可能である。別の実施態様では、プラズマ洗浄チャンバ914は、図2Aに関連して説明した処理チャンバ200とすることができる。別の実施態様では、プラズマ洗浄チャンバ914は、図3に関連して説明した処理チャンバ300とすることができる。さらに別の実施態様では、2つの処理チャンバ200がプラズマ洗浄チャンバ914の位置に配置され、2つの処理チャンバ300がステーション906および908に配置され、4つのエピチャンバが処理チャンバ902a~902dに配置される。
1つの実施態様では、少なくとも1つの移行ステーション、たとえば通過ステーション906、908のうちの1つは、プラズマ洗浄チャンバであるように構成される。あるいは、プラズマ洗浄チャンバが、基板の表面から汚染物質を除去するために、通過ステーション906、908のうちの1つと結合されてもよい。したがって、処理システム900は、通過ステーション906、908のうちの1つである、またはその1つと結合されている、第2のプラズマ洗浄チャンバを有し得る。図9に示された1つの実施態様では、通過ステーション906は第2のプラズマ洗浄チャンバ916を含む。第2のプラズマ洗浄チャンバ916は、基板の表面から汚染物質を除去するためのボックス104に見られる処理の少なくとも一部を実行するように適合されている、処理チャンバ300(図3)の1つのバージョンとすることができる。ただ1つのプラズマ洗浄チャンバ916が図で通過ステーションに、この場合には通過ステーション906に、結合されているが、プラズマ洗浄チャンバ(たとえば、処理チャンバ300の1つのバージョン)が通過ステーション906および908の両方の中に配置されてもよいことに留意されたい。
第2の移送チャンバ910もまた、基板を1組のロードロックチャンバ912と第1のプラズマ洗浄チャンバ914または第2のプラズマ洗浄チャンバ916との間で移送するための、ロボット基板ハンドリング機構(図示せず)、または後方の移送チャンバ906/908に通じる経路を有する。ファクトリインターフェース920が、ロードロックチャンバ912によって第2の移送チャンバ910に連結される。ファクトリインターフェース920は、ロードロックチャンバ912の反対側の1つまたは複数のポッド930に結合される。ポッド930は通常、洗浄室(図示せず)からアクセス可能な前面開口統合ポッド(FOUP)である。
2つの移送チャンバが示されているが、これらの移送チャンバのいずれかが省かれてもよいことが企図されている。第1の移送チャンバ914が省かれる1つの実施態様では、第2のプラズマ洗浄チャンバ916は、通過ステーション906または908によって占有されているように現在示されている位置で、第2の移送チャンバ910内に配置されても、これに結合されてもよい。第2の移送チャンバ910は、たとえばカリフォルニア州Santa ClaraのApplied Materials Inc.から入手可能なCentura(商標)Epiチャンバであるエピタキシチャンバなどの、結晶シリコンまたはシリコンゲルマニウムを形成できる1つまたは複数の処理チャンバに結合することができる。
動作中、基板がポッド930から真空処理システム900へ、ロードロックチャンバ912のうちの1つの中に設置されている輸送カセット(図示せず)に入れられて搬送される。第2の移送チャンバ910内のロボット輸送機構は、基板をロードロックチャンバ912から第1のプラズマ洗浄チャンバ914まで1度に1枚輸送し、この第1のプラズマ洗浄チャンバでは、たとえばボックス102に見られる処理の洗浄処理が行われて、酸化物が基板の表面から除去される。酸化物が基板表面から除去された後、第2の移送チャンバ910内に配置されたロボット輸送機構は、基板を第1のプラズマ洗浄チャンバ914から第2のプラズマ洗浄チャンバ916まで移送し、この第2のプラズマ洗浄チャンバでは、たとえばボックス104に見られる処理の還元処理が行われて、炭素または炭化水素などの汚染物質が基板表面から除去される。ここでの各ステップはまた、逆の順序で、すなわちロボット輸送機構を使用して基板を第2のプラズマ洗浄チャンバ916から第1のプラズマ洗浄チャンバ914まで移送して、行われてもよいことが企図されている。どちらの場合でも、清浄な基板は次に、第1の移送チャンバ904内に配置されたロボット輸送機構によって、第2のプラズマ洗浄チャンバ916(または第1のプラズマ洗浄チャンバ914)から1つまたは複数の処理チャンバ902a~902dまで移送される。1つまたは複数の処理チャンバ902a~902dは、ボックス106に記載されたエピタキシャル堆積などの層形成処理が行われるエピタキシ処理チャンバを含み得る。
1つまたは複数の処理チャンバ902a~902dでの処理が完了すると、第1の移送チャンバ904内に配置されたロボット輸送機構は、基板を処理チャンバ902のうちのどれか1つから通過ステーション908まで移動させる。次に基板は、第2の移送チャンバ910内に配置されたロボット輸送機構によって通過ステーション908から取り出され、別のロードロックチャンバ912まで移送され、このロードロックチャンバを通して基板が真空処理システム900から引き出される。
3つのボックス102、104および106すべての処理が同一の真空処理システム900の中で実行されるので、基板が様々なチャンバの間で移送されるときに真空が損なわれず、これにより、汚染の可能性が低減し、堆積エピタキシャル膜の品質が改善する。基板の移動は、本明細書では説明の目的で記述されていることを理解されたい。制御器(図示せず)を使用して、適用例によって変わり得る所望の順序付けプログラムに従って真空処理システム900中の基板の移動をスケジュールすることができる。
本開示の利点には、2つの異なるタイプの予洗浄チャンバを同一の真空処理システムのエピタキシャル処理チャンバと一体化する、改善された真空処理システムが含まれる。予洗浄処理チャンバは、第1のプラズマ洗浄処理チャンバおよび第2のプラズマ洗浄処理チャンバを含み得る。同一の真空処理システムに2つのタイプの表面材料除去チャンバが共存すると、表面前処理とエピタキシャル堆積の間で基板が真空中にとどまることができ、これにより、基板が外界に曝される時間が低減するとともに、別の処理チャンバまたはシステムで基板を準備する必要がなくなる。このアーキテクチャではまた、2つの移送チャンバ間の通過ステーションが予洗浄処理チャンバとしても機能するので、真空システム上の処理チャンバの数を最大限にし、これによっても基板の総ハンドリング時間が低減する。
図1の処理102の一例は、図2Aの処理チャンバ200で実行することができる。アルゴンが遠隔プラズマユニット252350を通され、アルゴンの5~10%のHFからなる第1の混合物が入口256を通され、アルゴンの25%のNH3からなる第2の混合物が入口258を通される。遠隔プラズマは、2sLmで流れるアルゴンガスに500Wのマイクロ波またはRF出力を加えることによって形成される。第1の混合物は第1の入口256に500sccmで流され、第2の混合物は第2の入口258に500sccmで流される。基板は、温度制御流体を熱制御プレナム235に通すことによって摂氏10度の温度に維持される。基板支持体232に電力が供給されて半径方向の温度制御が行われ得る。チャンバは5トールの圧力に維持され、基板は、基板表面のすべての所望の酸化物を昇華可能な固体に変換するのに適している時間、たとえば300秒間処理される。基板は次に、基板表面の輻射加熱または伝導加熱を行うために摂氏約200度に加熱されている第2のガス分配器230の近くに移動される。基板は、基板表面に形成された固体を昇華させるために、第2のガス分配器230からの熱輻射の近傍に1~5分間保持されて、無酸素の表面が残る。次に基板は、任意選択で不活性雰囲気のもとで熱処理されて、フッ素含有種などの酸素除去処理の残留種がもしあれば除去され得る。この熱処理には、熱処理チャンバ内に基板を配置し、チャンバ内の熱処理装置に通電して基板を約1分間、摂氏約300度の温度に加熱することが含まれ得る。
図1の処理104の一例は、図3の処理チャンバ300で実行することができる。水素ガスが、500Wのマイクロ波またはRF出力で電力供給される遠隔プラズマ源350を2sLmで通される。基板は、基板支持体314を加熱することによって摂氏約400度の温度に維持され、処理領域330は約0.5トールの圧力に維持される。基板はこれらの条件で、所望のすべての汚染物質または外来種を除去するのに適切な時間処理され、水素が基板の表面をたとえば約1分間終端する。次に基板は任意選択で、遠隔プラズマ源350のプラズマ出力を中断しながら、アルゴンなどの不活性ガスを導管360に通して供給することによって不活性雰囲気のもとで、さらに熱処理またはアニールすることができる。基板は、摂氏600度のアニール温度に維持することができる。あるいは、基板は、たとえば図9に関連して説明した処理システム900に結合できるアニールチャンバまで移動させてから、いかなる残留表面欠陥も除去して欠陥および不純物が最少の、均一に清浄で秩序だった表面結晶構造を残すために、摂氏800~1000度などの高温でアニールする、すなわち熱処理することができる。
上記は本開示の諸実施態様を対象としているが、本開示のその他のさらなる実施態様を本開示の基本的な範囲から逸脱することなく考案することができる。

Claims (8)

  1. 少なくとも1つの気相エピタキシチャンバに結合された移送チャンバと、
    前記移送チャンバに結合され、第1の洗浄化学物質を使用する酸化物除去チャンバを含む真空チャンバとを備える処理システムであって、前記酸化物除去チャンバが、
    混合チャンバおよびガス分配器を有するリッドアセンブリと、
    前記リッドアセンブリの一部分を貫通して形成され、前記混合チャンバと流体連結している第1のガス入口と、
    前記リッドアセンブリの一部分を貫通して形成され、前記混合チャンバと流体連結している第2のガス入口と、
    前記リッドアセンブリの一部分を貫通して形成され、前記混合チャンバと流体連結している第3のガス入口と、
    基板支持体であって、
    基板支持面、
    冷却チャネル、および
    前記基板支持面の凹部に配置され前記基板支持体を介してリフトアクチュエータに結合されたリフト部材を有する、基板支持体を含み、前記処理システムがさらに
    前記移送チャンバに結合され、前記第1の洗浄化学物質とは異なる第2の洗浄化学物質を使用するプラズマ汚染物質除去チャンバであって、
    遠隔プラズマ源を含む、プラズマ汚染物質除去チャンバと、
    上に配置された基板を25℃から650℃の間の温度に加熱するように動作可能な基板支持体と
    を備える、処理システム。
  2. 前記酸化物除去チャンバがプラズマ発生源を備える、請求項1に記載の処理システム。
  3. 前記プラズマ発生源が、RF源またはマイクロ波源を使用することによってプラズマを形成するように構成されている前記遠隔プラズマ源を備える、請求項2に記載の処理システム。
  4. 前記遠隔プラズマ源が前記酸化物除去チャンバの蓋に結合される、請求項2に記載の処理システム。
  5. 前記酸化物除去チャンバが遠隔プラズマチャンバと、冷却チャネルを有する基板支持体とを含む、請求項1に記載の処理システム。
  6. 前記酸化物除去チャンバがフッ素処理チャンバであり、前記プラズマ汚染物質除去チャンバが水素処理チャンバであ、請求項1に記載の処理システム。
  7. 前記酸化物除去チャンバが、冷却チャネルを有する基板支持体を含み、前記プラズマ汚染物質除去チャンバが、上に配置された基板を300℃より高い温度に加熱するように動作可能な基板支持体を含む、請求項に記載の処理システム。
  8. アニールチャンバをさらに備える、請求項に記載の処理システム。
JP2020512399A 2017-08-30 2018-08-13 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム Active JP7046162B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762552145P 2017-08-30 2017-08-30
US62/552,145 2017-08-30
US16/057,213 US11049719B2 (en) 2017-08-30 2018-08-07 Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US16/057,213 2018-08-07
PCT/US2018/046497 WO2019046000A1 (en) 2017-08-30 2018-08-13 INTEGRATED EPITAXIAL SYSTEM WITH HIGH SELECTIVITY OXIDE REMOVAL AND REMOVAL OF HIGH TEMPERATURE CONTAMINANTS

Publications (2)

Publication Number Publication Date
JP2020532140A JP2020532140A (ja) 2020-11-05
JP7046162B2 true JP7046162B2 (ja) 2022-04-01

Family

ID=65435515

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020512399A Active JP7046162B2 (ja) 2017-08-30 2018-08-13 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム

Country Status (6)

Country Link
US (1) US11049719B2 (ja)
JP (1) JP7046162B2 (ja)
KR (1) KR102360082B1 (ja)
CN (1) CN111033713A (ja)
TW (2) TWI820036B (ja)
WO (1) WO2019046000A1 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10483091B1 (en) * 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
WO2021245154A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Deposition apparatus, processing system, and method of manufacturing a layer of an optoelectronic device
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
KR20220040074A (ko) * 2020-09-23 2022-03-30 삼성전자주식회사 에어 갭을 포함하는 반도체 소자 제조 방법
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
US20220157604A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
KR20230146074A (ko) * 2021-02-19 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체, 기판을 프로세싱하는 방법, 및 프로세싱 시스템
US20220367216A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes
CN117438277B (zh) * 2023-12-19 2024-04-12 北京北方华创微电子装备有限公司 匀流组件、进气装置及半导体设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013541178A (ja) 2010-08-04 2013-11-07 アプライド マテリアルズ インコーポレイテッド 基板の表面から汚染物質および自然酸化物を除去する方法
JP2016167610A (ja) 2006-02-03 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル堆積プロセス及び装置
JP2016528734A (ja) 2013-08-09 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
JP2017117978A (ja) 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016167610A (ja) 2006-02-03 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル堆積プロセス及び装置
JP2013541178A (ja) 2010-08-04 2013-11-07 アプライド マテリアルズ インコーポレイテッド 基板の表面から汚染物質および自然酸化物を除去する方法
JP2016528734A (ja) 2013-08-09 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
JP2017117978A (ja) 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
JP2020532140A (ja) 2020-11-05
KR20200035187A (ko) 2020-04-01
CN111033713A (zh) 2020-04-17
TW202403941A (zh) 2024-01-16
US20190067006A1 (en) 2019-02-28
KR102360082B1 (ko) 2022-02-08
TW201921548A (zh) 2019-06-01
WO2019046000A1 (en) 2019-03-07
US11049719B2 (en) 2021-06-29
TWI820036B (zh) 2023-11-01

Similar Documents

Publication Publication Date Title
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
KR102619574B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
JP2016167610A (ja) エピタキシャル堆積プロセス及び装置
US11605544B2 (en) Methods and systems for cleaning high aspect ratio structures
KR102663833B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210616

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220107

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220107

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220119

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220322

R150 Certificate of patent or registration of utility model

Ref document number: 7046162

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150