TW201921548A - 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統 - Google Patents

與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統

Info

Publication number
TW201921548A
TW201921548A TW107128748A TW107128748A TW201921548A TW 201921548 A TW201921548 A TW 201921548A TW 107128748 A TW107128748 A TW 107128748A TW 107128748 A TW107128748 A TW 107128748A TW 201921548 A TW201921548 A TW 201921548A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
processing
plasma
gas
Prior art date
Application number
TW107128748A
Other languages
English (en)
Other versions
TWI820036B (zh
Inventor
拉拉 華瑞恰克
建邦 勞
艾羅C 聖契茲
紹芳 諸
圖沙爾 曼德瑞卡
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201921548A publication Critical patent/TW201921548A/zh
Application granted granted Critical
Publication of TWI820036B publication Critical patent/TWI820036B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)

Abstract

在一個實施方案中,處理系統包括第一轉移腔室、第二轉移腔室、過渡站、第一電漿腔室、及裝載閘腔室,第一轉移腔室耦接到至少一個磊晶處理腔室,過渡站係設置於第一轉移腔室與第二轉移腔室之間,第一電漿腔室耦接至第二轉移腔室,以用於從基板的表面移除氧化物,裝載閘腔室耦接至第二轉移腔室。過渡站係連接到第一轉移腔室與第二轉移腔室,並且過渡站包括用於從基板的表面移除污染物的第二電漿腔室。

Description

與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
本揭示的實施方案通常係關於用於清潔基板的表面的設備及方法。
在矽及其他半導體基板中且在矽及其他半導體基板上形成積體電路。在使用單晶矽的情況中,藉由從熔融的矽浴中生長晶錠,然後將固化的晶錠鋸成多個基板來製造基板。然後,可以在單晶矽基板上形成磊晶矽層,以形成可以被摻雜或未摻雜的無缺陷的矽層。半導體裝置(例如,電晶體)可以從磊晶矽層中製成。所形成的磊晶矽層的電性質通常優於單晶矽基板的性質。
當暴露於典型的基板製造設施環境條件時,單晶矽與磊晶矽層的表面容易受到污染。舉例而言,由於基板的操作及/或對於在基板處理設施中的周圍環境的暴露,在沉積磊晶層之前可以在單晶矽表面上形成原生的氧化物層。此外,存在於周圍環境中的外來的污染物(例如,碳與氧物質)可以沉積在單晶表面上。在單晶矽表面上的原生的氧化物層或污染物的存在對於隨後在單晶表面上形成的磊晶層的品質有負面的影響。因此,期望預先清潔基板,以為了在磊晶層於基板上生長之前移除表面氧化及其他的污染物。然而,經常在一或更多個獨立的真空處理腔室中進行預先清潔處理,這可能增加基板操作時間,並增加將基板暴露於周圍環境的機會。
因此,在該領域中需要提供一種改善的基板處理系統,以用於在執行磊晶沉積處理之前清潔基板表面,而最小化基板操作時間以及對於周圍環境的暴露。
本揭示描述一種真空處理系統,包括第一轉移腔室、第二轉移腔室、電漿氧化物移除腔室、電漿污染物移除腔室、及裝載閘腔室,第一轉移腔室耦接到至少一個膜形成腔室,電漿氧化物移除腔室耦接至第一或第二轉移腔室,電漿污染物移除腔室耦接至第一或第二轉移腔室,裝載閘腔室耦接至第二轉移腔室。
本文亦描述一種處理基板的方法,包含以下步驟:藉由包括將基板暴露於包含NF3 及HF的處理氣體的處理而從基板移除氧化物;藉由包括將基板暴露於氫自由基的處理而從基板移除碳氫化合物污染物;以及藉由磊晶處理在基板上形成膜。
本文亦描述一種真空處理設備,包含第一轉移腔室、耦接至第一轉移腔室的至少一個氣相磊晶腔室、耦接至第一轉移腔室的電漿氧化物移除腔室、及耦接至第一或第二轉移腔室的電漿驅動污染物移除腔室,電漿氧化物移除腔室包含噴淋頭、第一氣體入口、第二氣體入口、及基板支撐件,噴淋頭具有混合腔室與氣體分配器,第一氣體入口穿過噴淋頭的一部分形成,並與混合腔室流體連通,第二氣體入口穿過噴淋頭的一部分形成,並與混合腔室流體連通,基板支撐件具有基板支撐表面以及嵌入於基板支撐件中的冷卻通道,電漿污染物移除腔室包含電漿源及基板支撐件,該基板支撐件可操作以將設置其上的基板加熱至在攝氏25度與攝氏650度之間的溫度。
本文亦描述一種真空處理設備,包含第一轉移腔室、藉由一或更多個直通站耦接至第一轉移腔室的第二轉移腔室、耦接至第二轉移腔室的至少一個氣相磊晶腔室、耦接至第一或第二轉移腔室的電漿氧化物移除腔室、耦接至第一或第二轉移腔室的電漿驅動污染物移除腔室、及耦接至該第二轉移腔室的裝載閘腔室,電漿氧化物移除腔室包含噴淋頭、第一氣體入口、第二氣體入口、第三氣體入口、及基板支撐件,噴淋頭具有混合腔室與氣體分配器,第一氣體入口穿過噴淋頭的一部分形成,並與混合腔室流體連通,第二氣體入口穿過噴淋頭的一部分形成,並與混合腔室流體連通,第三氣體入口穿過噴淋頭的一部分形成,並與混合腔室流體連通,基板支撐件具有基板支撐表面以及嵌入於基板支撐件中的冷卻通道與一或更多個電阻式加熱器,電漿污染物移除腔室包含電漿源、磁離子過濾器、及基板支撐件,該基板支撐件可操作以將設置其上的基板加熱至在攝氏25度與攝氏650度之間的溫度。
第1圖圖示根據本揭示的一個實施方案的處理序列100。在一些實施例中,處理序列100的方塊102至106中之二或更多者可以在一個處理系統(例如,將在下面進一步描述的第9圖所示的真空處理系統)中執行。
在方塊102中,使用清潔處理從半導體基板的表面移除氧化物。基板可以包括含矽材料,而表面可以包括例如矽(Si)、鍺(Ge)、或矽鍺合金(SiGe)的材料。在一些實施方案中,Si、Ge、或SiGe表面可以具有氧化物層(例如,原生的氧化物層,以及設置其上的污染物)。由於磊晶沉積處理對於氧化物及污染物(例如,含碳污染物)的敏感性,而累積的氧化物及污染物會影響隨後形成的磊晶層的品質,所以暴露於大多數典型清潔腔室環境幾個小時所產生的表面污染可能變得非常重要。
可以藉由執行氧化物移除處理及污染物移除處理來清潔基板表面。在一個實施方案中,使用清潔處理從基板表面移除氧化物(方塊102),並使用還原處理從基板表面移除污染物(例如,含碳污染物)(方塊104)。在方塊102中執行的清潔處理可以包括利用電漿移除任何不需要的污染物的處理。電漿處理可以使用由包括氫(H2 )、氦(He)、氬(Ar)、氨(NH3 )、含氟氣體(例如,NF3 或HF)、或這些氣體的任何組合的氣體而形成的電漿。電漿可為電感耦合或電容耦合,或者可以藉由在處理腔室中的微波源來形成電漿。處理腔室可為遠端電漿腔室,而與在其中設置基板的處理區域在空間上分離。本文所述的術語「在空間上分離」可以指稱電漿形成區域藉由一或更多個腔室部件(例如,第2A圖所示的阻隔板228及氣體分配板230)或者甚至遠端電漿腔室與基板處理腔室之間的導管而與基板處理區域分離。
在一個實施方案中,使用電容耦合電漿源來形成電漿。在約攝氏5度至約攝氏100度的溫度(例如,約攝氏5度至約攝氏75度的溫度(例如,約攝氏10度))下,來自電漿的自由基可以穿過設置於基板上方的氣體分配板,氣體分配板係定位於支撐件上。處理壓力可以低於大氣壓力(例如,約500mTorr與約20Torr之間的壓力(例如,約2Torr與約10Torr之間))。自由基到達基板,然後與表面氧化物反應。可適於執行電漿蝕刻處理的示例性處理腔室包括可從California的Santa Clara的Applied Materials, Inc.取得的SiCoNiTM 或SelectraTM 腔室。亦可使用來自其他的製造商的腔室。
在一個示例性實施方案中,電漿清潔處理係為遠端電漿輔助乾式清潔處理,其涉及同時將基板暴露於NF3 及NH3 (可選擇地包括一或更多種氣體的電漿副產物)。亦可以使用惰性氣體(例如,氬及氦)。如上所述,惰性/NF3 /NH3 三種氣體中之任何一者或組合可以暴露於能量,以形成電漿。在遞送到處理腔室之前將電漿與其他氣體混合,或者可以沿著不同路徑將電漿及其他氣體提供到處理腔室,以在到達處理腔室之後進行混合。在一個實例中,電漿清潔處理可以類似於或者可以包括可從California的Santa Clara的Applied Materials, Inc.取得的SiCoNiTM 處理。
遠端電漿處理可以在很大程度上共形,並且針對氧化物層具有選擇性,而因此不論層為非晶、結晶、或多晶,都不容易蝕刻矽、鍺、或氮化物層。針對氧化物與矽或鍺的NF3 /NH3 電漿清潔處理的選擇性係至少為約3:1,而通常為5:1或更佳,有時為10:1。NF3 /NH3 電漿清潔處理針對氧化物與氮化物的選擇性也很高。針對氮化物的NF3 /NH3 電漿清潔處理的選擇性係至少為約3:1,通常為5:1或更佳,有時為10:1。
在一些實施例中,在遠端電漿處理期間或在執行遠端電漿處理之後,可以將一定量的熱能施加到經處理的基板上,以幫助移除任何產生的副產物。在一些實施例中,經由輻射、對流、及/或傳導熱轉移處理提供熱能,而造成基板表面上發現的不需要的副產物的昇華。
在方塊103處,可以在腔室中執行可選擇的腔室調節步驟,以用於在方塊104處執行的操作。在方塊103處執行的操作包括使用含有氫自由基的氣體,而使得暴露的腔室表面可以鈍化。在一些實施例中,腔室表面可以藉由暴露於蒸汽或水蒸氣而鈍化。可以藉由已知方法非原位地或原位地產生蒸汽,並且腔室表面可以暴露於蒸汽達到1秒至60秒(例如,約30秒)的時間區間,以產生具有對於氫自由基的至少稍微改善的抵抗力的表面。在蒸汽鈍化處理期間,活性生產基板可以存在於設置在基板支撐件上的處理腔室中。可替代地,虛擬基板可以定位在基板支撐件上。若基板支撐件是由可能與蒸汽反應的材料製成,則在蒸汽鈍化處理期間將基板定位於支撐件上可以降低與蒸汽的反應性。可以在蒸汽鈍化處理期間將基板加熱至攝氏400度或更高的溫度。
在方塊104中,在藉由使用方塊102中執行的處理來從基板的表面移除氧化物之後,基板的表面上的任何殘留污染物被移除。在方塊104的一個實施方案中,使用還原處理來從基板的表面移除污染物(例如,碳或碳氫化合物)。還原處理可以使用含氫電漿,以移除污染物。可以從包含氫氣(H2 )、氦(He)、氬(Ar)、氨(NH3 )、或這些氣體的任何組合的清潔氣體中形成電漿。可以藉由使用電感或電容耦合電漿源來形成電漿,或者可以藉由使用耦接到處理腔室的微波源來形成電漿。在一些實施例中,可以藉由使用包括RF、VHF、及/或UHF功率源的電感或電容耦合電漿源來形成電漿。電漿源可以是遠端電漿腔室,遠端電漿腔室與設置基板的處理腔室物理分離。
在一個實施方案中,使用電感耦合電漿源來形成電漿,電感耦合電漿源係為用於執行方塊104處的還原處理的遠端電漿源(RPS)。來自電漿的自由基可以通過通路管以及設置於基板上方的氣體分配板。基板係定位於支撐件上,並且處於約攝氏25度至約攝氏650度的溫度(例如,約攝氏100度與約攝氏500度之間的溫度),在一些情況中係為至少約攝氏400度,而在一些情況中係為約攝氏400度。處理壓力可以低於大氣壓力(例如,小於約5Torr的壓力,或小於約1Torr的壓力,或甚至約100mTorr與約1Torr之間的壓力)。能夠適於執行還原處理的示例性處理腔室包括可從California的Santa Clara的Applied Materials, Inc.取得的AKTIV Pre-CleanTM 、PCxT Reactive PrecleanTM (RPC),或SelectraTM 腔室。亦可使用來自其他的製造商的腔室。
遠端電漿處理形成含氫自由基的氣體。如上所描,含氫電漿通過由磁場圍繞的導管,該磁場使帶電粒子轉向,而允許中性粒子(例如,氫自由基,以及其他的自由基及分子)通過而到達包含基板的處理區域。基板暴露於含氫自由基的氣體以執行還原處理,而移除包括碳的污染物。處理亦使得基板的表面被均勻的氫封端,其中位於基板表面處的結晶結構中具有最小的缺陷。
在方塊106中,在基板的表面上形成磊晶層。如上所述,若事先進行清潔,基板的表面會均勻地氧化,並且不具有污染物,而改善形成於基板的表面上的生長磊晶層的品質。示例性磊晶處理可以是在小於約攝氏800度(例如,約攝氏450至650度)的溫度處執行的選擇性磊晶處理。可以使用高溫化學氣相沉積(CVD)處理來形成磊晶層。磊晶層可以是結晶矽、鍺,或矽化鍺,或任何的適當的半導體材料(例如,III-V族化合物或II-VI族化合物)。在一個示例性熱CVD處理中,使用處理氣體(例如,氯矽烷SiHx Cl4-x (一、二、三、四)、矽烷Six H2x +2(矽甲烷(silane)、乙矽烷(disilane)、丙矽烷(trisilane)等)、鍺烷Gex H2x+2 (鍺烷、二鍺烷等)、氯化氫HCl、氯氣Cl2 ,或其組合)以形成磊晶層。處理溫度係低於攝氏800度(例如,約攝氏300度至約攝氏600度(例如,約攝氏450度)),而處理壓力係在5Torr與600Torr之間。可用於執行磊晶沉積處理的示例性處理腔室係為可從California的Santa Clara的Applied Materials, Inc.取得的CenturaTM Epi腔室。亦可使用來自其他的製造商的腔室。
返回參照第1圖,可以預期方塊102及104中描述的處理可以顛倒。此外,在方塊102及104中描述的處理可以根據需要而重覆多次。應注意,可以在一個腔室或在二個腔室中執行102及104的處理。在一個腔室中執行處理102及104的情況下,可以使用惰性氣體在處理之間執行可選擇的沖洗,以提供處理化學物質之間的分離。在執行106的層形成處理之前,亦可在處理102及104之間或之後執行可選擇的熱加工,以移除任何的殘留的副產物或污染物,並針對表面進行退火而移除任何的表面缺陷。這樣的退火可以在氫氣環境(可選擇地包括惰性氣體(例如,氬及氦))下執行,並且可在攝氏400至800度的溫度及1Torr至300Torr的壓力下執行。
第2A圖係為處理腔室200的橫截面圖,處理腔室200適於執行方塊102中發現的處理中的至少一些,而因此經配置以從基板的表面移除污染物(例如,氧化物)。第2B圖係為第2A圖的處理腔室200的一部分的放大視圖。
處理腔室200可以特別用於執行基於熱或電漿的清潔處理及/或電漿輔助的乾式蝕刻處理。處理腔室200包括腔室主體212、蓋組件214、及支撐組件216。蓋組件214係設置於腔室主體212的上端部,而支撐組件216至少部分設置於腔室主體212內。真空系統可以用於從處理腔室200移除氣體。真空系統包括真空泵218,真空泵218耦接到設置於腔室主體212中的真空埠221。處理腔室200亦包括用於控制處理腔室200內的處理的控制器202。
蓋組件214包括複數個堆疊部件,經配置以將前驅物氣體及/或電漿提供到腔室200內的處理區域222。第一板220係耦接到第二板240。第三板244係耦接到第二板240。蓋組件214可以連接到功率源224,以用於將電漿供應到形成於蓋組件214中的錐形腔室242。蓋組件214亦可以連接到遠端電漿源,而在蓋堆疊的上游產生電漿。遠端電漿空腔(例如,第2A圖至第2B圖的項目222、220、240)係耦接到氣體源252(或者在沒有遠端電漿源224的情況下,氣體源252係直接耦接到蓋組件214)。氣體源252可以包括氣體源,經配置以提供氦、氬、或其他惰性氣體。在一些配置中,由氣體源252提供的氣體可以賦能成電漿,而藉由使用遠端電漿源224來提供到蓋組件214。在可替代實施例中,氣體源252可以提供處理氣體,而可以在引入到設置於處理腔室200內的基板的表面之前,由遠端電漿源224活化處理氣體。參照第2B圖,錐形腔室242具有開口246,以允許所形成的電漿從遠端電漿源224流到蓋組件214的第四板250所形成的容積248。
在蓋組件214的一些配置中,藉由施加從電漿源遞送的能量來在錐形腔室242內產生電漿。在一個實例中,可以藉由對蓋組件214進行偏壓來提供能量,以將RF、VHF、及/或UHF能量電容耦接到位於錐形腔室242中的氣體。在蓋組件214的此配置中,遠端電漿源224可以不使用或者不安裝在蓋組件214內。
形成於第四板250中的中心導管270係適於將所提供的電漿產生物質從容積248通過第五板254提供到形成於蓋組件214的第六板268中的混合腔室266。中心導管270通過第五板254中的開口264而與混合腔室266連通。開口264的直徑可以小於、大於、或等於中心導管270的直徑。在第2B圖的實施例中,開口264的直徑與中心導管270的直徑相同。
第四板250亦包括複數個入口256及258,經配置以將氣體提供到混合腔室266。入口256係耦接到第一氣體源260,而入口258係耦接到第二氣體源262。第一氣體源260及第二氣體源262可以包括處理氣體以及惰性氣體(例如,作為氣體載體的惰性氣體(例如,氬及/或氦))。第一氣體源260可以包括氨(NH3 )以及氬。第二氣體源262可包括含氟氣體、含氫氣體、或其組合。在一個實例中,第二氣體源262可以包括氟化氫(HF)以及氬。
如第2B圖所示,在一些配置中,入口256透過形成於板254中的圓柱形通道259與複數個孔洞265耦接到混合腔室266。入口258透過形成於第五板254中的圓柱形通道257與複數個孔洞267耦接到混合腔室266。形成於板254中的孔洞265、267的尺寸通常能夠讓從各別氣體源260、262提供的氣體均勻流進混合腔室266。在一個配置中,開口267的直徑小於藉由形成於第四板250中的圓柱形通道257的相對側壁所定義的開口的寬度。開口267通常圍繞圓柱形導管257的中心線段的圓周分佈,以提供均勻的流體流入腔室266。在一個配置中,孔洞265的直徑小於藉由形成於第四板250中的圓柱形通道259的相對側壁所定義的開口的寬度。孔洞265通常圍繞圓柱形導管259的中心線段的圓周分佈,以提供均勻的流體流入腔室266。
入口256及258提供各別流體流動路徑,橫向穿過第四板250,轉向且穿過第五板254而到達混合腔室266。蓋組件214亦包括第七板或第一氣體分配器272(可以是氣體分配板(例如,噴淋頭)),其中在蓋組件214中混合的各種氣體流經形成其中的穿孔274。穿孔274與混合腔室266流體連通,以提供從混合腔室266通過第一氣體分配器272的流動路徑。返回參照第2A圖,阻隔板228與氣體分配板(例如,第二氣體分配器230)(可以是氣體分配板(例如,噴淋頭))係設置於蓋組件214下方。
可替代地,可以利用不同的清潔處理來清潔基板表面。舉例而言,可以透過蓋組件214將包含He及NF3 的遠端電漿引入處理腔室200,同時可以經由設置於腔室主體212的一側並耦接到氣體源261的單獨氣體入口225將NH3 直接注入處理腔室200。
支撐組件216可以包括基板支撐件232,以在處理期間將基板210支撐於其上。可以藉由延伸通過形成於腔室主體212的底部而位於中心的開口的軸件236將基板支撐件232耦接到致動器234。致動器234可以藉由波紋管(未圖示)可撓地密封到腔室主體212,以防止圍繞軸件236的真空洩漏。致動器234允許基板支撐件232在腔室主體212內的處理位置與裝載位置之間的垂直移動。裝載位置稍微低於形成於腔室主體212的側壁中的開口的隧道(未圖示)。
基板支撐件232具有平坦的或基本平坦的基板支撐表面,以用於支撐其上所處理的基板。基板支撐件232可以藉由致動器234而在腔室主體212內垂直移動,致動器234藉由軸件236耦接到基板支撐件232。針對一些步驟,基板支撐件232可以升高到緊鄰蓋組件214的位置,以控制正在處理的基板210的溫度。因此,基板210可以經由從第二氣體分配器230或另一輻射源發射的輻射來加熱,或者透過中介氣體藉由來自第二氣體分配器230的對流或傳導來加熱。在一些處理步驟中,基板可以設置於提升銷251上,以執行附加熱處理步驟(例如,執行退火步驟)。
第2C圖係為基板支撐件232的橫截面詳細視圖。基板支撐件232包括與流體供應導管241及流體返回導管243流體連通的熱控制充氣部235,導管241及243中之每一者係設置為穿過軸件236。熱控制充氣部235可以是藉由使冷卻流體循環通過流體供應導管241,進入熱控制充氣部235,並通過流體返回導管243而流出的用於基板支撐件232的冷卻特徵。
基板支撐件232亦可以包括複數個加熱器237及239。在此實施例中,複數個加熱器包括第一加熱器237與第二加熱器239。第一及第二加熱器237及239係在基板支撐件232內以基本上共面的關係設置於能夠實現加熱器與基板支撐表面之間的熱耦接的位置。第一加熱器237係設置於基板支撐件232的周邊,第二加熱器239係設置於基板支撐件232的中心區域,以提供區域溫度控制。第一及第二加熱器237及239中之每一者可以是電阻式加熱器,而藉由各別功率導管249及247耦接到功率源(未圖示),每一功率導管249及247係設置為穿過軸件236。
在操作中,可以藉由熱控制充氣部235與加熱器237及239的同時操作來提供溫度控制。如上所述,熱控制充氣部235可以供應冷卻流體,並且可以將功率提供到作為電阻式加熱器的加熱器237及239。以此方式,可以調諧單獨的控制電路,以針對一個項目(例如,加熱器237及239)提供快速回應,並針對熱控制充氣部235提供較慢的回應,或反之亦然。至少,可以將不同的控制參數應用於熱控制充氣部235、第一加熱器237、及第二加熱器239,以完成最佳化的區域溫度控制系統。
如第2C圖所示,單獨的提升構件245可以包括在支撐組件216中。凹部(未圖示)可以提供於基板支撐表面中,以在基板擱置於基板支撐表面上時,容納構件245的提升銷251。提升構件245可以藉由穿過軸件236設置的提升構件245的延伸部而耦接到提升致動器255。提升致動器可以讓提升構件245垂直移動,以將基板從基板支撐表面朝向第一氣體分配器272提升。提升構件245可以是箍狀物(例如,打開的箍狀物或閉合的箍狀物),並且可以是U形、圓形、馬蹄形、或任何方便的形狀。提升構件245具有厚度,以在提升基板時提供結構強度。在一個實例中,提升構件係由陶瓷材料製成,而厚度係為約1mm。
第3圖係為處理腔室300的橫截面圖,處理腔室可用於執行在方塊104中找到的處理中的至少一些者,並因此移除污染物(例如,積聚在基板的表面上的碳或碳氫化合物)。處理腔室300具有腔室主體310,腔室主體包括腔室外殼316、處理套件殼體318,及蓋340。腔室殼體316及蓋340可從鋁、不銹鋼,或其他的適當的材料中製成。處理套件殼體318可從鋁合金或其他的適當的材料中製成。蓋340透過處理套件殼體318可移除地耦接至腔室外殼316。
處理套件殼體318可為環形殼體,而具有耦接至蓋340的頂表面以及耦接至腔室外殼316的底表面。處理套件殼體318具有從處理套件殼體318的內表面331向下延伸的屏蔽部分329。處理套件殼體318的內表面331圍繞氣體分配板326,並將氣體分配板326支撐於其上。氣體分配板326可為石英噴淋頭。充氣部348係定義於氣體分配板326與蓋340之間。氣體分配板326包括穿過氣體分配板326的厚度形成的複數個孔隙327,以允許氣體通過埠342流入充氣部348。在一些配置中,由RPS 350所提供的氣體通過342流動到348。然後,氣體分配板326中的孔隙327允許氣體流入包含基板308的處理區域330。孔隙327均勻地跨越氣體分配板326的直徑分佈,以確保氣體或自由基均勻地分配至基板308。流經孔隙327的氣體係跨越基板308分佈,基板308係設置在定義於氣體分配板326與加熱器314之間的處理區域330中。屏蔽部分329亦有助於限制在處理區域330內的電中性自由基。在一個實例中,當基板位於處理位置時,屏蔽部分329係延伸至相鄰於或低於加熱器314的邊緣的位置。
處理腔室300包括遠端電漿源350,遠端電漿源350係藉由導管360耦接至埠342。埠342係形成於蓋340中。導管360定義通路356,通路356可以具有第一內徑以及大於第一內徑的第二內徑。第一內徑可以設置成相鄰於遠端電漿源350,而第二內徑可以設置成相鄰於蓋340。在一個實例中,第一內徑可為約12mm至約50mm(例如,約20mm),而第二內徑可為約35mm至約60mm(例如,約40mm)。
導管360經配置以在進入處理區域330之前過濾在遠端電漿源350中產生的離子,同時允許電中性自由基進入處理區域330。因此,減少在處理區域330中的離子的相對濃度。在一個實施方案中,流經通路356的氣體係藉由磁場過濾,而磁場係藉由設置成相鄰於通路管360的一或更多個磁體來產生。磁體跨越導管360產生磁場,以過濾具有從遠端電漿源350流出的活性自由基的帶電粒子。
在第3圖所示的實施方案中,第一磁體352與第二磁體354係設置成相鄰於導管360。第一磁體352與第二磁體354可為永磁體或電磁體。磁體352、354可以跨越導管360的第一內徑而彼此相對地設置。舉例而言,磁體352、354可以黏附或固定於導管360的外周邊的相對側上。磁體352、354可以替代性地固定至腔室蓋340或腔室主體310的其他部件。相對的磁體與形成於通路管360內的通路356之間的相對距離影響穿過通路356的磁場的強度,而藉此影響過濾效率。亦可以藉由使用不同的磁體(亦即,利用具有不同強度的磁體來代替磁體352、354)來調整磁場。所通過的帶電粒子係拉引成與導管360的內表面370接觸,並且變成電中性的非離子物質。因此,經過濾的電中性自由基係遞送至基板的表面,以與其上的污染物反應,並且清潔其上的污染物。
可替代地,在一些實施例中,藉由圍繞導管360設置的單一環形磁體來代替第一磁體352與第二磁體354。單一環形磁體可以是永磁體或電磁體。
在一些實施方案中,含石英的表面經定位以覆蓋進入腔室主體310的處理氣體(亦即,自由基與離子)的流動路徑。舉例而言,定義通路356的導管360的內表面370可以完全地或部分地由石英塗覆或從石英中製成。在一個配置中,定義充氣部348及/或氣體分配板326的表面亦可以完全地或至少部分地由石英塗覆或從石英中製成。舉例而言,在第3圖的實施方案中,可以沿著處理套件殼體318的內表面331設置頂部襯墊324。頂部襯墊324可以具有圍繞充氣部348的環形主體,其內表面定義充氣部348的外邊界。頂部襯墊324可以由石英製成。頂部襯墊324可以靜置在氣體分配板326上,或者可以藉由任何其他適當的固定方式支撐。
襯墊板344可以沿著蓋340的底部表面設置。襯墊板344可以由石英塗覆,或者從石英中製成。襯墊板344定義充氣部348的上邊界。因此,襯墊板344、頂部襯墊324,及氣體分配板326定義充氣部348。底部襯墊325可以沿著處理套件殼體318的內表面331設置。底部襯墊325可以具有環形主體,並在進行組裝以用於操作時圍繞處理區域330,且其內表面定義處理區域330的外邊界。底部襯墊325可由石英塗覆,或從石英中製成。底部襯墊325可藉由屏蔽部分329支撐。在所示的一個實例中,凸出部303在屏蔽部分329的端部處徑向向內延伸,以支撐底部襯墊325。因此,導管360、襯墊板344、頂部襯墊324、底部襯墊325、及氣體分配板一起沿著處理氣體的流動路徑提供石英表面。
基板支撐件314係設置於腔室主體310的處理區域330中。基板支撐件314係透過中心軸件341耦接至腔室外殼316的底部。基板支撐件314具有基板支撐表面,以用於在處理(例如,在前文中相關於方塊102及方塊104描述的處理)期間於其上支撐基板308。可選擇的聚焦環338可以圍繞基板支撐表面的外周邊而設置在基板支撐件314上。聚焦環338在處理期間將電漿或中性物質限制於基板308上方的區域中。聚焦環338可以從石英中製成。
基板支撐件314可以從鋁中製成,其中複數個藍寶石觸點(未圖示)係設置於基板支撐表面上,以最小化基板支撐表面與設置在藍寶石觸點上的基板之間的接觸。基板支撐件314係藉由驅動單元337致動,以在裝載位置與處理位置之間垂直移動。基板支撐件314可以具有嵌入其中的一或更多個加熱元件335,以針對基板支撐表面提供均勻的熱能量。適當的加熱元件335可以包括(除了其他的加熱裝置之外)電阻式加熱器、熱電裝置、或用於使得傳熱流體流動的導管。加熱元件335允許基板308的溫度維持在約25℃至約500℃(例如,約300℃至約350℃、約350℃至約450℃、或約450℃至約500℃)的溫度範圍中。在一些實施方案中,加熱器314可以具有通過基板支撐表面的外周邊邊緣而形成的切口,而使得當基板支撐件314定位於裝載位置處時,基板處理機(未圖示)可以從升降銷(未圖示)拾取或放下基板308。舉例而言,在清潔處理(方塊102)期間,基板支撐件314與基板308係定位於處理位置處,處理位置係設置於裝載位置上方,並且更靠近氣體分配板326。
處理腔室300包括泵317。泵317係透過前級管線361連接至腔室主體310。前級管線361係在形成於外殼316的底部的開口315處連接至腔室主體310。腔室300亦包括設置於前級管線361中的節流閥363。節流閥363經配置以在處理期間打開及關閉成在處理腔室300中維持所期望真空壓力所需的任何程度。泵317及節流閥363將在腔室主體310內側的壓力控制在約0.005Torr與750Torr之間(例如,在約40Torr至約500Torr之間)。在一個實例中,泵317係為乾式泵,而將處理腔室300內側的壓力維持在約0.1Torr至約40Torr(例如,約30Torr)的示例性壓力範圍中。在一個實例中,泵317係為低壓泵,而將處理腔室300內側的壓力維持在約100mTorr至大約500mTorr(例如,約150mTorr)的示例性壓力範圍中。在一些實例中,泵317係為渦輪泵,而將處理腔室300內側的壓力維持在約20mTorr至500mTorr的示例性壓力範圍中。
第4圖係為可以設置於基板處理腔室(例如,具有遠端電漿源(RPS)的處理腔室)內的基板支撐件400的透視圖。基板支撐件400係為用於處理腔室300中的基板支撐件314的實例。
基板支撐件400通常包括支撐主體402、耦接至支撐主體402的軸件404、及圍繞軸件404設置的波紋管406。波紋管406耦接至支撐主體402及/或軸件404的的底部。在一個實例中,波紋管406的底部係以真空密封的方式附接至基板處理腔室的底部。驅動單元416可以耦接至軸件404,以允許支撐主體402相對於基板處理腔室的垂直運動。在一些實施方案中,驅動單元416可經配置以旋轉軸件404,並因此旋轉支撐主體402。
支撐主體402具有基板支撐表面408。支撐主體402具有嵌入或容納其中的一或更多個加熱元件520(見第5圖),以在處理(例如,電漿清潔處理)期間向設置於基板支撐表面408上的基板提供均勻的熱能量。加熱元件520可以利用方位角對稱的圖案來佈置,而確保基板的均勻的加熱。適當的加熱元件可以包括(除了其他的加熱裝置之外)電阻式加熱器、熱電裝置、或用於使傳熱流體流動的導管。在一個實例中,加熱元件係為電阻式加熱線圈。加熱元件允許基板的溫度維持於約25℃至約650℃或更高的溫度範圍(例如,約300℃至約350℃、約350℃至約450℃、約450℃至約550℃、約550℃至約650℃、或更高)。
基板支撐表面408具有複數個接觸點410,其中在基板處理腔室的處理期間,基板係靜置在複數個接觸點410上。接觸點410均勻地分佈在基板支撐表面408上方。在一個實施方案中,接觸點410環繞支撐主體402的中心點412佈置成同心圓。附加或可替代地,接觸點410可以利用方位角對稱的圖案來佈置,以確保基板的均勻的處理。接觸點410可以具有突起或凸塊的形式。突起或凸塊提供最小化的接觸表面區域,以防止基板直接接觸基板支撐表面408。在一個實施方案中,接觸點410係為藍寶石球。
支撐主體402可以具有設置於支撐主體402的外周邊邊緣處的複數個切口414。切口穿過支撐主體的整個厚度(亦即,從基板支撐表面408至支撐主體402的背側)而形成。切口414可以圍繞支撐主體402的周邊等距地間隔開。在一個實施例中,四個切口414對稱地設置於支撐主體402的外周邊邊緣處。切口414的尺寸係設計成使得當支撐主體402定位於裝載位置處時,基板處理機(未圖示)可以從升降銷(未圖示)拾取或放下基板。
支撐主體402可以從陶瓷、鋁、或其他的適當的材料(例如,氮化鋁)中製成。軸件404可以從金屬(例如,鋁)、陶瓷金屬(例如,氮化鋁、氧化鋁)、或摻雜的陶瓷(例如,摻雜氮化鈦或氮化鉻的氧化鋁、摻雜的氧化鋁、摻雜的氮化硼,及類似者)中製成。在一個實施例中,軸件404係從約95%的純氮化鋁中形成。在一個實施例中,軸件404與支撐主體402可以從相同的材料中製成。
第5圖係為第4圖的基板支撐件400的一部分的橫截面圖。支撐主體402的一個實施方案具有形成於基板支撐表面408中的複數個空腔510,以用於接收接觸點410(例如,藍寶石球)。支撐主體的另一形式具有直接加工到支撐主體402的表面中的接觸點,而使得支撐主體與接觸點係為整體材料。支撐主體的第三形式具有透過沉積處理(例如,CVD、PVD、或蒸發沉積處理)而沉積於支撐主體上的接觸點。軸件404是中空的,而具有定義其中的中心開口512的側壁518。中心開口512允許功率線528通過。功率線528的一個端部連接至一或更多個加熱元件520,而功率線528的另一端部連接至加熱功率源532(例如,DC或AC功率源)。
軸件404可以包括連接至冷卻流體源(未圖示)的通道530。通道530可以設置於軸件404的任何所期望的位置內,以用於使得來自冷卻流體源的冷卻流體循環,而控制軸件404的溫度,並因此控制支撐主體402以及在處理期間控制放置其上的基板的溫度。
基板支撐件400可以包括設置於基板支撐件400中的熱電偶534,以測量溫度(例如,基板支撐件400的溫度、基板支撐表面408的溫度、或當設置於基板支撐表面408上時的基板的溫度)。熱電偶534可以是任何的適當的熱電偶設計(例如,熱電偶探針或類似者)。熱電偶534可以耦接至溫度控制器535,溫度控制器535可以依據由熱電偶534測量的溫度來控制功率供應器536。
在一些實施例中,加熱元件520包含多區域加熱器,多區域加熱器包括可獨立控制的外區546及內區548。吹掃氣體入口550及出口552可以提供於基板支撐件400中。入口550及出口552可用於將背側氣體供應至基板支撐表面408上的基板。氣體通道552亦可以連接到真空泵或低壓區域,以在基板的前端及背側之間產生壓力差,以將基板托持於台座表面408。在一些實施例中,可以在基板支撐表面408上方提供邊緣環554。
第6圖係根據一個實施例圖示單一基板化學氣相沉積(CVD)反應器600(包括石英處理或反應腔室605)。反應器600可以用於許多的不同的材料(包括本文所揭示的SiGe及Ge膜)的CVD。此外,所示的反應器600可以在相同的腔室605中完成多個沉積步驟(這可以從後文的討論中明顯看出)。
腔室600通常可以具有矩形框的形狀。複數個輻射熱源係支撐於腔室605的外側,以在腔室605中提供熱能量,而不會被石英腔室605的壁明顯地吸收。儘管在具有用於處理半導體基板的「冷壁(cold wall)」CVD反應器的情況下描述實施例,將理解到本文描述的方法將結合其他的加熱/冷卻系統(例如,採用電感式或電阻式加熱的那些)來使用。
輻射熱源包含具有細長管型的輻射加熱元件610的上部加熱組件。上部加熱元件610較佳地以間隔開的平行關係並且亦以與穿過反應腔室605的反應氣體流動路徑(藉由箭頭612圖示)大致平行的方式來設置。下部加熱組件包含類似的具有細長管型的輻射加熱元件615,輻射加熱元件615定位在反應腔室605下方,並且橫向於上部加熱元件610來定向。輻射熱的一部分分別被位於上部燈610的上方及位於下部燈615的下方的粗糙的鏡面式反射器平板(未圖示)擴散反射至腔室605。此外,複數個聚光燈620將集中的熱供應至基板支撐結構(在下文中進行描述)的下側,以抵消由延伸穿過反應腔室605的底部的冷支撐結構產生的散熱作用。具有細長管型的加熱元件610、615中之每一者較佳為高強度的鎢絲燈,以產生傳送通過反應腔室605的壁的輻射的熱能量,而不會被明顯地吸收。如在半導體處理裝備的領域中已知的,可以回應於溫度感測器獨立地或在分群組的區域中控制各種燈610、615、620的功率。
工件(包含矽基板625)係圖示為在反應腔室605內,且支撐於基板支撐結構630上。所示的支撐結構630包括基板托持器632(基板625靜置於基板托持器上)與支撐支架634。支架634係安裝至軸件636,軸件636向下延伸穿過管638,而管638延伸穿過腔室下壁。管638與吹掃氣體源連通,吹掃氣體可以在處理基板期間流動。吹掃氣體可用於制止處理氣體進入腔室605的下區段。吹掃氣體亦可在基板625下方水平流動。
複數個溫度感測器係定位於基板625的附近處。溫度感測器可以採用各種形式(例如,光學高溫計或熱電偶)。在所示的實施例中,溫度感測器包含熱電偶(包括第一或中央熱電偶640,而以任何的適當的方式懸吊在基板托持器632下方)。中央熱電偶640穿過在基板托持器632附近的支架634。反應器600進一步包括複數個輔助或周邊的熱電偶(亦在基板625附近),並包括前緣或前熱電偶645、後緣或後熱電偶650、及側熱電偶(未圖示)。周邊的熱電偶中之每一者係容納於滑環652內,滑環652係圍繞基板托持器632及基板625。中央與周邊的熱電偶中之每一者連接至溫度控制器,而溫度控制器回應於熱電偶的讀值來設定各種加熱元件610、615、620的功率。
除了容納周邊的熱電偶之外,滑環652在高溫處理期間吸收並發射輻射熱。滑環652可以用於補償在基板邊緣處的較大的熱損失或吸收(這習知為由於在基板邊緣附近的區域中的表面區域相對於體積的較大比率的緣故會發生的現象)。藉由將邊緣損失最小化,滑環652可以降低跨越基板625的徑向的溫度不均勻性的風險。可以藉由任何的適當的方式來懸吊滑環652。舉例而言,所示的滑環652靜置於支撐構件654上,支撐構件654從前腔室分隔器656及後腔室分隔器658延伸。分隔器656、658理想上是由石英形成。在一些佈置中,後分隔器658可以省略。
所示的反應腔室605包括用於注入反應物及載體氣體的入口埠660,而基板625亦可通過其中來接收。出口埠664係位於腔室605的相對側上,其中基板支撐結構630係定位於入口660與出口664之間。
入口部件665係裝配至反應腔室605(入口部件665經調適以圍繞入口埠660),並包括在水平方向上伸長的狹槽667,其中基板625可經由狹槽插入。通常為垂直的入口668接收來自氣體源的氣體,並且使得這些氣體與狹槽667及入口埠660連通。儘管未在第6圖中單獨圖示,但是氣體源可以包括氫、矽,及鍺前驅物,以及控制本文描述的一序列的步驟(包括在Si及/或Ge沉積之前的冷卻步驟期間使得表面活性化合物流入腔室的步驟)的控制器(例如,預先程式化的電腦)。入口668可以包括經設計以將單一基板反應器的氣體流動的均勻性最大化的氣體注入器。
出口部件670類似地安裝至處理腔室605,以使得排氣開口672與出口埠664對準,並且通向排氣導管674。導管674又可以與適當的真空構件(未圖示)連通,而用於將處理氣體從腔室605排出。在一個實施例中,處理氣體經抽吸而通過反應腔室605及下游洗滌器(未圖示)。較佳地將泵或風扇包括在內,以有助於抽吸處理氣體而通過腔室605,並且抽空腔室以進行減壓處理(亦即,低於大氣壓力,但是高於超高真空壓力範圍(如下所討論))。
所示的反應器600亦包括定位於腔室600的上游處的受激態物質源676。所示的實施例的受激態物質源676包含沿著氣體線678的遠端電漿產生器。在所示的實施例中,來自RPS能量源的微波能量係耦接至在沿著氣體線678的施加器中的流動氣體。前驅物氣體源680係耦接至氣體線678,以引入至受激態物質源676。氣體載體源682亦耦接至氣體線678。亦可提供一或更多個分支線684,以用於附加反應物。如在該技術領域中已知者,氣體源680、682可以包含氣槽、起泡器等(取決於反應物質的形式及揮發性)。每一氣體線可以提供個別的質量流量控制器(MFC)及閥門(如圖示),以允許引入至源676並因此進入反應腔室605的載體及反應物質的相對量的選擇。受激態物質源676可用於電漿增強沉積,但是亦可用於激發蝕刻劑,以在腔室605中沒有基板時清潔過度沉積的腔室605。
舉例而言,經設計以用於處理200mm的基板的單一基板處理腔室605的總體積容量係小於約30公升(例如,小於約20公升,並且在一個實施例中小於約10公升)。所示的腔室605具有約7.5公升的容量。因為所示的腔室605係由分隔器656、658、基板托持器632、環652,及從管638流出的吹掃氣體分隔,處理氣體流過的有效體積大致為總體積的一半(例如,在所示的實施例中為約3.77公升)。應理解,單一基板腔室605的體積可以不同(這取決於腔室605被設計成用於容納基板的尺寸)。舉例而言,用於300mm的基板的單一基板處理腔室605具有小於約100公升(例如,約60公升,而在一個實施例中小於約30公升)的容量。在一個實例中,用於處理300mm的基板的單一基板處理腔室605具有約24公升的總體積,其中有效體積係為約12公升。
含Ge的層的沉積溫度通常為約攝氏250度(C)至約攝氏600度(例如,約攝氏300度至約攝氏450度)的範圍中。舉例而言,隨著前驅物的熱穩定性降低,則較低的沉積溫度傾向於更合適。在單一基板處理腔室605中的總壓力是在約10-5Torr至約800Torr的範圍中。在一些實施例中,壓力係為約200mTorr至約760Torr(例如,約1Torr至約200Torr(例如,約1Torr至約60Torr))。
第7圖係根據一個實施例圖示經配置以用於低壓磊晶沉積的背側加熱處理腔室700的示意性橫截面圖。處理腔室700可用於處理一或更多個基板(包括將材料沉積在基板625的上表面上)。處理腔室700可以包括輻射加熱燈702的陣列,以用於加熱設置於處理腔室700內的基板支撐件706的背側704以及其他部件。基板支撐件706可為盤狀的基板支撐件706(如圖示),或者可為環狀的基板支撐件(具有中心開口),而從基板的邊緣支撐基板來促進基板對於燈702的熱輻射的暴露。
基板支撐件706係位於處理腔室700內,並在上圓頂728與下圓頂714之間。上圓頂728、下圓頂714、及設置於上圓頂728與下圓頂714之間的基環736一般定義處理腔室700的內部區域。基板625(未按照比例)係轉移至處理腔室700,並且經由在此視圖中未圖示的裝載埠103而定位於基板支撐件706上。
基板支撐件706係由中心軸件732支撐,而在裝載及卸載期間以垂直方向734移動基板625,並在一些情況中進行基板625的處理。基板支撐件706係圖示為處於第7圖中的升高的處理位置,但是可以藉由耦接至中心軸件732的致動器(未圖示)垂直穿越而到達在處理位置下方的裝載位置。當降低至處理位置以下時,提升銷705接觸基板625,並從基板支撐件706升起基板625。然後,機器人(未圖示)可以進入處理腔室700,以透過裝載埠接合基板625,並從其中移除基板625。然後,可在垂直方向上致動基板支撐件706而到達處理位置,以將基板625(其中基板的裝置側716朝上)放置於基板支撐件706的前側710上。
基板支撐件706在位於處理位置時將處理腔室700的內部空間劃分為位於基板625上方的處理氣體區域756以及位於基板支撐件706下方的吹掃氣體區域758。在處理期間,藉由中心軸件732來旋轉基板支撐件706,以最小化處理腔室700內的熱與處理氣體流動空間異常的影響,而因此促進基板625的均勻的處理。基板支撐件706可從碳化矽或塗覆碳化矽的石墨中形成,以吸收來自燈702的輻射能量,並且將輻射能量傳導至基板625。
一般而言,上圓頂728的中央窗口部分與下圓頂714的底部係從光學透明材料(例如,石英)中形成。上圓頂728的厚度與曲度可經配置以提供更平坦的幾何形狀,以達成在處理腔室中的均勻的流動均勻性。
燈702的陣列可以圍繞中心軸件732以指定的最佳期望方式設置於下圓頂714的相鄰處以及在下圓頂714的下方,以在處理氣體通過時獨立地控制在基板625的各個區域處的溫度,而促進將材料沉積至基板625的上表面。儘管本文並未詳細討論,但是沉積的材料可以包括砷化鎵、氮化鎵、或氮化鋁鎵。在一些實施例中,輻射加熱燈(例如,燈102)的陣列可以設置於上圓頂728上方。
燈702可經配置以包括燈泡,燈泡經配置以將基板625加熱至約攝氏200度至約攝氏1600度的範圍內的溫度。每一燈702係耦接至功率分配板(未圖示),而經由功率分配板將功率供應至每一燈702。舉例而言,燈702係定位在燈頭745內,燈頭745可在處理期間或在處理之後藉由引入位於燈702之間的通道749的冷卻流體來冷卻。部分地由於燈頭745與下圓頂714緊密靠近的緣故,燈頭745傳導及輻射冷卻下圓頂714。燈頭745亦可冷卻燈壁以及在燈周圍的反射器(未圖示)的壁。可替代地,下圓頂714可以藉由對流的方式來冷卻。取決於應用,燈頭745可以或可以不與下圓頂714接觸。
圓形的屏蔽767可以可選擇地設置於基板支撐件706的周圍,並藉由襯墊組件763圍繞。在針對處理氣體提供預熱區域時,屏蔽767防止或最小化從燈702至基板625的裝置側716的熱/光雜訊的洩漏。屏蔽767可從CVD SiC、利用SiC塗覆的燒結的石墨、生長的SiC、不透明的石英、經塗覆的石英、或可耐受由處理及吹掃氣體所引起的化學分解的任何的類似的適當材料中製成。
襯墊組件763的尺寸係設計成嵌套在基環736的內圓周內或由基環736的內圓周圍繞。襯墊組件763在處理空間(亦即,處理氣體區域756與吹掃氣體區域758)與處理腔室700的金屬壁之間發揮屏蔽的作用。金屬壁可以與前驅物反應,並造成在處理空間中的污染。雖然襯墊組件763係圖示為單一主體,襯墊組件763可以包括具有不同配置的一或更多個襯墊。
由於從基板支撐件706對於基板625進行背側加熱的緣故,可以使用光學高溫計718在基板支撐件上進行溫度測量/控制。因為利用此方式來加熱基板前側710係與發射率無關,所以藉由光學高溫計718進行的此溫度測量亦可以在具有未知的發射率的基板625的裝置側716上完成。因此,光學高溫計718僅能感測來自熱基板625的輻射,而從基板支撐件706傳導熱,其中來自燈702的最小背景輻射直接到達光學高溫計718。
反射器722可以可選擇地放置在上圓頂728的外部,以將從基板625輻射離開的光反射而回到基板625上。可以使用夾持環730將反射器722固定至上圓頂728。反射器722可由金屬(例如,鋁或不銹鋼)製成。反射的效率可以藉由利用高度反射的塗層(例如,金)塗覆反射器區域的方式來改善。反射器722可以具有連接至冷卻源(未圖示)的一或更多個通道726。通道726連接至形成於反射器722的一側上的通路(未圖示),而用於冷卻反射器722。通路經配置以承載流體(例如,水)的流動,並且可以沿著反射器722的側邊並以覆蓋反射器722的一部分或整個表面的任何的期望的圖案水平延伸。
從處理氣體供應源772供應的處理氣體係透過形成於基環736的側壁中的處理氣體入口774引入處理氣體區域756。處理氣體入口774經配置以沿著大致為徑向向內的方向引導處理氣體。在膜形成處理期間,基板支撐件706可以位於處理位置,而與處理氣體入口774相鄰並處於與處理氣體入口774大致相同的高度,以允許處理氣體沿著流動路徑773向上且到處流動,並以層流的方式跨越基板625的上表面。處理氣體通過位於與處理氣體入口774相對的處理腔室700的側邊上的氣體出口778離開處理氣體區域756(沿著流動路徑775)。可以藉由與氣體出口778耦接的真空泵780來通過氣體出口778促進處理氣體的移除。由於處理氣體入口774與氣體出口778彼此對準,並設置成大致相同的高度處,因此相信這樣的平行佈置在與較平坦的上圓頂728結合時,能夠實現跨越基板625的大致平坦的均勻的氣體流動。可以藉由透過基板支撐件706來旋轉基板625的方式提供進一步的徑向均勻性。
可以從吹掃氣體源765透過形成於基環736的側壁中的可選擇的吹掃氣體入口764(或者透過處理氣體入口774)將吹掃氣體供應至吹掃氣體區域758。吹掃氣體入口764係設置於處理氣體入口774下方的高度處。若使用圓形的屏蔽767或預加熱環(未圖示),則圓形的屏蔽或預加熱環可以設置於處理氣體入口774與吹掃氣體入口764之間。在任一種情況中,吹掃氣體入口764經配置以沿著大致徑向朝內的方向引導吹掃氣體。在膜形成處理期間,基板支撐件706可以位於一位置處,以使得吹掃氣體沿著流動路徑765向下且到處流動,並以層流的方式跨越基板支撐件706的背側704。在不受到任何的特定的理論的束縛的情況下,相信吹掃氣體的流動防止或基本上避免處理氣體的流動進入吹掃氣體區域758,或減少進入吹掃氣體區域758(亦即,在基板支撐件706下方的區域)的處理氣體的擴散。吹掃氣體離開吹掃氣體區域758(沿著流動路徑766),並透過氣體出口778排出處理腔室,氣體出口778係位於與吹掃氣體入口764相對的處理腔室700的側邊上。
第8圖係為可以是可從California的Santa Clara的Applied Materials, Inc.取得的CENTURA® 整合處理系統的部分的CVD或磊晶沉積腔室800的示意性橫截面圖。沉積腔室800包括殼體結構801,殼體結構801係由耐處理的材料(例如,鋁或不銹鋼(例如,316L不銹鋼))製成。殼體結構801包圍處理腔室800(例如,石英腔室830)的各種功能元件,處理腔室800包括上腔室805及下腔室824,其中包含處理空間818。藉由氣體分配組件850將活性物質提供至石英腔室830,並藉由出口838從處理空間818移除處理副產物,而出口838通常與真空源(未圖示)連通。
基板支撐件817經調試以接收基板625,基板625係轉移至處理空間818。基板支撐件817係沿著沉積腔室800的縱向軸線802設置。基板支撐件可由陶瓷材料或利用矽材料(例如,碳化矽)塗覆的石墨材料製成,或者由其他的耐處理的材料製成。將來自前驅物反應物材料的活性物質施加至基板625的表面816,並且可接續地從表面816移除副產物。可以藉由輻射源(例如,上部燈模組810A與下部燈模組810B)來提供基板625及/或處理空間818的加熱。
在一個實施例中,上部燈模組810A與下部燈模組810B係為紅外線(IR)燈。來自燈模組810A及810B的非熱能量或輻射行進通過上石英腔室805的上石英窗口804,並且行進通過下石英腔室824的下石英部分803。用於上石英腔室805的冷卻氣體(若需要)透過入口812進入,並透過出口813離開。用於腔室800的前驅物反應物材料以及稀釋劑、吹掃及排出氣體係透過氣體分配組件850進入,並透過出口838離開。儘管上石英窗口804係圖示為彎曲或凸出,但是上石英窗口804可為平面或凹入(因為在上石英窗口804的兩側上的壓力基本上相同(亦即,大氣壓力))。
在處理空間818中的低波長輻射(用於激發活性物質,並且有助於反應物的吸附以及來自基板625的表面816的處理副產物的脫附)通常在約0.8µm至約1.2µm(例如,在約0.95µm至約1.05µm之間)的範圍中,其中提供各種波長的組合(這取決於例如磊晶生長的膜的組成)。
組成氣體係經由氣體分配組件850進入處理空間818。氣體從氣體分配組件850流出,並且透過埠838離開(如通常圖示於822處)。通常在進入處理空間之前,混合組成氣體(用於清潔/鈍化基板表面,或者形成磊晶生長的包含矽及/或鍺的膜)的組合。可以藉由在出口埠838上的閥(未圖示)來調整在處理空間818中的整體壓力。處理空間818的內部表面的至少一部分係藉由襯墊831覆蓋。在一個實施例中,襯墊831包含不透明的石英材料。以此方式,腔室壁係與處理空間818中的熱隔離。
在處理空間818中的表面的溫度可以藉由冷卻氣體的流動而控制在約200℃至約600℃或更高的溫度範圍內,冷卻氣體係透過埠812進入,而透過埠813離開,並與來自定位在上石英窗口804的上方的上部燈模組810A的輻射相結合。在下石英腔室824中的溫度可以藉由調整未圖示的鼓風機單元的速度,並藉由來自設置於下石英腔室824下方的下部燈模組810B的輻射而控制在約200℃至約600℃或更高的溫度範圍內。在處理空間818中的壓力可以在約0.1Torr至約600Torr之間(例如,約5Torr至約30Torr之間)。
可以藉由對於在下石英腔室824中的下部燈模組810B進行功率調整,或者藉由對於在上石英腔室804上方的上部燈模組810A以及在下石英腔室824中的下部燈模組810B二者進行功率調整來控制在基板625的表面816上的溫度。在處理空間818中的功率密度可以在約40W/cm2 至約400W/cm2 之間(例如,約80W/cm2 至約120W/cm2 )。
在一個態樣中,氣體分配組件850係相對於腔室800或基板625的縱向軸線802而垂直於徑向方向806(或者沿著徑向方向806)設置。在此定向上,氣體分配組件850經調試以使得處理氣體跨越基板625的表面816或平行於基板625的表面816並沿著徑向方向806流動。在一個處理應用中,在引入腔室800的點預加熱處理氣體,以在引入處理空間818及/或破壞氣體中的特定鍵結之前,開始氣體的預加熱。以此方式,可以獨立於基板625的熱溫度而修改表面反應動力學。
在操作中,從一或更多個氣體源840A及840B將用於形成Si及SiGe毯覆或選擇性膜的前驅物提供至氣體分配組件850。IR燈856(第8圖僅圖示一個)可用於沿著流動路徑822加熱在氣體分配組件850內的前驅物。氣體源840A、840B可以利用經配置以促進氣體分配組件850內的引入區域(例如,當從頂視平面圖觀看時的徑向外區及在外區之間的徑向內區)的方式耦接至氣體分配組件850。氣體源840A、840B可以包括用於控制引入區域的速率的閥(未圖示)。
氣體源840A、840B可以包括矽前驅物(例如,矽烷(包括矽甲烷(SiH4 )、乙矽烷(Si2 H6 )、二氯矽烷(SiH2 Cl2 )、六氯矽乙烷(Si2 Cl6 )、二溴矽烷(SiH2 Br2 )、較高階的矽烷、其衍生物、及其組合))。氣體源840A、840B亦可包括含鍺前驅物(例如,鍺烷(GeH4 )、二鍺烷(Ge2 H6 )、四氯化鍺(GeCl4 )、二氯鍺烷(GeH2 Cl2 )、其衍生物、及其組合)。包含矽及/或鍺的前驅物可以與氯化氫(HCl)、氯氣(Cl2 )、溴化氫(HBr)、及其組合進行結合的方式來使用。氣體源840A、840B可以包括在氣體源840A、840B中之一或二者中的包含矽及鍺的前驅物中之一或更多者。
前驅物材料通過在處於此激發狀態的多孔板854中的開口或複數個孔洞858(第8圖僅圖示一個)進入處理空間818,多孔板854在一個實施例中係為石英材料,並具有穿過其中形成的孔洞858。多孔板854對於IR能量而言是可通透的,並且可由透明的石英材料製成。在其他實施例中,多孔板854可以對於IR能量而言是可通透且可耐受處理化學成分及其他處理化學成分的任何材料。經賦能的前驅物材料透過多孔板854中的複數個孔洞858,並透過複數個通道852(第8圖僅圖示一個)朝向處理空間818流動。來自IR燈856的一部分的光子及非熱能量亦穿過孔洞858、多孔板854、及通道852,前者係藉由設置於氣體分配組件850的內部表面上的反射材料及/或表面促進而達成,而藉此照明前驅物材料的流動路徑(如第8圖的箭頭822所示)。以此方式,從引入點沿著流動路徑至處理空間818可以維持前驅物材料的振動能量。
第9圖係根據本揭示的實施方案圖示可用於完成第1圖所示的處理序列100的示例性真空處理系統900。如第9圖所示,複數個處理腔室902a、902b、902c、902d係耦接至第一轉移腔室904。處理腔室902a至902d可用於執行任何與基板相關的處理(例如,退火、化學氣相沉積、物理氣相沉積、磊晶處理、蝕刻處理、熱氧化或熱氮化處理、脫氣等)。在一個實施方案中,處理腔室902a可為膜形成腔室(例如,能夠形成結晶矽或矽化鍺的氣相磊晶沉積腔室(例如,可從California的Santa Clara的Applied Materials取得的Epi腔室))。在另一實施方案中,處理腔室902a可為磊晶沉積腔室(例如,與第6圖結合描述的單一基板處理腔室600)。在另一實施方案中,處理腔室902a可為與第7圖結合描述的處理腔室700。在另一實施方案中,處理腔室902a可為與第8圖結合描述的處理腔室800。
處理腔室902b可為快速的熱處理腔室(RTP)。處理腔室902c係為電漿蝕刻腔室或電漿清潔腔室。舉例而言,處理腔室902c可以是與第2A圖結合描述的處理腔室200或是與第3圖結合描述的處理腔室300。處理腔室902d可為脫氣腔室。第一轉移腔室904亦耦接到至少一個過渡站(例如,一對直通站906、908)。直通站906、908在允許基板於第一轉移腔室904與第二轉移腔室910之間轉移時維持真空條件。第一轉移腔室904具有用於在直通站906、908與處理腔室902a至902d的任一者之間轉移基板的機器人基板操作機構(未圖示)。處理腔室902a至902d係圖示為利用第9圖的特定順序配置,但是可以利用任何期望順序配置。
直通站906、908的一個端部耦接至第二轉移腔室910。因此,第一轉移腔室904與第二轉移腔室910是分開的,並且藉由直通站906、908來連接。第二轉移腔室910耦接至第一電漿清潔腔室914,第一電漿清潔腔室914可為電漿腔室(例如,經調試以執行在方塊902中找到的處理中的至少一些者的處理腔室200(第2A圖),以用於從基板的表面移除氧化物)。在一個實施方案中,第一電漿清潔腔室914係為可從California的Santa Clara的Applied Materials取得的SiconiTM 或SelectraTM 腔室。在另一實施方案中,電漿清潔腔室914可為與第2A圖結合描述的處理腔室200。在另一實施方案中,電漿清潔腔室914可為與第3圖結合描述的處理腔室300。在又一實施方案中,二個處理腔室200係定位於電漿清潔腔室914,二個處理腔室300係定位於站906及908中,而四個epi腔室係定位於處理腔室902a至902d中。
在一個實施方案中,至少一個過渡站(例如,直通站906、908中之一者)經配置以作為電漿清潔腔室。可替代地,電漿清潔腔室可以耦接至直通站906、908中之一者,以用於從基板的表面移除污染物。因此,處理系統900可以具有第二電漿清潔腔室,第二電漿清潔腔室係為直通站906、908中之一者,或者連接至直通站906、908中之一者。在第9圖所示的一個實施方案中,直通站906包括第二電漿清潔腔室916。第二電漿清潔腔室916可為處理腔室300(第3圖)的一版本,處理腔室300經調試以執行在方塊104中找到的處理中之至少一些者,以用於從基板的表面移除污染物。應注意,儘管僅圖示一個電漿清潔腔室916耦接至直通站,在此情況中,直通站906、電漿清潔腔室(例如,處理腔室300的一版本)可以定位於直通站906及908二者內。
第二轉移腔室910亦具有用於在一組裝載閘腔室912與第一電漿清潔腔室914或第二電漿清潔腔室916之間或者穿過背轉移腔室906/908轉移基板的機器人基板操作機構(未圖示)。工廠介面920係藉由裝載閘腔室912連接至第二轉移腔室910。工廠介面920係耦接至裝載閘腔室912的相對側上的一或更多個傳送盒930。傳送盒930通常是可從清潔室(未圖示)進出的前開式晶圓傳送盒(FOUP)。
儘管圖示二個轉移腔室,但是考慮到轉移腔室中的任一者可以省略。在省略第一轉移腔室914的一個實施方案中,第二電漿清潔腔室916可以設置於第二轉移腔室910內,或是在目前圖示為由直通站906或908佔據的位置處耦接至第二轉移腔室910。第二轉移腔室910可以耦接至能夠形成結晶矽或矽化鍺的一或更多個處理腔室(例如,磊晶腔室(例如,可從California的Santa Clara的Applied Materials, Inc.取得的CenturaTM Epi腔室))。
在操作中,基板係在位於裝載閘腔室912中之一者內的運輸卡匣(未圖示)中,並且從傳送盒930運送至真空處理系統900。在第二轉移腔室910內的機器人運輸機構一次一個地將基板從裝載閘腔室912運輸至第一電漿清潔腔室914,其中執行清潔處理(例如,在方塊102中找到的處理)以從基板的表面移除氧化物。一旦從基板表面移除氧化物,設置於第二轉移腔室910內的機器人運輸機構將基板從第一電漿清潔腔室914轉移至第二電漿清潔腔室916,其中執行還原處理(例如,在方塊104中找到的處理)以移除來自基板表面的污染物(例如,碳或碳氫化合物)。設想到此處的步驟亦可以利用相反的順序來執行(亦即,使用機器人運輸機構將基板從第二電漿清潔腔室916轉移至第一電漿清潔腔室914)。在任一情況中,清潔的基板然後藉由設置於第一轉移腔室904內的機器人運輸機構從第二電漿清潔腔室916(或第一電漿清潔腔室914)轉移至一或更多個處理腔室902a至902d。一或更多個處理腔室902a至902d可以包括磊晶處理腔室,其中執行層形成處理(例如,在方塊106中描述的磊晶沉積)。
在完成一或更多個處理腔室902a至902d中的處理之後,設置於第一轉移腔室904內的機器人運輸機構將基板從處理腔室902中之任一者移動至直通站908。然後,藉由設置於第二轉移腔室910內的機器人運輸機構將基板從直通站908移除,並轉移至另一裝載閘腔室912,其中透過裝載閘腔室912將基板從真空處理系統900中取出。
由於所有的三個方塊102、104,及106的處理是在相同的真空處理系統900內執行,當基板在各種腔室間轉移時,真空不會被破壞,而降低污染的機會,並改善所沉積的磊晶膜的品質。應理解,本文描述基板的移動,以達到說明之目的。控制器(未圖示)可用於根據期望的排序程式(可取決於應用而改變)來排程基板通過真空處理系統900的移動。
本揭示的益處包括改善的真空處理系統,而在相同的真空處理系統上將二種不同類型的預清潔處理腔室與磊晶處理腔室整合。預清潔處理腔室可以包括第一電漿清潔處理腔室與第二電漿清潔處理腔室。在相同的真空處理系統上的二種類型的表面材料移除腔室的共同存在係允許基板在表面製備與磊晶沉積之間保持真空,而減少基板暴露於周圍環境的時間,並消除單獨的處理腔室或系統上準備基板的需要。因為在二個轉移腔室之間的直通站亦擔任預清潔處理腔室的角色,所以此架構亦將在真空系統上的處理腔室的數目最大化,此亦減少基板的整體操作時間。
可以在第2A圖的處理腔室200中執行第1圖的處理102的實例。氬係輸送通過遠端電漿單元252,氬中的5至10%的HF的第一混合物係輸送通過入口256,而氬中的25%的NH3 的第二混合物係輸送通過入口258。藉由向以2sLm流動的氬施加500W的微波或RF功率來形成遠端電漿。第一混合物係以500sccm流經第一入口256,而第二混合物係以500sccm流經第二入口258。藉由將溫度控制流體輸送通過熱控制充氣部235,而將基板維持在攝氏10度的溫度。可供電至基板支撐件232,以提供輻射狀溫度控制。將腔室維持在5Torr的壓力下,並針對基板進行處理而達到適合用於將在基板表面上的所有的所期望的氧化物轉換成可昇華的固體的時間(例如,300秒)。然後,將基板移動靠近第二氣體分配器230,第二氣體分配器230係加熱至約攝氏200度,以提供對於基板表面的輻射或傳導加熱。將基板維持為靠近從第二氣體分配器230處輻射出的熱達到1至5分鐘,以使得形成於基板表面上的固體昇華,而留下無氧表面。然後,可以可選擇地在惰性大氣下針對基板進行熱加工,以從氧化物移除處理中移除任何的殘留物質(例如,含氟物質)。熱加工可以包括將基板設置於熱加工腔室中,並針對腔室中的熱加工設備進行賦能,以加熱基板至約攝氏300度的溫度且達到約1分鐘。
可以在第3圖的處理腔室300中執行第1圖的處理104的實例。以2sLm輸送氫氣而通過遠端電漿源350,其中氫氣被以500W的微波或RF功率供電。藉由加熱基板支撐件314將基板維持在約攝氏400度的溫度,而將處理區域330維持在約0.5Torr的壓力下。在這些條件下針對基板進行處理並達到適合用於移除所有的所期望的污染物或外來物質以及氫封端基板的表面的時間(例如,約1分鐘)。然後,可以可選擇地在惰性大氣下藉由透過導管360供應惰性氣體(例如,氬)且同時中斷在遠端電漿源350中的電漿功率,而進一步地針對基板進行熱加工或退火。可以將基板維持在攝氏600度的退火溫度。可替代地,可以將基板移動至退火腔室(可以例如耦接至與第9圖結合描述的處理系統900),並在較高的溫度(例如,攝氏800至1000度)下進行退火或熱加工,以移除任何的殘留的表面缺陷,而留下均勻純淨並有良好次序的具有最小缺陷及雜質的表面結晶結構。
儘管前述係關於本揭示的實施方案,可以設計本揭示的其他及進一步實施方案,而不悖離其基本範疇。
100‧‧‧處理序列
102‧‧‧方塊
103‧‧‧方塊
104‧‧‧方塊
106‧‧‧方塊
200‧‧‧處理腔室
202‧‧‧控制器
210‧‧‧基板
212‧‧‧腔室主體
214‧‧‧蓋組件
216‧‧‧支撐組件
218‧‧‧真空泵
220‧‧‧第一板
221‧‧‧真空埠
222‧‧‧處理區域
224‧‧‧功率源
225‧‧‧氣體入口
228‧‧‧阻擋板
230‧‧‧氣體分配板
232‧‧‧基板支撐件
234‧‧‧致動器
235‧‧‧熱控制充氣部
236‧‧‧軸件
237‧‧‧加熱器
239‧‧‧加熱器
240‧‧‧第二板
241‧‧‧導管
242‧‧‧腔室
243‧‧‧導管
244‧‧‧第三板
245‧‧‧提升構件
246‧‧‧開口
247‧‧‧功率導管
248‧‧‧容積
249‧‧‧功率導管
250‧‧‧第四板
251‧‧‧提升銷
252‧‧‧氣體源
254‧‧‧第五板
255‧‧‧提升致動器
256‧‧‧入口
257‧‧‧圓柱形通道
258‧‧‧入口
259‧‧‧圓柱形通道
260‧‧‧第一氣體源
261‧‧‧氣體源
262‧‧‧第二氣體源
264‧‧‧開口
265‧‧‧孔洞
266‧‧‧混合腔室
267‧‧‧孔洞
268‧‧‧第六板
270‧‧‧中心導管
272‧‧‧第一氣體分配器
274‧‧‧穿孔
300‧‧‧處理腔室
303‧‧‧凸出部
308‧‧‧基板
310‧‧‧腔室主體
314‧‧‧基板支撐件
315‧‧‧開口
316‧‧‧腔室外殼
317‧‧‧泵
318‧‧‧處理套件殼體
324‧‧‧頂部襯墊
325‧‧‧底部襯墊
326‧‧‧氣體分配板
327‧‧‧孔隙
329‧‧‧屏蔽部分
330‧‧‧處理區域
331‧‧‧內表面
335‧‧‧加熱元件
337‧‧‧驅動單元
338‧‧‧聚焦環
340‧‧‧蓋
341‧‧‧中心軸件
342‧‧‧埠
344‧‧‧襯墊板
348‧‧‧充氣部
350‧‧‧RPS
352‧‧‧第一磁體
354‧‧‧第二磁體
356‧‧‧通路
360‧‧‧導管
361‧‧‧前級管線
363‧‧‧節流閥
370‧‧‧內表面
400‧‧‧基板支撐件
402‧‧‧支撐主體
404‧‧‧軸件
406‧‧‧波紋管
408‧‧‧基板支撐表面
410‧‧‧接觸點
412‧‧‧中心點
414‧‧‧切口
416‧‧‧驅動單元
510‧‧‧空腔
512‧‧‧中心開口
518‧‧‧側壁
520‧‧‧加熱元件
528‧‧‧功率線
530‧‧‧通道
532‧‧‧加熱功率源
534‧‧‧熱電偶
535‧‧‧溫度控制器
536‧‧‧功率供應器
546‧‧‧外區
548‧‧‧內區
550‧‧‧入口
552‧‧‧出口
554‧‧‧邊緣環
600‧‧‧反應器
605‧‧‧腔室
610‧‧‧加熱元件
612‧‧‧箭頭
615‧‧‧加熱元件
620‧‧‧聚光燈
625‧‧‧矽基板
630‧‧‧基板支撐結構
632‧‧‧基板托持器
634‧‧‧支架
636‧‧‧軸件
638‧‧‧管
640‧‧‧中央熱電偶
645‧‧‧前熱電偶
650‧‧‧後熱電偶
652‧‧‧滑環
654‧‧‧支撐構件
656‧‧‧分隔器
658‧‧‧分隔器
660‧‧‧入口埠
664‧‧‧出口埠
665‧‧‧入口部件
667‧‧‧狹槽
668‧‧‧入口
670‧‧‧出口部件
672‧‧‧排氣開口
674‧‧‧導管
676‧‧‧受激態物質源
678‧‧‧氣體線
680‧‧‧前驅物氣體源
682‧‧‧氣體載體源
684‧‧‧分支線
700‧‧‧處理腔室
702‧‧‧輻射加熱燈
705‧‧‧提升銷
706‧‧‧基板支撐件
714‧‧‧下圓頂
718‧‧‧光學高溫計
722‧‧‧反射器
726‧‧‧通道
728‧‧‧上圓頂
730‧‧‧夾持環
732‧‧‧中心軸件
734‧‧‧垂直方向
736‧‧‧基環
745‧‧‧燈頭
749‧‧‧通道
756‧‧‧處理氣體區域
758‧‧‧吹掃氣體區域
763‧‧‧襯墊組件
764‧‧‧吹掃氣體入口
765‧‧‧吹掃氣體源
766‧‧‧流動路徑
767‧‧‧屏蔽
772‧‧‧處理氣體供應源
773‧‧‧流動路徑
774‧‧‧處理氣體入口
775‧‧‧流動路徑
778‧‧‧氣體出口
780‧‧‧真空泵
800‧‧‧沉積腔室
801‧‧‧殼體結構
802‧‧‧縱向軸線
803‧‧‧下石英部分
804‧‧‧上石英窗口
805‧‧‧上腔室
806‧‧‧徑向方向
810A‧‧‧上部燈模組
810B‧‧‧下部燈模組
812‧‧‧入口
813‧‧‧出口
816‧‧‧表面
817‧‧‧基板支撐件
818‧‧‧處理空間
822‧‧‧箭頭
824‧‧‧下腔室
830‧‧‧石英腔室
831‧‧‧襯墊
838‧‧‧出口
840A‧‧‧氣體源
840B‧‧‧氣體源
850‧‧‧氣體分配組件
852‧‧‧通道
854‧‧‧多孔板
856‧‧‧IR燈
858‧‧‧孔洞
900‧‧‧真空處理系統
902‧‧‧處理腔室
902a‧‧‧處理腔室
902b‧‧‧處理腔室
902c‧‧‧處理腔室
902d‧‧‧處理腔室
904‧‧‧第一轉移腔室
906‧‧‧直通站
908‧‧‧直通站
910‧‧‧第二轉移腔室
912‧‧‧裝載閘腔室
914‧‧‧第一電漿清潔腔室
916‧‧‧第二電漿清潔腔室
920‧‧‧工廠介面
930‧‧‧傳送盒
本揭示的實施方案(在前文中簡短地概括者以及在後文中更為詳細地討論者)可以藉由參照描繪於隨附圖式中的本揭示的說明性的實施方案來理解。然而,應注意隨附圖式僅圖示本揭示之典型實施方案,而非視為限定本揭示的保護範疇,本揭示可接納其他等效實施方案。
第1圖圖示根據本揭示的一個實施方案的處理序列。
第2A圖係為根據本揭示的一個實施方案的用於執行第1圖的清潔處理的清潔腔室的橫截面圖。
第2B圖係為第2A圖的處理腔室的一部分的放大視圖。
第2C圖係為根據一個實施例的基板支撐件的橫截面詳細視圖。
第3圖係為根據本揭示的一個實施方案的用於執行第1圖的還原處理的清潔腔室的橫截面圖。
第4圖係為可設置於基板處理腔室(例如,具有遠端電漿源的處理腔室)內的基板支撐件的透視圖。
第5圖係為根據本文提供的本揭示的實施例的第4圖的基板支撐件的一部分的橫截面圖。
第6圖圖示用於執行磊晶沉積處理的單一基板化學氣相沉積(CVD)反應器。
第7圖圖示用於執行磊晶沉積處理的背側加熱處理腔室的示意性橫截面圖。
第8圖係為用於執行磊晶沉積處理的CVD腔室的示意性橫截面圖。
第9圖圖示用於執行本文所述的清潔及沉積處理的示例性真空處理系統。
為促進理解,各圖中相同的元件符號儘可能指定相同的元件。為清楚說明,以上圖式已經簡化且未按比例繪製。預期一個實施方案的元件與特徵可以有利地併入其他實施方案,而不另外詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理系統,包含: 一轉移腔室,耦接到至少一個氣相磊晶腔室; 一氧化物移除腔室,耦接至該轉移腔室,該氧化物移除腔室包含: 一蓋組件,具有一混合腔室與一氣體分配器; 一第一氣體入口,穿過該蓋組件的一部分形成,並與該混合腔室流體連通; 一第二氣體入口,穿過該蓋組件的一部分形成,並與該混合腔室流體連通; 一第三氣體入口,穿過該蓋組件的一部分形成,並與該混合腔室流體連通;以及 一基板支撐件,具有: 一基板支撐表面; 一冷卻通道;以及 一提升構件,設置於該基板支撐表面的一凹部中,並通過該基板支撐件耦接到一提升致動器; 一電漿污染物移除腔室,耦接至該轉移腔室,該電漿污染物移除腔室包含: 一遠端電漿源;以及 一基板支撐件,可操作以將設置其上的一基板加熱至25℃與650℃之間的一溫度。
  2. 如請求項1所述之處理系統,其中該氧化物移除腔室包含一電漿產生源。
  3. 如請求項2所述之處理系統,其中該電漿產生源包含該遠端電漿源,而經配置以藉由使用一RF源或一微波源在其中形成一電漿。
  4. 如請求項2所述之處理系統,其中該遠端電漿源係耦接至該腔室的一蓋。
  5. 如請求項1所述之處理系統,其中該膜形成腔室係為一磊晶腔室。
  6. 如請求項1所述之處理系統,其中該氧化物移除腔室包括一遠端電漿腔室以及具有一冷卻通道的一基板支撐件。
  7. 如請求項1所述之處理系統,其中該氧化物移除腔室係為一氟處理腔室,該電漿污染物移除腔室係為一氫處理腔室,而該膜形成腔室係為一磊晶腔室。
  8. 如請求項7所述之處理系統,其中該氧化物移除腔室包括具有一冷卻通道的一基板支撐件,而該電漿污染物移除腔室包括可操作以將設置其上的一基板加熱至大於300℃的一溫度的一基板支撐件。
  9. 如請求項8所述之處理系統,進一步包含一退火腔室。
  10. 一種處理一基板的方法,包含以下步驟: 藉由包括將該基板暴露於包含NH3 、HF、及惰性氣體的一處理氣體之步驟的一處理,而從該基板移除氧化物; 藉由包括將該基板暴露於氫自由基之步驟的一處理,而從該基板移除污染物;以及 藉由一磊晶處理在該基板上形成一膜。
  11. 如請求項10所述之方法,進一步包含以下步驟:藉由一熱加工處理從該基板移除該氧化物移除處理的副產物。
  12. 如請求項10所述之方法,其中該熱加工處理是在與氫自由基暴露處理相同的腔室中執行。
  13. 如請求項10所述之方法,其中該氧化物移除處理包含: 在一處理腔室中沉積該基板; 從一惰性氣體形成一電漿; 使該電漿與NH3 及HF一起流入一混合腔室,以形成一反應混合物; 使該反應混合物流入該處理腔室;以及 將該基板暴露於該反應混合物。
  14. 如請求項13所述之方法,其中該氧化物移除處理進一步包含在暴露於該反應混合物之後,將該基板加熱到至少攝氏100度的一溫度。
  15. 如請求項10所述之方法,其中將該基板暴露於氫自由基之步驟包含以下步驟: 將一處理腔室中的該基板設置於具有一加熱器的一基板支撐件上; 將該基板加熱至25℃至650℃之間的一溫度; 從一含氫氣體形成一電漿; 使用一磁場從該電漿中移除離子,以形成一氫自由基氣體; 使該氫自由基氣體流入該處理腔室;以及 將該基板暴露於該氫自由基氣體。
  16. 如請求項15所述之方法,進一步包含以下步驟: 在將該基板暴露於一含氟化學物質之前,將該基板設置於一熱加工腔室中; 使一惰性氣體流入該熱加工腔室;以及 將該基板加熱至400℃或更高的一溫度。
  17. 如請求項16所述之方法,其中在該基板上形成該膜之步驟包含以下步驟:在該基板上執行一磊晶處理。
  18. 如請求項15所述之方法,其中將該基板暴露於氫自由基之步驟包含以下步驟:將該基板加熱到至少400℃的一溫度。
  19. 一種處理系統,包含: 一第一轉移腔室,耦接到至少一個膜形成腔室; 一第二轉移腔室; 一電漿氧化物移除腔室,耦接至該第一或第二轉移腔室; 一電漿污染物移除腔室,耦接至該第一或第二轉移腔室;以及 一裝載閘腔室,耦接至該第二轉移腔室。
  20. 如請求項19所述之處理系統,進一步包含一氧化物移除腔室。
TW107128748A 2017-08-30 2018-08-17 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統 TWI820036B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552145P 2017-08-30 2017-08-30
US62/552,145 2017-08-30
US16/057,213 US11049719B2 (en) 2017-08-30 2018-08-07 Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US16/057,213 2018-08-07

Publications (2)

Publication Number Publication Date
TW201921548A true TW201921548A (zh) 2019-06-01
TWI820036B TWI820036B (zh) 2023-11-01

Family

ID=65435515

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112138024A TW202403941A (zh) 2017-08-30 2018-08-17 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
TW107128748A TWI820036B (zh) 2017-08-30 2018-08-17 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112138024A TW202403941A (zh) 2017-08-30 2018-08-17 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統

Country Status (6)

Country Link
US (1) US11049719B2 (zh)
JP (1) JP7046162B2 (zh)
KR (1) KR102360082B1 (zh)
CN (1) CN111033713A (zh)
TW (2) TW202403941A (zh)
WO (1) WO2019046000A1 (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10483091B1 (en) * 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6736720B1 (ja) * 2019-03-29 2020-08-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体処理チャンバマルチステージミキシング装置
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US20210035851A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Low contact area substrate support for etching chamber
KR20220163422A (ko) * 2020-06-03 2022-12-09 어플라이드 머티어리얼스, 인코포레이티드 증착 장치, 프로세싱 시스템 및 광전자 디바이스의 층을 제조하는 방법
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
KR20220040074A (ko) * 2020-09-23 2022-03-30 삼성전자주식회사 에어 갭을 포함하는 반도체 소자 제조 방법
US20220127723A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. High heat loss heater and electrostatic chuck for semiconductor processing
US20220157604A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
CN116917533A (zh) * 2021-02-19 2023-10-20 应用材料公司 基板支撑件、处理基板的方法、以及处理系统
US20220367216A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes
CN117438277B (zh) * 2023-12-19 2024-04-12 北京北方华创微电子装备有限公司 匀流组件、进气装置及半导体设备

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
WO2010042577A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8728944B2 (en) 2010-08-04 2014-05-20 Applied Material, Inc. Method of removing contaminants and native oxides from a substrate surface
US20140011339A1 (en) 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN110735181A (zh) * 2013-08-09 2020-01-31 应用材料公司 于外延生长之前预清洁基板表面的方法和设备
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
CN111033713A (zh) 2020-04-17
TWI820036B (zh) 2023-11-01
WO2019046000A1 (en) 2019-03-07
KR20200035187A (ko) 2020-04-01
JP7046162B2 (ja) 2022-04-01
US20190067006A1 (en) 2019-02-28
TW202403941A (zh) 2024-01-16
KR102360082B1 (ko) 2022-02-08
US11049719B2 (en) 2021-06-29
JP2020532140A (ja) 2020-11-05

Similar Documents

Publication Publication Date Title
TWI820036B (zh) 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
JP7029522B2 (ja) 一体化されたエピタキシと予洗浄システム
JP6272934B2 (ja) エピタキシャル堆積プロセス及び装置
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US20220157604A1 (en) Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
TW202039921A (zh) 成長摻雜iv族材料的方法
US11605544B2 (en) Methods and systems for cleaning high aspect ratio structures
JP2008218877A (ja) 基板処理装置および半導体装置の製造方法
TW202331789A (zh) 整合式磊晶與預清潔系統