TWI678729B - 用於選擇性沉積之設備及方法 - Google Patents

用於選擇性沉積之設備及方法 Download PDF

Info

Publication number
TWI678729B
TWI678729B TW105129726A TW105129726A TWI678729B TW I678729 B TWI678729 B TW I678729B TW 105129726 A TW105129726 A TW 105129726A TW 105129726 A TW105129726 A TW 105129726A TW I678729 B TWI678729 B TW I678729B
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
processing
source
silicon
Prior art date
Application number
TW105129726A
Other languages
English (en)
Other versions
TW201724202A (zh
Inventor
阿布希雪克 督比
Abhishek Dube
紹芳 諸
Schubert S. Chu
潔西卡S 卡契
Jessica S. Kachian
大衛 湯普森
David Thompson
傑佛瑞 安瑟斯
Jeffrey ANTHIS
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201724202A publication Critical patent/TW201724202A/zh
Application granted granted Critical
Publication of TWI678729B publication Critical patent/TWI678729B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本案描述用於處理基板的方法與設備。鈍化介電材料的方法包括:在介電材料的暴露表面上形成烷基矽基部分。形成烷基矽基部分的合適前驅物包括:(三甲基矽基) 四氫吡咯、胺基矽烷、二氯二甲基矽烷,等等。在介電材料鈍化之後,覆蓋層可選擇性沉積在源極/汲極材料上。執行本發明所述方法的設備包括平臺,此平臺包括:移送腔室、預清洗腔室、磊晶沉積腔室、鈍化腔室與原子層沉積腔室。

Description

用於選擇性沉積之設備及方法
本發明的實施例大體上關於執行選擇性沉積處理的設備及方法。
磊晶沉積處理在沉積各種半導體元件結構上是普遍使用的技術。例如,鰭式場效電晶體(FinFET)的源極與汲極區可經由磊晶處理沉積。在希望高度有序晶體結構處,通常使用磊晶沉積。此外,磊晶沉積層亦可被摻雜以改變電子與組成特性。如此,磊晶沉積處理在半導體領域已獲得廣泛利用。
然而,磊晶沉積處理通常是耗時的且具有反作用於半導體製造中的產量。此外,使用移除磊晶沉積材料的後磊晶沉積蝕刻處理之各種元件處理方案可能是不欲的。現行處理方案通常使用磊晶沉積層的「損失預算」,藉由沉積相較於通常需要用以形成元件還要大量的磊晶膜,以填補由於蝕刻的後續損失。然而,沉積額外數量的磊晶沉積膜是耗時的且進而降低產量。
因此,在此領域有著對於適以執行該等方法的改良半導體處理方法及設備的需求。
在一實施例中,提供一種基板處理設備。此設備包括處理平臺及安置在處理平臺上中央的移送腔室。至少一基板移送機器人可安置在移送腔室內,與預清洗腔室可安置在處理平臺上鄰接移送腔室。磊晶沉積腔室可安置在處理平臺上鄰接移送腔室,及表面鈍化腔室可安置在處理平臺上鄰接移送腔室。此外,原子層沉積腔室可安置在處理平臺上鄰接移送腔室。
在另一實施例中,提供一種基板處理方法。此方法包括將基板運送至安置在平臺上的第一處理腔室,且在基板上執行預清洗處理。基板可從第一處理腔室移送至安置在平臺上的第二處理腔室而不破壞真空。可執行磊晶沉積處理以在第二處理腔室內的基板上沉積源極/汲極材料,且基板可從第二處理腔室移送至安置在平臺上的第三處理腔室而不破壞真空。可執行表面鈍化處理以改質沉積在基板上的介電材料的表面,及基板可從第三處理腔室移送至安置在平臺上的第四處理腔室而不破壞真空。亦可在源極/汲極材料上沉積覆蓋層。
在又一實施例中,提供一種表面鈍化方法。此方法包括將包含含矽元件特徵與介電材料的基板暴露至預清洗處理,以從含矽元件特徵的表面移除原生氧化物材料。源極/汲極材料可被磊晶沉積於含矽元件特徵上,且藉由在介電材料上沉積烷基矽基材料,介電材料的暴露表面可被鈍化。亦可在源極汲極材料上沉積覆蓋層。
本文說明處理基板的方法及設備。鈍化介電材料的方法包括在介電材料的暴露表面上形成烷基矽基部分(moiety)。形成烷基矽基部分的合適前驅物包括(三甲基矽基) 四氫吡咯、胺基矽烷(諸如胺基矽烷與二胺基矽烷)、與二氯二甲基矽烷,等等。介電材料鈍化之後,覆蓋層可選擇性沉積在源極/汲極材料上。執行本文所述方法的設備包括平臺,此平臺包含移送腔室、預清洗腔室、磊晶沉積腔室、鈍化腔室與原子層沉積腔室。
圖1繪示執行根據本文所述實施例的鈍化處理的方法100之操作。大體上,可使用方法100以改良後續沉積材料的沉積選擇性。儘管本文所述的實例是關於介電材料的表面改質及鈍化,但本文所述的實施例可有益於其他材料與合適鈍化化學。再者,大體上涉及的是磊晶沉積材料上的選擇性沉積,然而,本文所述的實施例可有益於其他沉積方法,諸如化學氣相沉積、原子層沉積、物理氣相沉積,及其衍生物。
本文所述之「基板」或「基板表面」大體上代表在其上執行處理之任何基板表面。例如,基板表面可包括:矽、氧化矽、摻雜矽、矽鍺、鍺、砷化鎵、玻璃、藍寶石、及其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電或半導材料,取決於應用。基板或基板表面亦可包括介電材料,諸如二氧化矽、氮化矽、有機矽酸鹽、及碳摻雜氧化矽或氮化矽材料。基板本身不限於任何特定尺寸或形狀。儘管本文所述實施例通常參照的是圓形200mm或300mm基板,其他形狀,諸如多邊形、方形、矩形、曲形、或其他非圓形工作件可使用在本文所述實施例中。
為了說明目的,圖2、圖3與圖4將與圖1並行地論述。在操作110,可在包含電晶體特徵與一或更多介電材料的基板上執行預清洗處理。更通常而言,電晶體特徵可為元件特徵,諸如鰭式場效電晶體(FinFET),及介電材料可為淺溝槽隔離材料,諸如氧化物或氮化物材料。
可進行預清洗處理以移除安置在電晶體特徵上之原生氧化物材料。例如,若電晶體特徵是含矽材料,在處理序列期間,若電晶體特徵暴露至含氧周遭環境,二氧化矽可存在於電晶體特徵上。儘管原生氧化物可為非常薄的材料層,諸如在約5Å與約20Å之間,氧化物會造成後續製造處理的困難。在一實施例中,預清洗處理可為來自加州聖克拉拉應用材料公司的SICONI® 預清洗處理。大體上,預清洗處理包括將基板表面暴露至氨(NH3 )與三氟化氮(NF3 )的混合物。預清洗處理通常是用於從基板表面選擇性移除原生氧化物材料的乾蝕刻處理。
在預清洗處理期間,基板可維持在低於約100℃的溫度及氨與三氟化氮的氣體混合物可暴露至基板表面。氨與三氟化氮可被提供為具有至少1:1莫耳比率(氨:三氟化氮)的混合物。在一實施例中,氨對三氟化氮的比率可為約5:1與約30:1之間。淨化氣體或載體氣體亦可被加到清洗氣體混合物。合適的淨化/載體氣體包括氬、氦、氫、氮或其混合物。大體上,氣體混合物為從約0.05%至約20%體積之氨與三氟化氮。氣體混合物的剩餘部分可為淨化/載體氣體。在預清洗期間,壓力可維持在約500毫托與約30托之間。在約5瓦與約600瓦之間的RF功率可用於形成氣體混合物的電漿。RF功率的頻率可為小於100kHz,諸如在約50kHz與約90kHz之間。參照圖8而更詳細地說明可用於執行預清洗處理的示例性處理腔室。
電漿能量將氨與三氟化氮氣體解離成反應性物種,此反應性物種結合以形成氣相的高度反應性的氟化銨(NH4 F)及/或氟化氫銨(NH4 -HF2 )。據信蝕刻氣體(NH4 F及/或NH4 -HF2 )與氧化矽表面反應以形成六氟矽酸銨、氨及水為產物。氨及水在處理狀態可為汽相且可從處理區域排除。六氟矽酸銨在處理區域中藉由熱可被揮發成SiF4 、氨及HF產物,其隨後從處理區域被移除。因此,基板表面上的原生氧化物可被移除以準備用於後續處理操作的基板。
在一實施例中,預清洗處理亦可為氫電漿處理。氫電漿亦可反應以從基板表面移除原生氧化物材料。或者,預清洗處理是可選的。在此實施例中,若基板沒有暴露至大氣環境中的氧,可以不用預清洗處理。
在操作120,源極/汲極材料可被磊晶沉積在基板上。圖2示意繪示根據本文所述之實施例的包括元件特徵204、介電材料206及源極/汲極材料210的基板202的部分剖面視圖。大體上,元件特徵204可由含矽材料製造,諸如純矽、摻雜矽、矽鍺及類似物。介電材料206通常由氧化物或氮化物材料形成,諸如SiO2 及/或Si3 N4
源極/汲極材料210可為矽材料、矽鍺材料、矽磷材料及含碳材料的一者或多者。在一實施例中,源極/汲極材料210可成長在元件特徵204上。在一實施例中,元件特徵204可具有<100>晶體方向。在另一實施例中,元件結構204可具有<111>或<101>晶體方向,取決於材料類型與用於製造元件特徵204的形成處理。在一實施例中,可利用來自加州聖克拉拉應用材料公司的減壓(RP)磊晶腔室沉積源極/汲極材料210。然而,來自其他製造者的其他合適設置的腔室亦可用於執行源極/汲極材料沉積處理。
在源極/汲極材料210沉積後,可執行表面準備處理。表面準備處理可準備介電材料206的暴露表面208及源極/汲極材料210的暴露表面212以用於後續處理操作。在一實施例中,表面準備處理包括預清洗,此預清洗包含將介電材料206與源極/汲極材料210暴露至稀釋的氟化氫(HF)。在一實施例中,暴露表面208、212可在室溫以100:1的HF處理約90秒。亦可使用其他稀釋的HF濃度、溫度與暴露時間,取決於所希望的表面清洗程度。在稀釋的HF預清洗處理後,暴露表面208可被離子化。在一實施例中,若介電材料206包含SiO2,暴露表面208可為-OH封端(terminated)。在另一實施例中,若介電材料206包含Si3N4,暴露表面208可為-NH2封端。大體上,在暴露至稀釋的HF預清洗後,源極/汲極材料210的暴露表面212可為-H封端。在一變化實施例中,HF電漿可用於代替稀釋的HF預清洗以準備用於後續鈍化的暴露表面208。
在操作130,可執行表面鈍化處理以改質介電材料206的暴露表面208。大體上,藉由詳述於後之鈍化,介電材料206的暴露表面208可被選擇性甲基化。由於使用鈍化化學,源極/汲極材料210的-H封端表面在鈍化處理期間可保持未改質。
現在參照關於改質介電材料206的暴露表面208的機制的圖5A-B及圖6A-B。執行後述的鈍化處理的合適設備可參照圖9。儘管後述的實施例是參照二氧化矽材料與氮化矽材料,類似的益處亦可在SiOCN介電材料的鈍化中實現。
圖5A示意繪示二氧化矽材料的鈍化機制。在一實施例中,二氧化矽材料可為介電材料206。在此實施例中,介電材料206的暴露表面208是-OH封端。矽基胺前驅物(諸如1(三甲基矽基) 四氫吡咯或前述的胺基矽烷)可與-OH封端介電材料206反應。在某些實施例中,前驅物可與-OH封端表面一起反應,或依序地與-OH封端表面反應。在一實施例中,前驅物可被熱解離於低於約500℃的溫度,諸如低於約400℃。可執行鈍化處理於壓力在約3托與約30托之間持續在約5秒與約50秒之間的時間,例如,在約10秒與約30秒之間,例如,約20秒。處理狀態可促進暴露表面208的烷基矽基封端。額外的反應產物(諸如四氫吡咯與氨)可從處理體積被排除。
圖5B示意繪示氮化矽材料的鈍化機制。在一實施例中,氮化矽材料可為介電材料206。在此實施例中,介電材料206的暴露表面208是-NH2 封端。矽基胺前驅物(諸如1(三甲基矽基) 四氫吡咯或前述的胺基矽烷)可與-NH2 封端介電材料206反應。在某些實施例中,前驅物可與-NH2 封端表面一起反應,或依序地與 NH2 封端表面反應。在一實施例中,前驅物可被熱解離於低於約500℃的溫度,諸如低於約400℃。可執行鈍化處理於壓力在約3托與約30托之間持續在約5秒與約50秒之間的時間,例如,在約10秒與約30秒之間,例如,約20秒。處理狀態可促進暴露表面208的烷基矽基封端。額外的反應產物(諸如四氫吡咯與氨)可從處理體積被排除。
圖6A示意繪示氧化矽材料的鈍化機制。在一實施例中,氧化矽材料可為介電材料206。在此實施例中,介電材料206的暴露表面208是-OH封端。氯矽烷前驅物(諸如二氯二甲基矽烷(DCDMS))可與-OH封端介電材料206反應。在一實施例中,前驅物可被熱解離於低於約500℃的溫度,諸如在約室溫(即約20℃)與約400℃之間。可執行鈍化處理於在約3托與約30托之間的壓力持續在約5秒與約50秒之間的時間,例如,在約10秒與約30秒之間,例如,約20秒。處理狀態可促進暴露表面208的烷基矽基封端。額外的反應產物(諸如HCl)可從處理體積被排除。
圖6B示意繪示氮化矽材料的鈍化機制。在一實施例中,氮化矽材料可為介電材料206。在此實施例中,介電材料206的暴露表面208是-NH2 封端。氯矽烷前驅物(諸如二氯二甲基矽烷(DCDMS))可與-NH2 封端介電材料206反應。在一實施例中,前驅物可被熱解離於低於約500℃的溫度,諸如在約室溫(即約20℃)與約400℃之間。可執行鈍化處理於在約3托與約30托之間的壓力持續在約5秒與約50秒之間的時間,例如,在約10秒與約30秒之間,例如,約20秒。處理狀態可促進暴露表面208的烷基矽基封端。額外的反應產物(諸如HCl)可從處理體積被排除。
在參照圖5A-B與圖6A-B的各實施例中,意於源極/汲極材料210的-H封端暴露表面212可為實質上不與用於鈍化介電材料206的前驅物反應。由於介電材料206的表面鈍化,暴露表面208可大體上顯現疏水特性,其抑制或實質地降低暴露表面208的磊晶或原子層成長。大體上,如詳述於前,矽烷胺、氯矽烷與胺基矽烷前驅物可單獨地使用、結合地使用及/或依序地使用以鈍化介電材料206。
回來參照圖1,在操作140,覆蓋層214可沉積在源極/汲極材料210上。如圖4所示,覆蓋層214選擇性沉積於源極/汲極材料210的暴露表面212上。大體上,覆蓋層214可被沉積至在約1nm與約10nm之間的厚度。在一實施例中,覆蓋層214可藉由原子層沉積(ALD)處理沉積。執行覆蓋層沉積處理的合適處理腔室可以是來自加州聖克拉拉應用材料公司的雙子座腔室。然而,意於來自其他製造者的其他合適設置的ALD腔室亦可用於執行本文所述的實施例。
覆蓋層214的合適材料包括金屬氧化物材料,諸如氧化鋁、氧化鉿、氧化鋯、氧化錳,等等。各 種陶瓷材料(諸如氮化矽或類似物)亦可用於覆蓋層214。大體上,由於介電材料206的暴露表面208的鈍化,覆蓋層沉積處理將選擇性沉積覆蓋層214於源極/汲極材料210之上。沉積覆蓋層214之後,形成在介電材料206上的鈍化層可被移除以準備用於後續處理操作的介電材料206。
圖7繪示根據本文所述實施例的處理平臺180的平面視圖。處理平臺180(亦被稱為群集工具)是意於代表適於執行本文所述方法的設備。處理平臺180的一個實例是來自加州聖克拉拉應用材料公司的Centura®平臺。大體上,處理平臺是包含多個腔室的模組系統,其執行包括基板中心找尋與定位、除氣、退火、沉積及/或蝕刻的各種功能。
根據本揭示案的實施例,處理平臺包括預清洗腔室、磊晶沉積腔室、表面鈍化腔室及氣相沉積腔室。處理平臺180的多個腔室被裝設至中心移送腔室188,中心移送腔室188收納適以在腔室間搬運基板的機器人189。中心移送腔室188通常維持在真空狀態及在基板由一腔室搬運至另一腔室及/或裝載閘腔室184時提供中途階段,裝載閘腔室184位於處理平臺180的前端。
如上所述,處理平臺180大體上包含複數個處理腔室190A-D及機器人185、189,且亦可裝備微處理器/控制器181,微處理器/控制器181被程式化以執行本文所述的各種處理方法。前端環境183被顯示位 於與一對裝載閘腔室184選擇性連通。安置在前端環境183中的機器人(艙裝載器)185能線性與旋轉移動(箭頭182)以在裝載閘184與複數個艙187之間搬運基板匣,複數個艙187安裝在前端環境183上。裝載閘184提供在前端環境183與中心移送腔室188之間的第一真空界面。提供兩個裝載閘184,藉由與中心移送腔室188與前端環境183交替連通,以增加產量。因此,當一裝載閘184與中心移送腔室188連通時,另一裝載閘184與前端環境183連通。
機器人189安置在中心移送腔室188的中心以將基板從裝載閘184移送至各種處理腔室190A-D與保養腔室191A-B的其中之一。處理腔室190A-D可進行任意數目的處理,諸如預清洗處理、沉積處理及表面改質處理,而保養腔室191A-B適以除氣、定位、冷卻及其類似處理。
在一實施例中,第一處理腔室190A設置為預清洗腔室。第一處理腔室190A可適以執行SICONI®預清洗處理。或者,第一處理腔室190A可設置以執行氫電漿清洗處理。第一處理腔室190A的實施例參照圖8而更詳細地說明。
第二處理腔室190B可為適以磊晶沉積一或多種材料於基板上的磊晶沉積腔室。在一實施例中,第二處理腔室190B可被設置以在基板上沉積源極/汲極材 料。第二處理腔室190B的一實例為來自加州聖克拉拉應用材料公司的RP(減壓)磊晶腔室。
第三處理腔室190C可為適以改質安置在基板上的介電材料的暴露表面的表面鈍化腔室。此外,第三處理腔室190C可被設置以進行任何種類的蝕刻及/或清洗處理。例如,第三處理腔室190C可適以進行HF浸泡與清洗(即稀釋的HF預清洗處理)。在另一實施例中,第三處理腔室190C是適以進行氫電漿表面改質處理的電漿腔室。第三處理腔室190C的實施例參照圖9而更詳細地說明。
第四處理腔室190D可為適以在形成在基板上之磊晶材料(諸如藉由第二處理腔室190B沉積的源極/汲極材料)之上沉積覆蓋層的氣相沉積腔室。在一實施例中,第四處理腔室190D可為化學氣相沉積(CVD)腔室。在另一實施例中,第四處理腔室190D可為ALD腔室。合適的ALD腔室的一實例為來自加州聖克拉拉應用材料公司的雙子座腔室。
圖8繪示示意處理腔室600的剖面視圖,其可進行本發明的實施例。在一實施例中,處理腔室600可為第一處理腔室190A。大體上,含氫前驅物與含氟前驅物可經由一或多個孔洞651被引入遠端電漿體積661-663且被電漿電源646激發。
在一實施例中,處理腔室600包括腔室主體612、蓋組件602及支撐組件610。蓋組件602安置在腔 室主體612的上端,而支撐組件610至少部分地安置在腔室主體612之中。處理腔室600與相關硬體較佳地由一或多種處理相容材料(例如,鋁、不鏽鋼,等等)形成。
腔室主體612包括形成在其側壁中的狹縫閥開口660以提供出入口至處理腔室600的內部。狹縫閥開口660選擇性打開或關閉以允許藉由晶圓傳送機器人(未圖示)進出腔室主體612的內部。在一實施例中,基板可被傳送通過狹縫閥開口660進出處理腔室600至鄰近的移送腔室(即中心移送腔室188)及/或裝載閘腔室(即裝載閘184)、或在處理平臺180內的另一腔室。可包括處理腔室600的示例性處理平臺顯示在圖7中。
在一或多個實施例中,腔室主體612包括用以流動熱傳流體通過腔室主體612的腔室主體通道613。熱傳流體可為加熱流體或冷卻劑,且用以在處理與基板移送期間控制腔室主體612的溫度。加熱腔室主體612可有助於避免氣體或副產物在腔室壁上的不期望凝結。示例性熱傳流體包括水、乙二醇、或其混合物。示例性熱傳流體亦可包括氮氣。支撐組件610可具有支撐組件通道604,支撐組件通道604用以流動熱傳流體通過支撐組件610,從而影響基板溫度。
腔室主體612可進一步包括環繞支撐組件610的襯墊633。襯墊633較佳地為可移除以用於保養與清洗。襯墊633可由金屬(諸如鋁)或陶瓷材料製成。然而,襯墊633可為任何處理相容材料。襯墊633可為 珠面噴砂以增加沉積於上的任何材料的附著,因而避免造成處理腔室600的汙染的材料剝落。在一或多個實施例中,襯墊633包括形成在其中的與真空系統流體連通之一或多個孔洞635及泵送通道629。孔洞635提供流動路徑給氣體進入泵送通道629,其提供出口給在處理腔室600內的氣體。
真空系統可包括真空泵625及節流閥627以調節氣體流動通過處理腔室600。真空泵625耦接至安置在腔室主體612上之真空埠631,且因而與形成在襯墊633內的泵送通道629流體連通。除非另外說明,否則用語「氣體(gas)」與「氣體(gases)」是可互換使用的,且指稱一或多種反應物、催化劑、載體、淨化、清洗、前述之組合、及導入腔室主體612的任何其他流體。用語「前驅物」是用於指稱參與反應以從表面移除或沉積材料的任何處理氣體。
孔洞635容許泵送通道629與腔室主體612中的處理區域640流體連通。處理區域640藉由蓋組件602的下表面與支撐組件610的上表面所界定,且被襯墊633圍繞。孔洞635可為一致的尺寸且均等間隔於襯墊633。然而,可使用任意數目、位置、尺寸或形狀的孔洞,該等設計參數的每一個可變化,取決於將於後詳述之橫越基板接收表面的氣體的所欲流動圖樣。此外,孔洞635的尺寸、數目與位置可被設置以達成離開處理腔室600的氣體的均勻流動。再者,孔洞尺寸及位置可 被設置以提供迅速或高容量泵送,以促進從處理腔室600迅速排除氣體。例如,緊鄰真空埠631的孔洞635的數目與尺寸可小於位在遠離真空埠631的孔洞635的尺寸。
氣體供應面板(未圖示)通常用於提供處理氣體經由一或多個孔洞651至處理腔室600。取決於在處理腔室600內進行的處理或多種處理,使用特定的氣體或多種氣體。說明性的多種氣體可包括(但不限於)一或多種前驅物、還原劑、催化劑、載體、淨化、清洗、或任何混合物、或前述的組合。通常,引入處理腔室600的一或多種氣體經由在頂板650中的孔洞651流進遠端電漿體積661。互換或結合地,處理氣體可經由孔洞652被更直接地引入處理區域640。孔洞652繞過遠端電漿激發且有助於涉及不需要電漿激發的氣體的處理或不從氣體的額外激發獲益的處理。電子操作閥及/或流動控制機構(未圖示)可用於控制從氣體供應至處理腔室600中的氣體流動。取決於處理,任意數目的氣體可傳送至處理腔室600,且在處理腔室600中或在氣體被傳送至處理腔室600前可被混合。
蓋組件602可進一步包括電極645以產生在蓋組件602中反應性物種的電漿。在一實施例中,電極645藉由頂板650支撐,且藉由插入電氣隔離環647使電極645與頂板650電氣隔離,電氣隔離環647由氧化鋁或任何其他隔離及處理相容材料所製成。在一或多個 實施例中,電極645耦接至電漿電源646,而蓋組件602的剩餘部分為接地。因此,一或更多種處理氣體的電漿可產生於遠端電漿區域中,遠端電漿區域由在電極645與環形安裝凸緣622之間的遠端電漿體積661、662及/或663所構成。在實施例中,環形安裝凸緣包含或支撐氣體傳送板620。例如,電漿可被引發與維持在電極645與阻礙組件630的一個或兩個阻礙板之間。或者,在沒有阻礙組件630的情況下,電漿可被固定及容納在電極645與氣體傳送板620之間。在任一實施例中,電漿被妥善地侷限或容納在蓋組件602中。因此,由於沒有與安置在腔室主體612中的基板直接接觸的活性電漿,此電漿為「遠端電漿」。因此,由於電漿與基板表面分隔開,可避免對基板的電漿損害。
各種廣泛的電漿電源646可活化含氫前驅物(例如,氨)與含氮前驅物(三氟化氮)。例如,可使用射頻(RF)、直流(DC)或微波(MW)類型功率放電技術。此活化亦可藉由熱類型技術、氣體解離技術、高強度光源(例如,UV能量)、或暴露至X光源而產生。或者,可使用遠端活化源,諸如遠端電漿產生器,以產生反應性物種的電漿,其隨後被傳送至處理腔室600中。在一實施例中,RF電源耦接至電極645。更高功率的微波電源可有利於使用電漿電源646亦產生反應性氧。
藉由流動熱傳介質分別通過腔室主體通道613與支撐組件通道604,處理腔室主體612與基板的 溫度可各自被控制。支撐組件通道604可被形成於支撐組件610中,以促進熱能的傳送。腔室主體612與支撐組件610可被獨立地冷卻或加熱。例如,加熱流體可被供應通過其中一個,而冷卻流體被供應通過另一個。
可使用其他方法控制基板溫度。藉由以電阻加熱器或其他適合設備加熱支撐組件610(或其一部分,諸如支座),基板可被加熱。在另一組態中,氣體傳送板620可維持在高於基板的溫度,而基板可被抬升以提高基板溫度。在此情況中,基板被輻射加熱或藉由使用氣體傳導來自氣體傳送板620的熱至基板。基板可藉由提高支撐組件610或使用升舉銷被抬升。
在本文所述的蝕刻(即預清洗)處理期間,腔室主體612可維持在一大約的溫度範圍中於不同的實施例中在約50℃與約80℃之間、約55℃與約75℃之間或約60℃與約70℃之間。在暴露至電漿流出物及/或氧化劑的期間,基板在不同的實施例中可維持在低於約100℃、低於約65℃、在約15℃與約50℃之間、或在約22℃與約40℃之間。基板在蝕刻期間亦可保持在提高的溫度以進一步降低固體副產物晶粒的尺寸。在SICONI®蝕刻期間,基板在不同的實施例中可維持在約40℃與約90℃之間、約50℃與約85℃之間、或約60℃與約80℃之間。
電漿流出物包括各種分子、分子碎片及離子化物種。SICONI®處理之現行存在的理論機制被認為包 括NH4F及NH4F.HF,其與本文所述的低溫基板迅速地反應。電漿流出物可與氧化矽表面反應以形成(NH4)2SiF6、NH3與H2O產物。NH3與H2O在本文所述的處理情況下是氣相且藉由真空泵625可從處理區域640被移除。(NH4)2SiF6固體副產物的薄非連續層被留在基板表面上。
在暴露至電漿流出物與固體副產物的相關累積之後,基板可被加熱以移除副產物。在實施例中,藉由將加熱元件670併入或靠近氣體傳送板620,氣體傳送板620是可加熱的。藉由減少基板與加熱的氣體傳送板間的距離可加熱基板。氣體傳送板620在不同的實施例中可被加熱至在約100℃與約150℃之間、約110℃與約140℃之間、或約120℃與約130℃之間。藉由減少基板與加熱的氣體傳送板間的分隔,基板在不同的實施例中可被加熱至大於約75℃、大於約90℃、大於約100℃或在約115℃與約150℃之間。由氣體傳送板620輻射至基板的熱可足以解離或昇華基板上的固體(NH4)2SiF6成為揮發的SiF4、NH3及HF產物,其可從處理區域640被泵送出去。
在缺氫蝕刻處理期間,三氟化氮(或另一含氟前驅物)在不同的實施例中可被流入遠端電漿體積661,以速率為在約25sccm與約200sccm之間、約50sccm與約150sccm之間或約75sccm與約125sccm之間。氨(或一般為含氫前驅物)在不同的實施 例中可被流入遠端電漿體積661,以速率為低於或約200sccm、低於或約150sccm、低於或約100sccm、低於或約75sccm、低於或約50sccm或低於或約25sccm。
在缺氟蝕刻處理期間,氨(或一般為含氫前驅物)在不同的實施例中可被流入遠端電漿體積661,以速率為在約50sccm與約300sccm之間、約75sccm與約250sccm之間、約100sccm與約200sccm之間或約120sccm與約170sccm之間。三氟化氮(或一般為含氟前驅物)在不同的實施例中可被流入遠端電漿體積661,以速率為低於或約100sccm、低於或約75sccm、低於或約50sccm、低於或約25sccm或低於或約15sccm。
如上所述參照圖1,含氫與含氟前驅物進入遠端電漿區域的流率可佔整體氣體混合物的體積的0.05%至約20%;剩餘部分為載體氣體。在一實施例中,淨化或載體氣體在該等反應氣體之前,首先被引入遠端電漿區域,以穩定遠端電漿區域內的壓力。
藉由施加電漿功率至相對於蓋組件602剩餘部分的電極645,電漿流出物的產生發生於遠端電漿體積661、662及/或663內。電漿功率可為各種頻率或多種頻率的結合。在示例性處理系統中,藉由將RF功率傳送至電極645而提供電漿。RF功率在不同的實施例中可為在約1瓦與約1000瓦之間、約5瓦與約600瓦之間、 約10瓦與約300瓦之間或約20瓦與約100瓦之間。施加在示例性處理系統的RF頻率在不同實施例中可為小於約200kHz、小於約150kHz、小於約120kHz或在約50kHz與約90kHz之間。
在臭氧、氧、載體氣體及/或電漿流出物流入處理區域640的期間,處理區域640可維持在各種壓力。此壓力在不同的實施例中可維持在約500毫托與約30托之間、約1托與約10托之間或約3托與約6托之間。亦可使用較低的壓力於處理區域640內。此壓力在不同的實施例中可維持為低於或約500毫托、低於或約250毫托、低於或約100毫托、低於或約50毫托或低於或約20毫托。
圖9繪示根據本文所述實施例的鈍化腔室900的示意剖面視圖。在一實施例中,鈍化腔室900可為第三處理腔室190C。鈍化腔室900包括腔室主體902、支座904及噴頭910。腔室主體902可界定處理體積908。支座904與噴頭910在處理體積908中可彼此相對地安置。腔室主體902可由設置用於次大氣壓處理的各種材料製成,諸如不鏽鋼或鋁。真空泵916可與處理體積908流體連通以促進次大氣壓處理環境。大體上,真空泵916可設以在處理體積908中產生壓力為低於約100托,諸如在約0托與約30托之間。在某些實施例中,界定處理體積908的腔室主體表面可被塗佈一或多種襯墊材料,諸如陶瓷材料或類似物。
支座904可被設置以於處理期間支撐其上的基板及加熱器906可被嵌入支座904。各種邊緣環或其他基板支撐設備(未圖示)可耦接至支座904以進一步促進基板支撐。在一實施例中,加熱器906可為電阻加熱器。或者,加熱器906可為設置以在其中循環熱傳流體的通道。亦意於加熱器可安置在腔室主體902的側壁中以避免其上之不期望的材料沉積。
噴頭910可由各種處理相容材料所形成,諸如鋁、不鏽鋼及陶瓷材料,等等。複數個孔洞912可形成通過噴頭910。孔洞912通常促進處理體積908與前驅物源914或氣體面板之間的流體連通。前驅物源914可適以提供一或更多氣體或液相前驅物至處理體積908以促進基板處理。在一實施例中,前驅物源914可被設置以提供氯矽烷材料,諸如DCDMS。或者,前驅物源914可被設置以提供矽烷胺材料,諸如1-(三甲基矽基)四氫吡咯、胺基矽烷或二胺基矽烷。
在操作中,來自前驅物源914的前驅物經由噴頭910的孔洞912可被提供至處理體積908。加熱器906可加熱處理體積908或至少緊鄰基板的處理體積908的一區域至一溫度,此溫度適以促進前驅物的熱解離且使得與安置在基板上的表面改質介電材料的反應能夠進行。在一實施例中,加熱器906可被設置以提供具有在約20℃與約500℃之間的溫度的處理環境。在其他實施例中,處理環境可具有低於約400℃的溫度,諸如 約200℃。當達成介電材料的合適表面改質(諸如甲基化或烷基矽基表面封端)後,不期望的反應產物經由真空泵916可從處理體積908被排除。
可替換地或除前述的實施例外,鈍化腔室900可被設置以執行氫電漿表面改質處理。在此實施例中,前驅物源914可提供含氫前驅物且噴頭910可耦接至RF電源(未圖示)。因此,可形成氫電漿且氫離子及/或自由基可改質基板的暴露表面。在一實施例中,氫電漿可-H封端磊晶沉積的源極/汲極材料的暴露表面。此外,氫電漿可-OH封端暴露的二氧化矽表面。或者,氫電漿可-NH2封端暴露的氮化矽表面。
在一實施例中,可在執行介電鈍化處理前執行氫電漿表面改質處理。換言之,氫電漿處理可準備安置在基板上的介電材料的暴露表面,用於與氯矽烷及/或矽烷胺前驅物反應。在鈍化腔室900中執行鈍化處理後,安置在基板上的介電材料可被烷基矽基封端及源極/汲極材料可維持-H封端。因此,由於介電質與源極/汲極材料之間的組成差異,使後續選擇性沉積處理能進行。
圖10繪示使用根據本文所述實施例的處理平臺180處理基板的方法1000之操作。在操作1010,基板可被傳送至安置在處理平臺180上的第一處理腔室190A,及預清洗處理(諸如SICONI®蝕刻)可在基板上執行。在一實施例中,預清洗處理可為可選的。在操作1020,基板可從第一處理腔室190A移送至第二處理腔 室190B,經由中心移送腔室188與機器人189,而不破壞真空。
在操作1030,可執行磊晶沉積處理以在第二處理腔室190B中的基板上沉積源極/汲極材料。在操作1040,基板可從第二處理腔室190B移送至第三處理腔室190C,經由中心移送腔室188與機器人189,而不破壞真空。在操作1050,可在基板上執行表面鈍化處理以改質安置在基板上的介電材料的表面。在一實施例中,表面鈍化處理亦可包括氫電漿介電材料改質處理。在實施例中,在執行表面鈍化處理前可執行表面改質處理,包括氫電漿表面改質。
在操作1060,基板可從第三處理腔室190C移送至第四處理腔室190D,經由中心移送腔室188與機器人189,而不破壞真空。在操作1070,在第四處理腔室190D中的源極/汲極材料上可沉積覆蓋層。
總結而言,本文所述的實施例提供改良的選擇性沉積方法及執行方法的設備。藉由烷基矽基封端的介電材料的表面鈍化可提供用以在磊晶沉積的含矽材料上的覆蓋層的改良沉積選擇性。覆蓋層可抵抗一般的後蝕刻處理,諸如含氟電漿、含氯電漿、含溴電漿、及類似物。因此,由於藉由覆蓋層提供的保護,過量磊晶沉積材料可為不必要的。本文所述的設備可用於有效地實施示例性方法以改良產量及最終降低與半導體元件處理序列相關的成本。
儘管前述是直接關於本發明的實施例,本發明其他或進一步的實施例可在不悖離本發明的基本範疇下實行,且本發明的範疇由後述的申請專利範圍所決定。
100‧‧‧方法
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作
140‧‧‧操作
180‧‧‧處理平臺
181‧‧‧微處理器/控制器
182‧‧‧箭頭
183‧‧‧前端環境
184‧‧‧裝載閘腔室/裝載閘
185‧‧‧機器人(艙裝載器)
187‧‧‧艙
188‧‧‧中心移送腔室
189‧‧‧機器人
190A‧‧‧第一處理腔室
190B‧‧‧第二處理腔室
190C‧‧‧第三處理腔室
190D‧‧‧第四處理腔室
191A‧‧‧保養腔室
202‧‧‧基板
204‧‧‧元件特徵
206‧‧‧介電材料
208‧‧‧暴露表面
210‧‧‧源極/汲極材料
212‧‧‧暴露表面
214‧‧‧覆蓋層
600‧‧‧處理腔室
602‧‧‧蓋組件
604‧‧‧支撐組件通道
610‧‧‧支撐組件
612‧‧‧腔室主體
613‧‧‧腔室主體通道
620‧‧‧氣體傳送板
622‧‧‧環形安裝凸緣
625‧‧‧真空泵
627‧‧‧節流閥
629‧‧‧泵送通道
630‧‧‧阻礙組件
631‧‧‧真空埠
633‧‧‧襯墊
635‧‧‧孔洞
640‧‧‧處理區域
645‧‧‧電極
646‧‧‧電漿電源
647‧‧‧電氣隔離環
650‧‧‧頂板
651‧‧‧孔洞
652‧‧‧孔洞
660‧‧‧狹縫閥開口
661‧‧‧遠端電漿體積
662‧‧‧遠端電漿體積
663‧‧‧遠端電漿體積
670‧‧‧加熱元件
900‧‧‧鈍化腔室
902‧‧‧腔室主體
904‧‧‧支座
906‧‧‧加熱器
908‧‧‧處理體積
910‧‧‧噴頭
912‧‧‧孔洞
914‧‧‧前驅物源
916‧‧‧真空泵
1000‧‧‧方法
1010‧‧‧操作
1020‧‧‧操作
1030‧‧‧操作
1040‧‧‧操作
1050‧‧‧操作
1060‧‧‧操作
1070‧‧‧操作
為了使本發明的上述特徵可被詳細地理解,簡述於上之本發明更明確的說明可參照實施例,部分實施例繪示於隨附圖式。然而,應注意的是,隨附圖式僅繪示示例性實施例,且因而不被當作限制本發明範疇,因為本發明可容許其他相同等效實施例。
圖1繪示執行根據本文所述實施例的鈍化處理之方法的操作。
圖2示意繪示根據本文所述實施例的包括元件特徵與源極/汲極材料的基板之部分剖面視圖。
圖3繪示根據本文所述實施例的在執行介電鈍化處理之後的圖2之基板。
圖4繪示在源極/汲極材料上選擇性沉積覆蓋層之後的圖3之基板。
圖5A示意繪示根據本文所述實施例的二氧化矽材料與第一前驅物的鈍化。
圖5B示意繪示根據本文所述實施例的氮化矽材料與第一前驅物的鈍化。
圖6A示意繪示根據本文所述實施例的二氧化矽材料與第二前驅物的鈍化。
圖6B示意繪示根據本文所述實施例的氮化矽材料與第二前驅物的鈍化。
圖7繪示根據本文所述實施例的處理平臺的平面視圖。
圖8繪示根據本文所述實施例的預清洗腔室的剖面視圖。
圖9繪示根據本文所述實施例的鈍化腔室的示意剖面視圖。
圖10繪示使用根據本文所述實施例的處理平臺處理基板的方法之操作。
為了易於理解,儘可能以相同元件符號標定圖中共通的相同部件。意於使一實施例中的部件和特徵可有利地結合至另一實施例而不需要進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (21)

  1. 一種基板處理設備,包含:一處理平臺;一移送腔室,該移送腔室安置在該處理平臺上的中央,其中至少一基板移送機器人安置在該移送腔室內;一預清洗腔室,該預清洗腔室安置在該處理平臺上鄰接該移送腔室;一磊晶沉積腔室,該磊晶沉積腔室安置在該處理平臺上鄰接該移送腔室;一表面鈍化腔室,該表面鈍化腔室安置在該處理平臺上鄰接該移送腔室;以及一原子層沉積腔室,該原子層沉積腔室安置在該處理平臺上鄰接該移送腔室。
  2. 如請求項1所述之設備,其中該移送腔室、該預清洗腔室、該磊晶沉積腔室、該表面鈍化腔室與該原子層沉積腔室保持在一次大氣壓力。
  3. 如請求項1所述之設備,其中該表面鈍化腔室包含:一遠端電漿源;及一前驅物源,該前驅物源包含1-(三甲基矽基)四氫吡咯與二氯二甲基矽烷的一者或多者。
  4. 如請求項1所述之設備,其中該預清洗腔室包含:一氫源;一三氟化氮源;及一氨源。
  5. 一種基板處理方法,包含以下步驟:將一基板運送至安置在一平臺上的一第一處理腔室及在該基板上執行一預清洗處理;將該基板從該第一處理腔室移送至安置在該平臺上之一第二處理腔室而不破壞真空;執行一磊晶沉積處理,以在該第二處理腔室內的該基板上沉積源極/汲極材料;將該基板從該第二處理腔室移送至安置在該平臺上之一第三處理腔室而不破壞真空;執行一表面鈍化處理,以改質安置在該基板上之介電材料的表面;將該基板從該第三處理腔室移送至安置在該平臺上之一第四處理腔室而不破壞真空;以及在該源極/汲極材料上沉積一覆蓋層。
  6. 如請求項5所述之方法,其中該預清洗處理包含以下步驟:將該基板暴露至一電漿,該電漿包含H、NF3與NH3
  7. 如請求項5所述之方法,其中該磊晶沉積處理沉積一矽材料、一矽鍺材料、一矽磷材料或一碳材料的一者或多者。
  8. 如請求項5所述之方法,其中該表面鈍化處理選擇性鈍化該介電材料的暴露表面。
  9. 如請求項8所述之方法,其中該表面鈍化處理在該介電材料上沉積一烷基矽基材料。
  10. 如請求項9所述之方法,其中用於該烷基矽基材料的前驅物選自由以下物質所構成的群組:(三甲基矽基)四氫吡咯、二氯二甲基矽烷、胺基矽烷、前述物質的嫁接、及前述物質的組合。
  11. 如請求項5所述之方法,其中該覆蓋層是藉由一原子層沉積處理所沉積的金屬氧化物材料。
  12. 如請求項11所述之方法,其中該覆蓋層具有在1nm與10nm之間的一厚度。
  13. 如請求項5所述之方法,其中該覆蓋層選自由以下物質所構成的群組:氧化鋁、氧化鉿、氧化鋯、氧化錳及氮化矽。
  14. 一種表面鈍化方法,包含以下步驟:在一基板的含矽元件特徵上磊晶沉積源極/汲極材料,該基板包含該含矽元件特徵與介電材料;藉由在該介電材料上沉積烷基矽基材料,選擇性鈍化該介電材料的暴露表面;以及在該源極汲極材料上沉積一覆蓋層。
  15. 如請求項14所述之方法,其中該介電材料包含二氧化矽或氮化矽。
  16. 如請求項14所述之方法,其中該烷基矽基材料是源自於選自由以下物質所構成群組的前驅物:(三甲基矽基)四氫吡咯、二氯二甲基矽烷、前述物質的嫁接、及前述物質的組合。
  17. 如請求項14所述之方法,其中該鈍化是在低於400℃的一溫度執行。
  18. 如請求項14所述之方法,進一步包含以下步驟:將包含含矽元件特徵與介電材料的該基板暴露至一預清洗處理,以從該含矽元件特徵的表面移除原生氧化物材料;在鈍化該介電材料之後,退火該基板;以及在沉積該覆蓋層之後,移除形成在該介電材料上之一鈍化層。
  19. 如請求項14所述之方法,其中該覆蓋層藉由一原子層沉積處理沉積至在1nm與10nm之間的一厚度。
  20. 如請求項14所述之方法,其中該覆蓋層選自由以下物質所構成的群組:氧化鋁、氧化鉿、氧化鋯、氧化錳及氮化矽。
  21. 一種基板處理設備,包含:一平臺;一移送腔室,該移送腔室安置在該平臺上的中央,其中至少一機器人安置在該移送腔室內;一預清洗腔室,該預清洗腔室安置在該平臺上鄰接該移送腔室;一磊晶沉積腔室,該磊晶沉積腔室安置在該平臺上鄰接該移送腔室;一表面鈍化腔室,該表面鈍化腔室安置在該平臺上鄰接該移送腔室,該表面鈍化腔室具有安置在其中的一支座,該支座包括一電阻加熱器;一原子層沉積腔室,該原子層沉積腔室安置在該平臺上鄰接該移送腔室;以及一微處理器控制器被程式化以執行以下步驟:在該預清洗腔室中執行一預清洗處理於安置在該預清洗腔室中的一基板上;經由該至少一機器人而不破壞真空將該基板從該預清洗腔室移送至該磊晶沉積腔室;在該磊晶沉積腔室中執行一磊晶沉積處理以在該基板上沉積源極/汲極材料;經由該至少一機器人而不破壞真空將該基板從該磊晶沉積腔室移送至該表面鈍化腔室;在該表面鈍化腔室中執行一表面鈍化處理以在安置在該基板上之介電材料上沉積一烷基矽基材料;經由該至少一機器人而不破壞真空將該基板從該表面鈍化腔室移送至該原子層沉積腔室;以及在該原子層沉積腔室中執行一沉積操作以在該源極/汲極材料上形成一覆蓋層。
TW105129726A 2015-09-22 2016-09-13 用於選擇性沉積之設備及方法 TWI678729B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562221949P 2015-09-22 2015-09-22
US62/221,949 2015-09-22

Publications (2)

Publication Number Publication Date
TW201724202A TW201724202A (zh) 2017-07-01
TWI678729B true TWI678729B (zh) 2019-12-01

Family

ID=58283069

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129726A TWI678729B (zh) 2015-09-22 2016-09-13 用於選擇性沉積之設備及方法

Country Status (3)

Country Link
US (3) US9768013B2 (zh)
TW (1) TWI678729B (zh)
WO (1) WO2017052905A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102358527B1 (ko) 2017-12-17 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 선택적 증착에 의한 실리사이드 막들
SG11202011847TA (en) * 2018-05-28 2020-12-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
US11978802B2 (en) * 2018-07-31 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
TW202025284A (zh) * 2018-09-10 2020-07-01 美商蘭姆研究公司 用於高深寬比圖案化及垂直縮放的膜堆疊簡化
US11195923B2 (en) * 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
WO2020163104A1 (en) 2019-02-08 2020-08-13 Applied Materials, Inc. Semiconductor device, method of making a semiconductor device, and processing system
CN117265500A (zh) * 2019-02-14 2023-12-22 恩特格里斯公司 氮化硅的选择性沉积
US11542597B2 (en) * 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
TWI757907B (zh) * 2020-10-07 2022-03-11 財團法人國家實驗研究院 一種在真空環境中叢集式即時線上製程暨分析傳輸系統
JP2022078522A (ja) * 2020-11-13 2022-05-25 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理システム及びエッジリングの位置合わせ方法
US11996307B2 (en) 2020-12-23 2024-05-28 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint
US11676868B2 (en) * 2021-08-19 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective dual silicide formation

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20130280918A1 (en) * 2012-04-20 2013-10-24 Khaled Z. Ahmed Methods and apparatus for forming silicon passivation layers on germanium or iii-v semiconductor devices
WO2014053202A1 (en) * 2012-10-04 2014-04-10 Merck Patent Gmbh Passivation layers for organic electronic devices
US20150132898A1 (en) * 2012-11-30 2015-05-14 International Business Machines Corporation Semiconductor Device With Raised Source/Drain And Replacement Metal Gate
US20150228903A1 (en) * 2012-09-04 2015-08-13 Merck Patent Gmbh Process of surface modification of dielectric structures in organic electronic devices

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2680276B1 (fr) * 1991-08-05 1997-04-25 Matra Mhs Procede de controle du profil de gravure d'une couche d'un circuit integre.
US6969635B2 (en) * 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
DE19806918A1 (de) * 1998-02-19 1999-08-26 Aventis Res & Tech Gmbh & Co Katalysatorsystem, Verfahren zu seiner Herstellung und seine Verwendung zur Polymerisation von Olefinen
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7405246B2 (en) * 2005-04-05 2008-07-29 Momentive Performance Materials Inc. Cure system, adhesive system, electronic device
US7446136B2 (en) * 2005-04-05 2008-11-04 Momentive Performance Materials Inc. Method for producing cure system, adhesive system, and electronic device
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
KR100812078B1 (ko) * 2006-09-26 2008-03-07 동부일렉트로닉스 주식회사 이미지 센서 및 그 제조방법
KR101439538B1 (ko) * 2007-08-14 2014-09-12 삼성전자주식회사 보호막 형성용 조성물 및 이에 의한 보호막을 포함한유기박막 트랜지스터
US7968441B2 (en) * 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
CN102449841A (zh) * 2009-06-29 2012-05-09 应用材料公司 能量储存装置中的三维含铜电极的固态电解质界面所用的钝化膜
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8475674B2 (en) * 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US8590705B2 (en) * 2010-06-11 2013-11-26 Air Products And Chemicals, Inc. Cylinder surface treated container for monochlorosilane
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9406535B2 (en) * 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9209279B1 (en) * 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10014198B2 (en) * 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US20170350038A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
EP3513428A4 (en) * 2016-09-15 2020-06-10 Applied Materials, Inc. INTEGRATED SYSTEM FOR SEMICONDUCTOR PROCESSES

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US20130280918A1 (en) * 2012-04-20 2013-10-24 Khaled Z. Ahmed Methods and apparatus for forming silicon passivation layers on germanium or iii-v semiconductor devices
US20150228903A1 (en) * 2012-09-04 2015-08-13 Merck Patent Gmbh Process of surface modification of dielectric structures in organic electronic devices
WO2014053202A1 (en) * 2012-10-04 2014-04-10 Merck Patent Gmbh Passivation layers for organic electronic devices
US20150132898A1 (en) * 2012-11-30 2015-05-14 International Business Machines Corporation Semiconductor Device With Raised Source/Drain And Replacement Metal Gate

Also Published As

Publication number Publication date
WO2017052905A1 (en) 2017-03-30
US20170084449A1 (en) 2017-03-23
TW201724202A (zh) 2017-07-01
US10199215B2 (en) 2019-02-05
US20190148131A1 (en) 2019-05-16
US9768013B2 (en) 2017-09-19
US20170352531A1 (en) 2017-12-07

Similar Documents

Publication Publication Date Title
TWI678729B (zh) 用於選擇性沉積之設備及方法
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
TWI438839B (zh) 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法
US8801952B1 (en) Conformal oxide dry etch
US8748322B1 (en) Silicon oxide recess etch
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US8211808B2 (en) Silicon-selective dry etch for carbon-containing films
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
TWI715650B (zh) 基板處理設備及方法
TW201810414A (zh) 氧化膜去除方法、去除裝置、接點形成方法、接點形成系統及記憶媒體