KR20210063459A - 반도체 프로세스를 위한 통합 시스템 - Google Patents

반도체 프로세스를 위한 통합 시스템 Download PDF

Info

Publication number
KR20210063459A
KR20210063459A KR1020217015560A KR20217015560A KR20210063459A KR 20210063459 A KR20210063459 A KR 20210063459A KR 1020217015560 A KR1020217015560 A KR 1020217015560A KR 20217015560 A KR20217015560 A KR 20217015560A KR 20210063459 A KR20210063459 A KR 20210063459A
Authority
KR
South Korea
Prior art keywords
substrate
process chamber
layer
doped silicon
chamber
Prior art date
Application number
KR1020217015560A
Other languages
English (en)
Other versions
KR102312122B1 (ko
Inventor
신유 바오
후아 충
슈베르트 에스. 추
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210063459A publication Critical patent/KR20210063459A/ko
Application granted granted Critical
Publication of KR102312122B1 publication Critical patent/KR102312122B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

본 개시내용의 구현들은 일반적으로, 기판 표면들 상의 에피택셜 증착을 위한 방법들 및 장치들에 관한 것이다. 더 구체적으로, 본 개시내용의 구현들은 일반적으로, N형 금속 산화물 반도체(NMOS) 디바이스들을 처리하기 위한 통합 시스템에 관한 것이다. 일 구현에서, 기판을 처리하기 위한 클러스터 툴이 제공된다. 클러스터 툴은 사전 세정 챔버, 식각 챔버, 하나 이상의 패스 스루 챔버, 하나 이상의 가스방출 챔버, 제1 이송 챔버, 제2 이송 챔버, 및 하나 이상의 프로세스 챔버를 포함한다. 사전 세정 챔버 및 식각 챔버는 제1 이송 챔버에 결합된다. 하나 이상의 패스 스루 챔버는 제1 이송 챔버와 제2 이송 챔버 사이에 배치되고 그에 결합된다. 하나 이상의 가스방출 챔버는 제2 이송 챔버에 결합된다. 하나 이상의 프로세스 챔버는 제2 이송 챔버에 결합된다.

Description

반도체 프로세스를 위한 통합 시스템{INTEGRATED SYSTEM FOR SEMICONDUCTOR PROCESS}
본 개시내용의 구현들은 일반적으로, 기판 표면들 상의 에피택셜 증착을 위한 방법들 및 장치들에 관한 것이다.
집적 회로들은 규소 및 다른 반도체 기판들에 그리고 그들 상에 형성된다. 단결정 규소의 경우, 기판들은 용융 규소의 배쓰로부터 잉곳을 성장시킨 다음, 고체화된 잉곳을 다수의 웨이퍼들로 소잉(sawing)하는 것에 의해 만들어진다. 그 다음, 도핑되거나 도핑되지 않을 수 있는 무결함 규소 층을 형성하기 위해, 에피택셜 규소 층이 단결정질 규소 웨이퍼 상에 형성될 수 있다. 반도체 디바이스들, 예컨대, 트랜지스터들이 에피택셜 규소 층으로부터 제조된다. 형성된 에피택셜 규소 층의 전기적 특성들은 일반적으로, 단결정질 규소 기판의 특성들보다 더 양호할 것이다.
단결정질 규소 및 에피택셜 규소 층의 표면들은, 전형적인 웨이퍼 제조 설비 주위 조건들에 노출될 때 오염되기 쉽다. 예를 들어, 주위 환경에 존재하는 오염물질들이 단결정질 표면 상에 증착될 수 있다. 추가적으로, 반도체 구성요소들을 형성하는 데에 활용되는 다양한 화학적 상호작용들은 제조 동안에 상호작용할 수 있고, 챔버 구성요소들 및 기판 양쪽 모두를 오염시키거나 열화시킬 수 있다. 더욱이, 현재의 프로세스 시스템들은 진보된 디바이스 집적 방식들을 갖는 기판들을 제조할 때 낮은 처리량에 시달린다.
그러므로, 반도체 디바이스들을 제조하기 위한 통합 시스템이 필요하다.
본 개시내용은 일반적으로, 기판 표면들 상의 에피택셜 증착을 위한 방법들 및 장치들에 관한 것이다. 더 구체적으로, 본 개시내용의 구현들은 일반적으로, n형 금속 산화물 반도체(NMOS) 디바이스들을 처리하기 위한 통합 시스템에 관한 것이다. 일 구현에서, 기판을 처리하기 위한 클러스터 툴이 제공된다. 클러스터 툴은 제1 이송 챔버, 제1 이송 챔버에 결합된 사전 세정 챔버, 제1 이송 챔버에 결합된 식각 챔버, 제1 이송 챔버에 결합된 제2 이송 챔버, 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 하나 이상의 패스 스루 챔버, 제2 이송 챔버에 결합된 하나 이상의 가스방출 챔버, 및 제2 이송 챔버에 결합된 하나 이상의 증착 챔버를 포함한다.
다른 구현에서, 클러스터 툴은 제1 이송 챔버, 제1 이송 챔버에 결합된 사전 세정 챔버, 제1 이송 챔버에 결합된 식각 챔버, 제1 이송 챔버에 결합된 제2 이송 챔버, 및 제2 이송 챔버에 결합된 4개의 에피택셜 증착 챔버들을 포함한다.
다른 구현에서, 클러스터 툴은 제1 이송 챔버, 제1 이송 챔버에 결합된 사전 세정 챔버, 제1 이송 챔버에 결합된 식각 챔버, 제1 이송 챔버에 결합된 제2 이송 챔버, 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 2개의 패스 스루 챔버들, 제2 이송 챔버에 결합된 하나 이상의 가스방출 챔버, 및 제2 이송 챔버에 결합된 4개의 에피택셜 증착 챔버들을 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 구현들의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시된다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 일 구현에 따른 방법을 예시하는 흐름도이다.
도 2는 본원에 설명된 구현들에 따라 도 1에 예시된 처리 순서를 완료하는 데에 사용될 수 있는 프로세스 시스템의 개략적인 평면도이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 예시적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
이하의 개시내용은 일반적으로, 기판 표면들 상의 에피택셜 증착을 위한 방법들 및 장치들을 설명한다. 본원에 설명된 구현들은, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 시스템들을 사용하여 수행될 수 있는 세정, 식각 및 증착 프로세스들에 관하여 아래에서 설명될 것이다. 이러한 세정, 식각 및 증착 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에 설명된 구현들로부터 혜택을 받도록 적응될 수 있다. 추가적으로, 본원에 설명된 세정, 식각 및 증착 프로세스들을 가능하게 하는 임의의 시스템이, 본원에 설명된 구현들에 따라 유리하게 활용될 수 있다. 본원에 설명된 장치는 예시적이며, 본원에 설명된 구현들의 범위를 제한하는 것으로서 해석되거나 이해되어서는 안 된다.
도 1은 본 개시내용의 일 구현에 따른 방법(100)을 예시한다. 방법(100)은 작동(110)으로 시작하고, 이 작동(110)에서 하나 이상의 기판이 시스템에 로딩된다. 일 구현에서, 기판들은 포드들을 사용하여 시스템 내에 로딩된다. 포드들은 전형적으로, 청정실로부터 접근가능한 전방 개방 통합 포드(FOUP)이다.
작동(120)에서, 기판은 세정 프로세스에 의해 기판의 표면 상의 자연 산화물을 제거하기 위해 제1 프로세스 챔버로 이송된다. 기판은 규소 함유 물질을 포함할 수 있고, 표면은 물질, 예컨대, 규소(Si), 게르마늄(Ge) 또는 규소 게르마늄 합금들(SiGe)을 포함할 수 있다. 일부 구현들에서, Si, Ge 또는 SiGe 표면은, 표면 상에 배치된 산화물 층, 예컨대, 자연 산화물 층을 가질 수 있다. 기판은, 기판 상에 디바이스들이 형성된 반도체 기판일 수 있다. 일 구현에서, 기판은 기판 상에 형성된 복수의 반도체 핀들을 갖고, 각각의 반도체 핀은 유전체 물질에 형성된 2개의 트렌치들 사이에 위치될 수 있다. 자연 산화물 층은 복수의 핀들 상에 그리고 트렌치들에 형성될 수 있다. 일 구현에서, 작동(120)은 제1 프로세스 챔버의 프로세스 영역에서 수행된다. 일 구현에서, 제1 프로세스 챔버는 기판을 (예를 들어, 진공 환경에서) 대기에 노출시키지 않고 기판의 이송을 허용하는 클러스터 툴 상에 위치된다.
기판을 상당히 손상시키지 않고 기판으로부터 산화물들을 제거하는 임의의 적합한 세정 프로세스가 사용될 수 있다. 적합한 세정 프로세스들은 스퍼터 식각 프로세스들, 플라즈마 건식 식각 프로세스들, 또는 이들의 조합들을 포함한다. 예시적인 세정 프로세스들은 NF3/NH3 플라즈마 기반 프로세스들 또는 NF3/NH3 유도 결합 플라즈마 프로세스들을 포함한다.
일 구현에서, 플라즈마 식각 프로세스는 NF3 및 NH3 플라즈마 부산물들에 대한 기판의 동시 노출을 수반하는 원격 플라즈마 보조 건식 식각 프로세스이다. 일 구현에서, 플라즈마 식각 프로세스는 유도 결합 플라즈마(ICP) 프로세스일 수 있다. 플라즈마 식각 프로세스는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 시코니™(SiCoNi™) 챔버에서 수행될 수 있다. 제1 프로세스 챔버는 시코니™ 챔버일 수 있다. 원격 플라즈마 식각은 산화규소 층들에 대해 대체로 등각이고 선택적일 수 있으며, 따라서, 규소가 비정질인지, 결정질인지 또는 다결정질인지에 관계 없이 규소를 쉽게 식각하지 않는다. 플라즈마 식각 프로세스는, 기판 표면 상에 규소-수소(Si-H) 결합들을 갖는 기판 표면을 초래한다.
일 구현에서, 작동(120) 이후에, 기판은 제1 프로세스 챔버로부터 제거되고, 작동(130)이 수행되는 제2 프로세스 챔버로 이송된다. 제1 프로세스 챔버 및 제2 프로세스 챔버는 기판을 (예를 들어, 진공 환경에서) 대기에 노출시키지 않고 기판의 이송을 허용하는 클러스터 툴 상에 위치될 수 있다. 제2 프로세스 챔버는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 셀렉트라™(Selectra™) 식각 챔버일 수 있다. 다른 구현에서, 작동(120) 및 작동(130) 둘 모두는 동일한 프로세스 챔버에서 수행된다. 작동(130)에서, 기판 상에 배치된 소스/드레인 영역으로부터 규소가 제거된다. 일 구현에서, 규소는 식각 프로세스를 사용하여 소스/드레인 영역으로부터 제거된다. 일 구현에서, 기판은 복수의 반도체 핀들 및 유전체 물질에 형성된 트렌치들을 포함하고, 각각의 트렌치 내에 위치된, 각각의 반도체 핀의 부분이 제거된다. 각각의 반도체 핀은 규소로 제조될 수 있다. 반도체 핀은 n-MOS 트랜지스터의 소스/드레인 영역일 수 있고, 반도체 핀의 부분들의 제거는 소스/드레인 연장 에치백으로 지칭될 수 있다. 규소 식각 프로세스는 플라즈마 기반 식각 프로세스일 수 있다.
플라즈마 기반 식각 프로세스 동안, 식각 프로세스 가스가 챔버 내로 도입된다. 식각 프로세스 가스는 하나 이상의 식각제를 포함할 수 있다. 식각제들은 RF 전력에 의해 여기될 수 있다. 식각제는 할로겐 함유 가스, 선택적으로 수소 함유 가스, 및 선택적으로 불활성 가스를 포함한다. 일 구현에서, 할로겐 함유 가스는 염소 가스이고, 수소 함유 가스는 수소 가스이고, 선택적 불활성 가스는 아르곤, 헬륨, 또는 양쪽 모두이다. 예시적인 염소 함유 가스들은 이원자 염소(Cl2) 가스를 포함한다. 불활성 가스는 아르곤, 헬륨, 네온, 크세논 등 중 적어도 하나를 포함할 수 있다.
작동(140)에서, 기판은 제2 프로세스 챔버로부터 제거되고, 에피택셜 층이 기판의 표면 상에 증착되는 제3 프로세스 챔버로 이송된다. 일 구현에서, 제2 프로세스 챔버 및 제3 프로세스 챔버 양쪽 모두는, 기판을 (예를 들어, 진공 환경에서) 대기에 노출시키지 않고 제2 프로세스 챔버로부터 제3 프로세스 챔버로의 기판의 이송을 허용하는 클러스터 툴 상에 위치된다. 제3 프로세스 챔버는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 감압(RP) 에피(EPi) 챔버일 수 있다. 기판의 표면에는 실질적으로 또는 완전히 오염물질이 없고, 이는 후속하여 기판의 표면 상에 형성된 에피택셜 층의 품질을 개선한다. 일 구현에서, 에피택셜 층은 비화물로 도핑된 규소(Si:As)일 수 있다. 에피택셜 층은 2원 막, 3원 막 또는 4원 막일 수 있다. 에피택셜 층은 임의의 적합한 에피택셜 증착 기법, 예컨대, 선택적 에피택셜 증착을 사용하여 증착될 수 있다. 일 구현에서, 에피택셜 층은 Si:As 층이고, 각각의 트렌치의 내부에 있는, 각각의 반도체 핀의 부분 상에 증착된다. 에피택셜 층은 소스/드레인 연장 층으로 지칭될 수 있다.
작동(150)에서, 기판은 제4 프로세스 챔버로 이송되고, 에피택셜 층이 기판 상에 형성될 수 있다. 에피택셜 층은 에피택셜 증착 프로세스, 예컨대, 선택적 에피택셜 증착 프로세스에 의해 형성될 수 있다. 제4 프로세스 챔버는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 RP 에피 챔버일 수 있다. 일 구현에서, 작동(140) 및 작동(150)은 동일한 프로세스 챔버, 예컨대, RP 에피 챔버에서 수행된다. 일 구현에서, 에피택셜 층은 인으로 도핑된 규소(Si:P)이다. 기판의 표면에는 오염물질이 없고, 이는 후속하여 기판의 표면 상에 형성된 에피택셜 층의 품질을 개선한다. 일 구현에서, 에피택셜 층은 Si:P 층이고, 유전체 물질에 형성된 각각의 트렌치에 증착되고, Si:P 층은 기판 상의 각각의 반도체 핀들 상에 형성된 Si:As 층과 접촉한다.
작동(160)에서, 기판은 제5 프로세스 챔버로 이송되고, 티타늄 실리사이드 층이 기판 상에 선택적으로 형성될 수 있다. 제5 프로세스 챔버는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 RP 에피 챔버일 수 있다. 일 구현에서, 작동(140), 작동(150) 및 작동(160)은 동일한 프로세스 챔버, 예컨대, RP 에피 챔버에서 수행된다. 티타늄 실리사이드 층은 선택적 에피택셜 증착 프로세스에 의해 형성될 수 있다. 일 구현에서, 티타늄 및 규소 전구체들은 티타늄 실리사이드 층을 형성하기 위해 프로세스 챔버 내로 유동된다. 티타늄 및 규소 전구체들은 초기에 액체 형태일 수 있고, 프로세스 챔버 내로 유동하기 전에 증기를 형성하기 위해 기화될 수 있다. 일 구현에서, 하나 이상의 버블러가 액체 전구체들을 기화시키는 데에 활용된다.
작동(170)에서, 기판은 가스방출을 위해 챔버로 이송된다. 챔버는 제1, 제2, 제3, 제4 및 제5 프로세스 챔버들을 포함하는 클러스터 툴의 일부일 수 있다. 일 구현에서, 챔버는 로드 록 챔버일 수 있다. 다른 구현에서, 챔버는 패스 스루 챔버일 수 있다.
하나 이상의 프로세스 챔버에 대해 저감 프로세스가 수행될 수 있다. 일 구현에서, 저감 프로세스는 진보된 포어라인 세정 시스템, 건식 세정 흡수기, 및 가연성 시스템에 의해 수행된다. 포어라인 세정 시스템은, 포어라인의 임의의 비소 화합물들과 반응하고 이를 결합시키기 위해 플루오린화암모늄(NF3)을 활용할 수 있다. 그 다음, 건식 세정 흡수기는 비소 화합물들을 포어라인으로부터 제거할 수 있다. 가연성 시스템은 임의의 잔류 수소를 물로 변환하는 데에 활용된다. 3스테이지 배기 저감 시스템은 반도체 처리 이후에 챔버 구성요소들 내에 남아있는 부산물들의 깨끗하고 안전한 폐기를 제공한다.
도 2는, 본 개시내용의 구현들에 따라, 도 1에 예시된 방법(100)을 수행하는 데에 사용될 수 있는 프로세스 시스템(200)을 예시한다. 프로세스 시스템(200)의 일 예는, 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 센츄라®(Centura®) 시스템이다. 도 2에 도시된 바와 같이, 복수의 프로세스 챔버들(202)이 제1 이송 챔버(204)에 결합된다. 일 구현에서, 도 2에 도시된 바와 같이, 4개의 프로세스 챔버들(202)이 제1 이송 챔버(204)에 결합된다. 일 구현에서, 복수의 프로세스 챔버들(202)은 RP 에피 챔버들이다. 일 구현에서, 4개의 프로세스 챔버들(202) 중 하나는 작동(140)을 수행하는 데에 활용되는 한편, 나머지 3개의 프로세스 챔버들(202)은 작동들(150 및 160)을 수행하는 데에 활용된다. 다른 구현에서, 4개 모두의 프로세스 챔버들(202)이 작동들(140, 150 및 160)을 수행하는 데에 활용된다. 제1 이송 챔버(204)는 또한, 하나 이상의 패스 스루 챔버(206) 및 하나 이상의 사후 프로세스 챔버(220)에 결합된다. 일 구현에서, 2개의 패스 스루 챔버들(206)이 제1 이송 챔버(204)에 결합되고, 2개의 사후 프로세스 챔버들(220)이 제1 이송 챔버(204)에 결합된다. 하나 이상의 패스 스루 챔버(206)가 작동(170)을 수행하는 데에 활용될 수 있다. 사후 프로세스 챔버들(220)은 탈기, 냉각 또는 표면 부동태화 챔버들일 수 있다.
제1 이송 챔버(204)는 패스 스루 챔버들(206)과 프로세스 챔버들(202) 사이에서 기판들을 이송하기 위한 중앙 배치된 이송 로봇(218)을 갖는다. 패스 스루 챔버들(206)은 제2 이송 챔버(210)에 결합되고, 제2 이송 챔버는 기판을 사전 세정하기(작동(120)) 위한 세정 챔버(214) 및 기판을 식각하기(작동(130)) 위한 식각 챔버(216)에 결합된다. 세정 챔버(214)는 열 또는 플라즈마 기반 산화 프로세스 및/또는 플라즈마 보조 건식 식각 프로세스를 수행하는 데에 특히 유용할 수 있다. 일 구현에서, 세정 챔버(214)는 시코니™ 챔버이고, 식각 챔버(216)는 셀렉트라™ 식각 챔버이다. 일 구현에서, 작동들(120 및 130) 양쪽 모두는 단일 프로세스 챔버에서, 예컨대, 세정 챔버(214)에서 수행될 수 있다.
제2 이송 챔버(210)는 로드 록 챔버들(208)의 세트와 세정 챔버(214) 또는 식각 챔버(216) 사이에서 기판들을 이송하기 위한 중앙 배치된 이송 로봇(222)을 갖는다. 작동(170)은 로드 록 챔버들(208)에서 수행될 수 있다. 팩토리 인터페이스(212)는 로드 록 챔버들(208)에 의해 제2 이송 챔버(210)에 연결된다. 팩토리 인터페이스(212)는 로드 록 챔버들(208)의 대향 측 상의 하나 이상의 포드(224)에 결합된다. 포드들(224)은 전형적으로, 청정실로부터 접근가능한 전방 개방 통합 포드들(FOUP)이다.
작동 동안, 기판은 먼저, 자연 산화물 및 오염물질들, 예컨대, 탄소 또는 탄화수소들을 기판 표면으로부터 제거하기 위해 세정 프로세스가 수행되는 세정 챔버(214)로 이송된다. 세정 프로세스는 도 1에 작동(120) 하에 설명된다. 그 다음, 기판은 작동(130)이 수행되는 식각 챔버(216)로 이송된다. 일 구현에서, 작동들(120 및 130)은 단일 챔버(214)에서 수행될 수 있다.
그 다음, 기판은 작동들(140, 150, 및 160)이 수행되는 하나 이상의 프로세스 챔버(202)로 이송된다. 그 다음, 기판은, 작동(170) 하에 설명된 바와 같이, 가스방출을 위해 챔버(206) 또는 로드 록 챔버(208)로 이송될 수 있다. 작동들(120, 130, 140, 150, 160, 및 170)이, 동일한 프로세스 시스템 내에서 수행될 수 있기 때문에, 기판이 다양한 챔버들로 이송될 때 진공이 파괴되지 않고, 이는 오염의 기회를 감소시키고 증착된 에피택셜 막의 품질을 개선한다.
요약하면, 본 개시내용의 이점들은, 에피택셜 증착 이전에 규소 함유 기판을 사전 세정하는 것, 소스 드레인 에치백, 소스 드레인 연장을 활용한 에피택셜 증착, 에피택셜 증착, 및 웨이퍼 가스방출을 위한 통합 시스템 및 방법을 제공하며, 이는 개선된 반도체 디바이스를 초래한다. 진공 이송을 통해 프로세스 챔버들을 클러스터링화하는 것은, 대기에 대한 노출을 감소시키고, 대응적으로 산소 오염물질들에 대한 노출을 감소시킨다. 예를 들어, 식각과 증착 사이에 진공을 파괴하지 않고 에피택셜 증착 이전에 규소의 유도 결합 플라즈마 염소 식각을 수행하는 것은 산소 오염물질들에 대한 노출을 감소시킨다. 규소의 식각 및 에피택셜 증착과 함께 자연 산화물 제거 챔버를 클러스터링화하는 것이 또한, 산소 오염물질들의 감소로 이어진다. 따라서, 통합 시스템은 유리하게, 개선된 반도체 디바이스를 제공한다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (20)

  1. 기판 표면들 상의 에피택셜 증착을 위한 방법으로서,
    프로세스 시스템 내의 기판의 표면으로부터 오염물질들을 제거하는 단계 - 상기 기판은 하나 이상의 소스/드레인 영역을 포함함 -;
    상기 프로세스 시스템 내의 상기 기판의 상기 표면을 식각함으로써 식각된 소스/드레인 영역을 형성하는 단계;
    상기 프로세스 시스템 내에서 제1 에피택셜 증착 프로세스에 의해 상기 식각된 소스/드레인 영역 상에 소스/드레인 연장 층을 형성하는 단계;
    상기 프로세스 시스템 내에서 제2 에피택셜 증착 프로세스에 의해 상기 소스/드레인 연장 층 상에 에피택셜 층을 형성하는 단계;
    상기 프로세스 시스템 내에서 제3 에피택셜 증착 프로세스에 의해 상기 에피택셜 층 상에 실리사이드 층을 형성하는 단계; 및
    상기 프로세스 시스템 내에서 상기 기판 상에 가스방출 프로세스를 수행하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 소스/드레인 연장 층은 도핑된 규소를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 소스/드레인 연장 층은 비화물로 도핑된 규소를 포함하는, 방법.
  4. 제2항에 있어서,
    상기 에피택셜 층은 도핑된 규소를 포함하는, 방법.
  5. 제4항에 있어서,
    상기 에피택셜 층은 인으로 도핑된 규소를 포함하는, 방법.
  6. 제4항에 있어서,
    상기 실리사이드 층은 티타늄 실리사이드를 포함하는, 방법.
  7. 제1항에 있어서,
    상기 오염물질들을 제거하는 단계, 상기 식각된 소스/드레인 영역을 형성하는 단계, 상기 소스/드레인 연장 층을 형성하는 단계, 상기 에피택셜 층을 형성하는 단계, 상기 실리사이드 층을 형성하는 단계, 및 상기 가스방출 프로세스를 수행하는 단계는 진공을 파괴하지 않고 수행되는, 방법.
  8. 기판 표면들 상의 에피택셜 증착을 위한 방법으로서,
    제1 프로세스 챔버 내에서, 규소를 포함하고 상부에 형성된 디바이스들을 갖는 기판의 표면을 사전 세정하는 단계;
    상기 사전 세정된 기판을 대기에 노출시키지 않고, 상기 기판을 상기 제1 프로세스 챔버로부터 제2 프로세스 챔버로 이송하는 단계;
    상기 제2 프로세스 챔버 내에서, 상기 사전 세정된 기판 상의 상기 디바이스들을 식각하는 단계;
    상기 식각된 기판을 대기에 노출시키지 않고, 상기 기판을 상기 제2 프로세스 챔버로부터 제3 프로세스 챔버로 이송하는 단계;
    상기 제3 프로세스 챔버 내에서, 상기 식각된 기판 상에 비화물-도핑된 규소 층을 에피택셜 증착하는 단계;
    상기 비화물-도핑된 규소 층을 상부에 갖는 상기 기판을 대기에 노출시키지 않고, 상기 기판을 상기 제3 프로세스 챔버로부터 제4 프로세스 챔버로 이송하는 단계;
    상기 제4 프로세스 챔버 내에서, 상기 기판 상의 상기 비화물-도핑된 규소 층 상에 인-도핑된 규소 층을 에피택셜 증착하는 단계;
    상기 인-도핑된 규소 층을 상부에 갖는 상기 기판을 상기 제4 프로세스 챔버로부터 제5 프로세스 챔버로 이송하는 단계;
    상기 제5 프로세스 챔버 내에서, 상기 기판의 상기 인-도핑된 규소 층 상에 티타늄 실리사이드 층을 에피택셜 증착하는 단계;
    상기 티타늄 실리사이드 층을 상부에 갖는 상기 기판을 상기 제5 프로세스 챔버로부터 제6 프로세스 챔버로 이송하는 단계; 및
    상기 제6 프로세스 챔버 내에서, 상기 티타늄 실리사이드 층을 상부에 갖는 상기 기판을 가스방출하는 단계
    를 포함하는 방법.
  9. 제8항에 있어서,
    상기 기판의 상기 표면 상에 형성된 상기 디바이스들은 하나 이상의 소스/드레인 영역을 포함하고,
    상기 제2 프로세스 챔버 내에서 상기 디바이스들을 식각하는 단계는, 상기 하나 이상의 소스/드레인 영역으로부터 규소를 식각하는 단계를 포함하는, 방법.
  10. 제8항에 있어서,
    상기 기판의 상기 표면 상에 형성된 상기 디바이스들은 복수의 반도체 핀을 포함하고, 각각의 반도체 핀은 유전체 물질로 형성된 2개의 트렌치들 사이에 위치하며,
    상기 제2 프로세스 챔버 내에서 상기 디바이스들을 식각하는 단계는, 상기 복수의 반도체 핀의 각각의 반도체 핀의 부분을 제거하는 단계를 포함하고,
    상기 제3 프로세스 챔버 내에서 상기 비화물-도핑된 규소 층을 에피택셜 증착하는 단계는, 상기 복수의 반도체 핀의 각각의 반도체 핀의 상기 제거된 부분 상에 선택적으로 상기 비화물-도핑된 규소 층을 에피택셜 증착하는 단계를 포함하며,
    상기 제4 프로세스 챔버 내에서 상기 인-도핑된 규소 층을 에피택셜 증착하는 단계는, 상기 복수의 반도체 핀의 각각의 반도체 핀의 상기 제거된 부분 상에 증착된 상기 비화물-도핑된 규소 층 상에 선택적으로 상기 인-도핑된 규소 층을 에피택셜 증착하는 단계를 포함하는, 방법.
  11. 제8항에 있어서,
    상기 사전 세정 단계는, 스퍼터 식각 프로세스들에 의해 상기 기판의 상기 표면으로부터 산화물들을 제거하는 단계를 포함하는, 방법.
  12. 제8항에 있어서,
    상기 사전 세정 단계는, NF3/NH3 기반 플라즈마 건식 식각 프로세스에 의해 상기 기판의 상기 표면으로부터 산화물들을 제거하는 단계를 포함하는, 방법.
  13. 제8항에 있어서,
    상기 사전 세정 단계는, NF3/NH3 유도 결합 플라즈마 기반 플라즈마 건식 식각 프로세스에 의해 상기 기판의 상기 표면으로부터 산화물들을 제거하는 단계를 포함하는, 방법.
  14. 제8항에 있어서,
    상기 제5 프로세스 챔버 내에서 상기 티타늄 실리사이드 층을 에피택셜 증착하는 단계는, 기화된 티타늄 및 규소 전구체들을 상기 제5 프로세스 챔버 내로 유동시키는 단계를 포함하는, 방법.
  15. 기판 표면들 상의 에피택셜 증착을 위한 방법으로서,
    제1 프로세스 챔버 내에서, 규소를 포함하고 상부에 형성된 디바이스들을 갖는 기판의 표면을 사전 세정하는 단계;
    상기 제1 프로세스 챔버 내에서, 상기 사전 세정된 기판 상의 상기 디바이스들을 식각하는 단계;
    상기 식각된 기판을 대기에 노출시키지 않고, 상기 기판을 상기 제1 프로세스 챔버로부터 제2 프로세스 챔버로 이송하는 단계;
    상기 제2 프로세스 챔버 내에서, 상기 식각된 기판 상에 비화물-도핑된 규소 층을 에피택셜 증착하는 단계;
    상기 제2 프로세스 챔버 내에서, 상기 기판 상의 상기 비화물-도핑된 규소 층 상에 인-도핑된 규소 층을 에피택셜 증착하는 단계;
    상기 인-도핑된 규소 층을 상부에 갖는 상기 기판을 상기 제2 프로세스 챔버로부터 제3 프로세스 챔버로 이송하는 단계;
    상기 제3 프로세스 챔버 내에서, 상기 기판의 상기 인-도핑된 규소 층 상에 티타늄 실리사이드 층을 에피택셜 증착하는 단계;
    상기 티타늄 실리사이드 층을 상부에 갖는 상기 기판을 상기 제3 프로세스 챔버로부터 제4 프로세스 챔버로 이송하는 단계; 및
    상기 제4 프로세스 챔버 내에서, 상기 티타늄 실리사이드 층을 상부에 갖는 상기 기판을 가스방출하는 단계
    를 포함하는 방법.
  16. 제15항에 있어서,
    상기 기판의 상기 표면 상에 형성된 상기 디바이스들은 하나 이상의 소스/드레인 영역을 포함하고,
    상기 제1 프로세스 챔버 내에서 상기 디바이스들을 식각하는 단계는, 상기 하나 이상의 소스/드레인 영역으로부터 규소를 식각하는 단계를 포함하는, 방법.
  17. 제15항에 있어서,
    상기 기판의 상기 표면 상에 형성된 상기 디바이스들은 복수의 반도체 핀을 포함하고, 각각의 반도체 핀은 유전체 물질로 형성된 2개의 트렌치들 사이에 위치하며,
    상기 제1 프로세스 챔버 내에서 상기 디바이스들을 식각하는 단계는, 상기 복수의 반도체 핀의 각각의 반도체 핀의 부분을 제거하는 단계를 포함하고,
    상기 제2 프로세스 챔버 내에서 상기 비화물-도핑된 규소 층을 에피택셜 증착하는 단계는, 상기 복수의 반도체 핀의 각각의 반도체 핀의 상기 제거된 부분 상에 선택적으로 상기 비화물-도핑된 규소 층을 에피택셜 증착하는 단계를 포함하며,
    상기 제2 프로세스 챔버 내에서 상기 인-도핑된 규소 층을 에피택셜 증착하는 단계는, 상기 복수의 반도체 핀의 각각의 반도체 핀의 상기 제거된 부분 상에 증착된 상기 비화물-도핑된 규소 층 상에 선택적으로 상기 인-도핑된 규소 층을 에피택셜 증착하는 단계를 포함하는, 방법.
  18. 제15항에 있어서,
    상기 사전 세정 단계는, NF3/NH3 기반 플라즈마 건식 식각 프로세스에 의해 상기 기판의 상기 표면으로부터 산화물들을 제거하는 단계를 포함하는, 방법.
  19. 제15항에 있어서,
    상기 사전 세정 단계는, NF3/NH3 유도 결합 플라즈마 기반 플라즈마 건식 식각 프로세스에 의해 상기 기판의 상기 표면으로부터 산화물들을 제거하는 단계를 포함하는, 방법.
  20. 제15항에 있어서,
    상기 제3 프로세스 챔버 내에서 상기 티타늄 실리사이드 층을 에피택셜 증착하는 단계는, 기화된 티타늄 및 규소 전구체들을 상기 제3 프로세스 챔버 내로 유동시키는 단계를 포함하는, 방법.
KR1020217015560A 2016-09-15 2017-01-27 반도체 프로세스를 위한 통합 시스템 KR102312122B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662395083P 2016-09-15 2016-09-15
US62/395,083 2016-09-15
KR1020197010320A KR20190041030A (ko) 2016-09-15 2017-01-27 반도체 프로세스를 위한 통합 시스템
PCT/US2017/015472 WO2018052479A1 (en) 2016-09-15 2017-01-27 Integrated system for semiconductor process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197010320A Division KR20190041030A (ko) 2016-09-15 2017-01-27 반도체 프로세스를 위한 통합 시스템

Publications (2)

Publication Number Publication Date
KR20210063459A true KR20210063459A (ko) 2021-06-01
KR102312122B1 KR102312122B1 (ko) 2021-10-14

Family

ID=61560213

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217015560A KR102312122B1 (ko) 2016-09-15 2017-01-27 반도체 프로세스를 위한 통합 시스템
KR1020197010320A KR20190041030A (ko) 2016-09-15 2017-01-27 반도체 프로세스를 위한 통합 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197010320A KR20190041030A (ko) 2016-09-15 2017-01-27 반도체 프로세스를 위한 통합 시스템

Country Status (5)

Country Link
US (2) US20180076065A1 (ko)
EP (1) EP3513428A4 (ko)
KR (2) KR102312122B1 (ko)
TW (1) TWI821158B (ko)
WO (1) WO2018052479A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
CN112930591A (zh) * 2018-09-18 2021-06-08 应用材料公司 原位集成型腔室
WO2020096696A1 (en) * 2018-11-05 2020-05-14 Applied Materials, Inc. Methods and apparatus for silicon-germanium pre-clean
US11555250B2 (en) 2020-04-29 2023-01-17 Applied Materials, Inc. Organic contamination free surface machining
CN117836920A (zh) * 2021-09-03 2024-04-05 应用材料公司 具有一或多个压力稳定腔室的群集工具、系统、及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050062872A (ko) * 2003-12-19 2005-06-28 주식회사 하이닉스반도체 증착 접합을 갖는 트랜지스터의 제조 방법
KR20060017871A (ko) * 2003-06-13 2006-02-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
KR20120107496A (ko) * 2009-12-17 2012-10-02 어플라이드 머티어리얼스, 인코포레이티드 Nmos 에피텍셜 층을 형성하기 위한 방법
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6281098B1 (en) * 1999-06-15 2001-08-28 Midwest Research Institute Process for Polycrystalline film silicon growth
US20030027427A1 (en) 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6911695B2 (en) * 2002-09-19 2005-06-28 Intel Corporation Transistor having insulating spacers on gate sidewalls to reduce overlap between the gate and doped extension regions of the source and drain
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US20100075499A1 (en) * 2008-09-19 2010-03-25 Olsen Christopher S Method and apparatus for metal silicide formation
KR20160064251A (ko) * 2008-10-07 2016-06-07 어플라이드 머티어리얼스, 인코포레이티드 에칭된 기판으로부터 할로겐 잔류물들의 효율적인 제거 장치
US8207023B2 (en) * 2009-08-06 2012-06-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US8329547B2 (en) * 2010-07-22 2012-12-11 United Microelectronics Corp. Semiconductor process for etching a recess into a substrate by using an etchant that contains hydrogen peroxide
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
US9230835B2 (en) * 2013-03-15 2016-01-05 Applied Materials, Inc. Integrated platform for fabricating n-type metal oxide semiconductor (NMOS) devices
US9218980B2 (en) * 2013-09-13 2015-12-22 Applied Materials, Inc. Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060017871A (ko) * 2003-06-13 2006-02-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
KR20050062872A (ko) * 2003-12-19 2005-06-28 주식회사 하이닉스반도체 증착 접합을 갖는 트랜지스터의 제조 방법
KR20120107496A (ko) * 2009-12-17 2012-10-02 어플라이드 머티어리얼스, 인코포레이티드 Nmos 에피텍셜 층을 형성하기 위한 방법
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Also Published As

Publication number Publication date
EP3513428A4 (en) 2020-06-10
TW202141667A (zh) 2021-11-01
US20200035525A1 (en) 2020-01-30
TWI821158B (zh) 2023-11-11
WO2018052479A1 (en) 2018-03-22
KR102312122B1 (ko) 2021-10-14
US20180076065A1 (en) 2018-03-15
KR20190041030A (ko) 2019-04-19
EP3513428A1 (en) 2019-07-24
TW201824427A (zh) 2018-07-01
US11164767B2 (en) 2021-11-02

Similar Documents

Publication Publication Date Title
US11164767B2 (en) Integrated system for semiconductor process
TWI745390B (zh) 減少晶圓釋氣的整合方法
US10861693B2 (en) Cleaning method
US20120088356A1 (en) Integrated platform for in-situ doping and activation of substrates
KR20150003787A (ko) 에피택셜 게르마늄 주석 합금 표면을 준비하는 방법
KR102253546B1 (ko) 도핑된 게르마늄 형성 방법
TWI768245B (zh) 用於矽-鍺預清潔之方法與設備
US20190035623A1 (en) Integrated system and method for source/drain engineering
KR20210046079A (ko) 인-시튜 통합 챔버들
TWI840682B (zh) 用於半導體製程的整合系統
US9548241B2 (en) Semiconductor device metallization systems and methods
JP7175385B2 (ja) シリコン含有層を形成する方法
TW202418374A (zh) 用於cmos元件的接觸層之選擇性覆蓋
CN114930520A (zh) 用于选择性间隙填充的低温等离子体预清洁
TW202418585A (zh) 用於經摻雜半導體磊晶層的含碳帽層
KR19990069348A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant