KR20080021724A - 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정 - Google Patents

낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정 Download PDF

Info

Publication number
KR20080021724A
KR20080021724A KR1020077031014A KR20077031014A KR20080021724A KR 20080021724 A KR20080021724 A KR 20080021724A KR 1020077031014 A KR1020077031014 A KR 1020077031014A KR 20077031014 A KR20077031014 A KR 20077031014A KR 20080021724 A KR20080021724 A KR 20080021724A
Authority
KR
South Korea
Prior art keywords
plasma
hydrogen
millitorr
remote plasma
gas
Prior art date
Application number
KR1020077031014A
Other languages
English (en)
Other versions
KR101012098B1 (ko
Inventor
진유 푸
존 포스터
지크 유
아제이 바트나가르
프라브람 고팔라자
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080021724A publication Critical patent/KR20080021724A/ko
Application granted granted Critical
Publication of KR101012098B1 publication Critical patent/KR101012098B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

플라즈마 세정 방법은 특히 스퍼터 증착 전에 높은 탄소를 갖는 다공성 저 유전체 k 유전체로부터 포토레지스트 및 산화물 잔여물을 제거하는데 특히 유용한 방법이다. 원거리 플라즈마 소오스(52)는 주로 수소 라디칼 H*의 플라즈마를 생성시킨다. 수소 압력은 상대적으로 낮게 유지되며, 예를 들어 30 milliTorr의 압력으로 유지된다. 선택적으로 헬륨이 프로세싱 가스에 첨가될 수 있으며, 수소 부분압은 150 milliTorr 미만으로 유지된다. 수소 및 헬륨의 400 milliTorr에서의 70% 헬륨을 이용하여 우수한 결과가 달성된다. 바람직하게, 자기 필터(62, 64)와 같은 이온 필터는 원거리 플라즈마 소오스의 산출로부터 수소 및 다른 이온을 제거하며, 원거리 플라즈마 소오스로부터의 공급 관(54)은 매니폴드 라이너(58)와 결합되는 제거가능한 유전체 라이너(66) 및 유전체 샤워헤드(40)를 포함한다.

Description

낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정{REMOTE PLASMA PRE-CLEAN WITH LOW HYDROGEN PRESSURE}
본 출원은 2005년 11월 22일 출원된 제 11/284,775의 일부 계속 출원이며 본원에 전체가 참조된다.
본 발명은 일반적으로 집적 회로를 제조하는 프로세스에서의 플라즈마 세정에 관한 것이다. 특히, 본 발명은 유전체 층의 패턴화된 식각과 증착 사이에 형성되는 플라즈마 세정에 관한 것이다.
45 nm 노드를 위해 계획된 바와 같은 개선된 집적 회로는 두 레벨(two lever)의 배선(wiring)을 상호연결하는 층간(leterlevel) 유전체 층을 위해 초 저 유전 상수(전기적으로 절연) 재료의 이용을 필요로 할 것이다. 3.9 보다 다소 낮은 유전 상수(실리콘 다이옥사이드에 대한 값)을 가지는 저 유전 상수 k 재료는 이미 상용 제조에 도입되었다. 그러나 보다 낮은 유전 상수 예를 들어 2.5 이하의 유전 상수가 앞으로 요구될 것이다. 이러한 재료의 예는 캘리포니아 산타 클라라 에 소재하는 어플라이드 머티어리얼즈(Applied Materials)로부터 상용으로 입수가능한 Black Diamond™ Ⅱ(BDⅡ) 유전체이다. 리(Li)에 의한 미국 특허 출원 2003/0194495 호에 기재된 이러한 유전체 재료는 10 at%를 초과하는 탄소 분율을 가지는 탄소 도핑된 실리콘 산화물(또는 실리콘 옥시카바이드)을 특징으로 한다. 개선된 유전체는 BDⅡx 유전체를 포함하며, 이는 UV 경화되며 전자를 이용하여 경화되는 DBⅡ이빔(ebeam) 유전체 및 30%의 다공성을 가질 수 있다. 다른 탄소 함유 저 유전 상수 k 유전체가 공지되어 있으며, 다우 케미컬(Dow Chemical)로부터 입수가능한 Silk® 및 Cyclotene®(벤조클로로부텐) 유전체 재료를 포함하는 것으로 공지되어 있다. 다수의 이러한 재료는 유기 또는 중합 유전체(polymeric dielectric)를 특징으로 한다.
층간 인터커넥트의 형성에서의 원형 구조(prototypical structure)는 도 1에 단면으로 도시되어 있다. 하부 유전체 층(10)은 이의 표면에 형성된 전도성 피쳐(12)를 포함한다. 개선된 층간 연결을 위한 전도성 피쳐(12)는 통상적으로 구리로 이루어지지만, 유사한 기하학적 형상이 실리콘 기판의 접촉 활성 반도체 영역에 적용된다. 초 저 유전 상수 k 재료의 상부 유전체 층(14)은 하부 유전체 층(10) 및 전도성 피쳐 위에 증착된다. 홀(16)은 포토리소그래픽적으로 형성되며 상부 유전체 층(14)을 통해 전도성 피쳐(12)까지 식각된다. 구리 금속화에 이용되는 통상의 이중 다마신 인터커넥트를 위해서, 홀(16)은 전도성 피쳐(12)에 수직 인터커넥트를 형성하는 좁은 하부 비아, 및 집적 회로의 여러 부분들 사이의 수평 인터커넥트를 형성하는 넓은 상부 트렌치로 이루어진다. 이중 다마신 구조를 위해서, 전도 성 피쳐(12)가 하부 유전체 층(10) 내에 형성되는 구리 충진된 트렌치의 일 부일 수 있다. 홀이 식각된 후에, 예를 들어, Ta/TaN의 대체로 등각의 박막 배리어 층이 코팅되며, 이는 통상적으로 상부 유전체 층(14)의 필드 영역 위에서 그리고 홀(16)의 측면상에서 마그네트론 스퍼터링에 의해 이루어진다. 대체로 박막 등각 구리 시드 층이 배리어 층 위에 증착되며, 이는 통상적으로 마그네트론 스퍼터링에 의해 이루어진다. 그 후 구리가 홀(16) 내측으로 그리고 필드 영역 위에서 전기도금된다. 결국 화학 기계식 연마(CMP)가 홀(16)의 외측 구리를 제거하는데 이용된다.
포토리소그래피 식각 단계, 심지어 포토레지스트 에싱(ashing) 단계 후에 홀(16)의 측면상에 탄소질 또는 플루오르 중합 층(18)이 종종 남겨지며 이는 유리하게 고도의 이방성 식각을 달성하는데 이용되지만 식각의 중지 후에 잔여물을 남긴다. 탄소의 결합일 수 있는 트렌치의 바닥에서 식각 잔여물(20), 식각 화학물질의 플루오르 부산물을 또한 남길 수 있다. 또한, 전도성 피쳐(12)에서의 노출된 구리는 구리 산화물로 산화될 것 같다. 또한 에싱 잔여물(22)은 홀(16)의 립(lip)에서 형성되는 경향이 있다. 홀(16)의 바닥에서의 식각 잔여물(20) 및 구리 산화물은, 금속화 증착 전 배리어 증착 이전에 제거되지 않는 경우에, 접촉 저항을 증가시킨다. 중합 코팅(18) 및 에싱 잔여물(22)은 유전체 층(14)에 배리어 층의 결합을 방해하여 배리어 층 및 구리 비아 구조는 제조 또는 작동 중에 갈라질 수 있어서 실질적인 신뢰성 문제를 야기한다. 따라서, 배리어 증착 시작 전에 구리 산화물 및 잔여물(18, 20, 22)을 제거하는 것이 매우 바람직하다.
통상의 실리카 유전체를 이용하여, 잔여물을 제거하기 위해서 패턴화된 웨이퍼를 스퍼터링 식각함으로써 식각 단계와 증착 단계 사이에서 웨이퍼를 건조 세정 하는 것이 일반적이다. 이러한 스퍼터 식각은 통상적으로 고도의 에너제틱 이온을 포함하며, 이는 상대적으로 경질의 실리카 유전체 층에 크게 영향을 미치지 않는다. 그러나, 저 유전 상수 k 층은 상대적으로 연질인 경향이 있다. 따라서, 스퍼터 식각은 해롭게 식각되는 경향이 있으며 저 유전 상수 k 층을 저하(degrade)시킨다. 보다 부드러운 식각이 웨이퍼에 인접한 세정 챔버 내에서 발생하는 산소 플라즈마, 즉 인 시츄 플라즈마(in situ plasma)를 이용하여 수행될 수 있다. 이러한 식각 프로세스가 다공성이 아니며 약 3.7의 유전 상수 k를 가지는 저 유전 상수 k의 초기 형태(early form)에 있어서 만족스러운 것으로 입증되었다. 그러나, 인 시츄 산소 플라즈마는 10%를 초과하는 다공성 및 약 2.5의 k 값을 가지는 가장 최근의 초 저 유전 상수 k 필름에 대해서는 불만족스러운 것으로 입증되었다. 산소 플라즈마가, 플라즈마에 노출되는 플로팅 바디(floating body) 상에서 전개되는 네거티브 자기 바이어스(negative self bias)에 끌어 당겨지는 높은 분율의 산소 원자를 포함하는 것으로 믿어진다. 산소 이온은 초 저 유전 상수 k 필름에 충돌하여 충분한 에너지로 이를 손상시킨다. 따라서, 미국 특허 출원 공개 2004/0219789에 우드(Wood)에 의해 기재된 바와 같이 원거리 플라즈마 소오스(RPS)로부터 발생되는 산소 플라즈마를 이용하여 패턴화된 웨이퍼를 세정하는 단계가 실행되어 왔다. 원거리로 발생되는 플라즈마는 중성 라디칼에 중점을 두지만 인 시츄 플라즈마는 전기적으로 하전된 이온에 중점을 둔다. 원거리로 발생되는 산소 플라즈마는 다수의 중성 및 저 에너지 산소 라디칼을 웨이퍼에 투사(project)하며, 웨이퍼를 산화시키고 그렇지 않으면 여러 잔여물과 화학적으로 반응하여 이들을 제거시킨다.
그러나, 여기된 산소는 초 저 유전 상수 k 재료에 있어서 만족스러운 것으로 입증되지 않았다. 유전 상수의 감소는 유전체 재료 내의 높은 다공성에 의해 종종 달성된다. BDⅡ의 유전체 층은 10%를 초과하며, 심지어 30%를 초과하는 다공성을 가질 수 있다. 따라서 이는 매우 연질일 뿐만 아니라, 또한 산화 건조 세정에 매우 반응적이다. 또한, 유전체에 도입되는 산소는 실리콘 및 탄소 결합보다 극성 결합(polarizable bond)을 생성시키는 경향 있으며 즉 유전 상수를 증가시킨다. 결과적으로, 감소된 화학물질을 기초로하는 건조 세정은 예를 들어, 상대적으로 높은 압력의 H2 또는 NH3의 원거리로 발생되는 플라즈마 (Kropewnicki에 의한 미국 특허 6,440,864 참조)를 이용하여 전개되어 왔다. 수소 방법이 우세하지만 여전히 전체적으로 만족스럽지 못한 결과를 가진다. 수소 플라즈마 내의 매우 적은 양의 수증기가, 다공성 저 유전 상수 k 필름의 소수성을 상당히 감소시켜 유전 상수를 증가시키는 경향이 있다. 매우 순수한 수소는 유전 상수를 다소 증가시키는 경향이 있다. 또한 적합한 식각률은 챔버 압력을 증가시킴으로써 달성되지만 전력 공급원의 성능은 증가된 압력을 따라야만 한다. 또한 보다 높은 수소 압력에서, 세정 챔버 내측으로 누출 및 이온화되는 원거리 플라즈마 소오스로부터의 수소의 분율이 증가된다. 수소 이온은 웨이퍼에 에너지틱하게 끌어당겨지는 경향이 있으며 이러한 수소 이온이 다공성 저 유전 상수 k 재료를 손상시키는 것으로 믿고 있다.
본 발명의 일 양상은 특히 높은 다공성 및 상당한 탄소를 포함하는 저 유전 상수 k 기판의 플라즈마 건조 세정을 포함하며, 원거리 플라즈마 소오스에 의해 생성되는 수소 라디칼의 플라즈마를 이용한다. 어떠한 산소 및 수증기도 포함될 필요가 없다. 수소 압력은 바람직하게는 적합하게 낮은 예를 들어 10 내지 150 milliTorr 사이에서 유지되며 특히 바람직하게는 30 milliTorr의 압력으로 유지된다.
이와 달리, 플라즈마는 수소 및 불활성 기체(noble gas)의 결합, 바람직하게는 헬륨을 포함할 수 있으며 총 압력이 200 내지 600 milliTorr인 200 milliTorr 미만 40 milliTorr를 초과하는 수소 부분압을 이용한다.
원거리 플라즈마 소오스 사이의 공급 관은 유리하게 유전체 라이너를 포함하며, 예를 들어, 이는 알루미나와 같은 세라믹으로 이루어진다. 또한, 유리하게 잉온 필터는 원거리 플라즈마 소오스와 프로세싱 챔버 사이에 배치되어 플라즈마로부터 다른 이온 및 수소를 제거한다. 이온 필터는 공급 관의 축선을 가로지르는 자기 영역을 생성시키는 자기 필터일 수 있다.
도 1은 층간 인터커넥트 구조물 또는 비아의 단면도이며,
도 2는 본 발명과 이용할 수 있는 원거리 플라즈마 세정(RPC) 챔버의 단면도 이며,
도 3은 원거리 플라즈마 소오스로부터 수소 압력의 함수로서 저 유전 상수 k 유전체의 예비-세정에서의 식각률 및 이의 균일성을 도시하는 그래프이며,
도 4는 도 2의 RPC 챔버에 이용되는 자기 필터의 축 단면도이며,
도 5는 자기 필터로 달성된 이온 편향을 도시하는 그래프이며,
도 6은 이온 필터의 유무에 따른 깊이 함수로서 탄소 소모를 도시하는 그래프이며,
도 7은 플라즈마 예비-세정에서 수소 압력과의 물 접촉각의 의존도를 도시하는 막대 차트이며,
도 8은 본 발명의 두 개의 실시예에 따른 헬륨 농도의 함수로서 식각률 및 이의 비-균일성의 의존도를 도시하는 그래프이며,
도 9는 본 발명의 몇몇 실시예에 따르며 종래 기술에서 저 유전 상수 k 유전체의 플라즈마 세정에서 유전 상수의 증가를 도시하는 막대 그래프이다.
실질적으로 산소 및 물 없이 원거리 플라즈마 소오스로부터 수소의 매우 낮은 압력 또는 심지어 부분압이 건조 세정 중에 유전 상수의 증가를 상당히 감소시키며, 수용가능하며 심지어 우수한 식각률을 제공하는 것이 관찰되었다.
도 1에 단면으로 도시되어 있는 원거리 플라즈마 세정 챔버(30)는 진공 펌프 시스템(34)에 의해 펌핑되는 진공 챔버(32)를 포함한다. 진공 챔버(32) 내의 받침 대(36)는 다수의 개구(42)를 통해 프로세스 가스를 공급하는 가스 샤워헤드(40)에 대향하여 세정될 웨이퍼(38)를 지지한다. 받침대(36)는 웨이퍼(38)의 온도를 원하는 식각 온도로 상승시키기 위해서 히터를 포함한다. 본 발명의 일 양상에 따른 프로세스 가스는 질량 유동 제어기(46)를 통해 수소 가스 소오스(44)로부터 공급되는 순수한 수소 가스(H2), 또는 다른 질량 유동 제어기(50)를 통해 헬륨 가스 소오스(48)로부터 공급되는 수소와 헬륨(He)의 조합이다. 원거리 플라즈마 소오스(52)는 가스를 수용하며 이를 플라즈마 내측으로 여기시킨다. 원거리 플라즈마 소오스(52)는 다른 형태의 플라즈마 발생기가 가능할 수 있지만, 전달 관 또는 다른 형태의 안테나 둘레의 RF 유도 코일 또는 RF 전력 소오스에 의해 구동되며, 프로세스 가스를 위한 전달 관의 양 측면 상에 위치되는 한 쌍의 전극일 수 있다. 여기된 가스가 공급 관(54)을 통해 샤워헤드(42) 뒤의 가스 매니폴드(56)에 전달된다. 매니폴드 라이너(58)는 매니폴드(56)의 벽을 덮을 수 있다. 여기된 가스가 샤워헤드(40)를 통해 세정될 웨이퍼(38)에 균일하게 전달된다.
포토레지스트의 식각률 및 식각 비균일성은, 중성 여기된 가스를 형성하기 위해서 자기적으로 필터링되며, 원거리 플라즈마 소오스에서 여기된 여러 챔버 압력에서 순수한 수소의 여기된 가스에 약 300℃에서 노출되며 기판상의 BDⅡ 유전체 위에 형성되는 포토레지스터를 위해 측정된다. 이러한 결과는 도 3에 구성되어 있다. 250 내지 400 milliTorr 사이의 결과는 400 milliTorr가 매우 양호한 실행으로서 이전에 제시된 이유를 나타내고 있으며, 이는 포토레지스트 식각률이, 수소 압력에 거의 비례적으로 기대되는 바와 같은 범위 내에서 개선되고 있기 때문이며, 비균일성은 명백히 일정하다. 심지어 보다 높은 압력은 가능한 보다 양호한 결과를 제공할 수 있지만 과도하게 큰 전력 공급원을 필요로 한다. 또한, 보다 높은 압력은 프로세스 영역 내측으로 수소 이온 누출 및 다른 하전된 입자를 증가시킬 수도 있을 것으로 보인다. 그러나, 놀랍게도 테스트는 수소 압력에 따른 식각률의 의존도에 있어 이중 피크 구조를 보이고 있다. 250 milliTorr 미만에서, 압력이 감소하면서 식각률은 증가하기 시작하고 다시 떨어지기 전에 매우 낮은 압력(VLP) 범위 내의 약 40 milliTorr에서 피크에 도달한다. 또한, 비 균일성은 약 250 milliTorr 미만으로 연속적으로 감소한다. 프로세스 및 챔버 조건의 상세한 설명에 따라, 유리한 수소 압력의 범위가 10 내지 150 milliTorr, 바람직하게 20 내지 120 milliTorr인 것으로 믿어진다. 그러나, 본 발명은 이러한 범위에 제한되지 않는다.
라디칼 H* 발생과 중성 H2로의 H* 재결합 사이의 경쟁(competition)으로부터 낮은 피크(lower peak)가 상승하는 것으로 믿어진다. 재결합을 위한 평균 자유 경로에 대응하는 낮은 피크 미만에서, 재결합은 의미가 없으며, H* 발생은 압력 증가와 함께 증가한다. 낮은 피크 위에서 압력이 증가함에 따라, H2로의 H* 재결합에서의 증가는 H* 발생에서의 임의의 증가를 능가한다.
Black Diamond와 같은 향상된 유전체 필름의 저 유전 상수는 탄소 분율을 적 어도 부분적으로 증가시킴으로써 생성된다. 종래 기술의 플라즈마 세정에서 생성되는 유전 상수의 해로운 증가는 탄소의 소모에 의해, 특히 유전체 표면에서 야기되는 것으로 믿어진다.
종래에, 수소 이온 H+는 고르지 못한 실리카 유전체를 위한 만족스러운 플라즈마 세정제였다. 그러나, 부동 받침대(floating pedestal; 16)상에서 전개되는 자기 바이어스조차도 저 유전 상수 k를 손상시키기에 충분한 에너지로 수소 이온을 가속화시키기에 충분한다. 본 발명의 일 양상에서, 부가적으로 도 4의 단면 축선 도면으로 도시된 자기 이온 필터는 원거리 플라즈마 소오스(52)와 샤워헤드(40) 사이에 위치된다. 이온 필터는 공급 관(54)의 축선에 수직인 이들 사이에서 연장하는 수평 자기장(B)을 생성시키기 위해서 공급 관(54)의 양 측면 상에 위치되는 두 개의 수평 대향 영구 자석(62, 64)을 포함할 수 있다. 바람직하게, 자석(62, 64)(또는 효과적으로 결합된 자석으로 이루어진 복수의 자석)은 이의 자기장이 필드 방향에 수직인 공급 관(54)의 면적에 걸쳐서 실질적으로 일정한 충분한 측면 폭을 가진다. 자기장은 이의 축선을 따라서 공급 관(54)을 통해 유동하는 수소 이온 상에 로렌츠 힘(Lorentz force)을 생성시켜, 이들이 흡수되거나 적어도 중성화되는 공급 관(54)의 벽에 이들을 편향시킨다. 일부 조건하에서, 이온은 자기장 라인상에서 포착되며 중성화되거나 벽에 표류(drift)한다. 도 5의 그래프는 인가된 자기장(B)의 함수로서 수소 및 산소의 5 및 10 eV 운동에너지에 있어서 사이클로트론 반경(cyclotron radius; R)으로서 측정되는 전환력(diverting force)을 도시하고 있다. 3×2 자석 어레이는 공급 관(54)의 에지에서 500 가우스 자기장 그리고 이의 중심에서 650 가우스의 자기장을 생성시키는 것으로 평가된다. 이러한 자기 필터는 원거리 플라즈마 소오스(52)로부터 누출되는 하전된 입자의 약 99%를 제거하는 효과가 발견되었다. 반면, 중성 수소 라디칼 H*은 자기장에 의해 영향을 받지 않으며, 가스를 매니폴드(56)에 그리고 샤워헤드(40)의 개구(42)로부터 계속 표류시킨다. 수소 라디칼은 여기되지만 중성인 가스를 형성하며 이온 및 전자를 포함하는 플라즈마를 기술적으로 구성하지 않는다. 다른 이온 필터 예를 들어, 정전 편향기(electrostatic deflector)가 이용될 수 있다.
그러나, 라디칼은 큰 단면을 가지며 재결합하는 하는 경향이 있기 때문에 수명이 짧은 경향이 있다. 라디칼 수명은 도 2에 도시된 바와 같이 매니폴드(56)에, 원거리 플라즈마 소오스(52)로부터 연장하는 공급 관(54) 내부의 관형 유전체 라이너(66)를 위치시킴으로써 상당히 증가할 수 있다. 프리 스탠딩 유전체 라이너(free standing dielectric liner; 66)는 예를 들어, 석영(SiO2) 또는 알루미나(Al2O3)로 이루어질 수 있다. 유리하게, 챔버 리드가 리드의 나머지 또는 이온 필터 또는 원거리 플라즈마 소오스(52)를 분해할 필요 없이 유지를 위해 개방되는 경우에 바닥으로부터 제거될 수 있다.
동일한 이유로, 샤워헤드(40) 및 이의 매니폴드 라이너(58)는 유전체, 예를 들어 알루미나 또는 보다 바람직하게는 석영으로 이루어질 수 있다.
X-레이 광 분광기(XPS)가 200nm 두께의 Black DiamondⅡ(BDⅡ) 유전체 필름 의 깊이 함수로서 탄소 함량을 측정하는데 이용된다. 제 1 실험에서, BDⅡ 유전체 필름은 플라즈마 세정 전에 증착되는 것으로 탐지되었다. 도 6의 그래프에 도시된 플롯(70)은 프로세싱되지 않은 필름이 이의 표면에서 약 30 at%의 상승과 함께 이의 용적에 걸쳐서 약 20at%의 탄소 함량을 가짐을 나타내고 있다. 제 2 실험에서, 원거리 수소 플라즈마는 BDⅡ 필름을 세정하기 위해서 이용되지만 자기 필터 없이 이용된다. 플롯(72)은 용적이 사실상 어떠한 소모를 보이지 않는 것으로 도시하고 있지만 탄소가 표면에서 상당히 소모된다. 제 3 실험에서, 자기 필터가 활성화된다. 플롯(74)은 자기 필터가 탄소의 표면 소모를 실질적으로 감소시키는 것을 보이고 있다. 감소된 탄소 소모는 본 발명의 일 양상에 따라 예비 세정되는 경우에 탄소계 저 유전 상수 k 유전체에서의 유전 상수의 감소된 증가를 설명하는데 기여한다.
관련 실험은 푸리에 변환 적외선 (FTIR) 분광기를 이용한 프로빙(probing) BDⅡ 유전체 필름을 포함한다. 탄소 함량은 Si-C 결합의 공진(resonance)에 대응하는 1276 ㎝-1의 파수에서 신호에 의해 측정된다. 자기 필터 없이, 스펙트럼은 1276 ㎝-1에서 신호의 상당한 급강하(dip)를 보이며, 탄소 소모를 나타낸다. 자기 필터를 이용하면, 급강하(dip)는 감소된 탄소 소모와 일관되게 실질적으로 감소한다.
형성 후, 고압 수소(400 milliTorr)를 이용하는 플라즈마 세정 후, 그리고 저압 수소(30 milliTorr)를 이용하는 플라즈마 세정 후 임의의 처리 없이 BDⅡx 필 름에 대한 물 접촉각을 측정한다. 낮은 접촉각은 재료가 보다 친수성이며, 즉 물을 흡수(attract)하는 것을 나타낸다. 친수성 필름은 습손(moisture damage)에 보다 열악한 저항성을 나타내는 것으로 기대되며 물 분자의 분극성을 야기하는 것으로 믿어진다. 도 7의 막대 차트에 도시된 결과는 저압 수소 상태에서는 플라즈마 세정을 위한 접촉 각에서 작은 감소를 나타내지만 고압 수소 상태에서는 큰 감소가 있음을 나타낸다. 즉, 이러한 결과는 습손이 낮은 수소 압력에서 감소되는 것임을 나타내고 있다.
수소의 부분압이 저압 수소 플라즈마 예비 세정의 효율의 하나의 측정으로 여겨진다. BDⅡx 유전체 필름의 식각률 및 이의 비균일성은 순수한 수소로, 그리고 수소 및 헬륨의 세 가지 혼합물, 특히 헬륨이 50%, 70%, 및 95%인 혼합물로 30 및 400 milliTorr 챔버 압력에서 모두 측정된다. 도 8의 그래프에 도시된 결과는 70% He 즉 120 milliTorr 수소의 부분압에서의 식각률은 400 milliTorr에서 95% He에서보다 실질적으로 크며, 30 milliTorr에서 0% He에서보다 크며, 400 milliTorr에서 50% He에서보다 다소 큰 것임을 나타내고 있다. 이러한 결과는 200 내지 600 milliTorr인 헬륨/수소 총 압력의 원하는 범위의 30 내지 200 milliTorr 수소 부분압 (또는 대안적으로 50 내지 92% 헬륨)에서 추정될 수 있다. 보다 좁은 범위는 300 내지 500 milliTorr의 헬륨/수소 부분압에서 80 내지 150 milliTorr의 수소 부분압이다. 그러나, 본 발명은 이러한 범위에 반드시 제한되지는 않는다. 부가적인 헬륨은 챔버 벽 상에서 흡수되고 라디칼이 챔버 벽 상에 흡수되는 것을 방지하거나 헬륨은 수소와 상호작용하는 헬륨 준안정 상태 또는 이의 재결합과 라디칼 사 이의 충돌을 감소시키는 것으로 추측된다. 특히 순수한 수소가 특히 위험하다고 간주되기 때문에 수소 및 헬륨의 혼합물이 결합된 소오스로부터 공급됨을 인식해야 한다.
네온 또는 아르곤과 같은 불활성 기체는 헬륨의 일부 이점 제공하지만 헬륨은 저 유전 상수 k 유전체를 플라즈마 세정하는데 가장 효과적인 것으로 믿어진다.
유전 상수 변화는 여러 방법에 의해 예비 세정되며 2.5의 유전 상수를 가지는 BDⅡx 필름에 대해 측정된다. 이러한 결과는 도 9의 막대 차트로 도시되어 있다. 인 시츄(in situ) 결과는 95% He 및 5% H2의 인 시츄 플라즈마를 이용하는 비교 실험이며 10% 보다 큰 분해(degradation)를 나타내고 있다. 원거리 플라즈마 소오스로부터의 400 milliTorr의 수소 플라즈마에 대한 결과는 1% 보다 큰 분해를 나타내고 있다. 원거리 플라즈마 소오스로부터 30% H2 및 70% He의 30 milliTorr 및 400 milliTorr에서 30 milliTorr의 순수한 H2의 결과는 실질적으로 유전 상수에 어떠한 변화도 나타내고 있지 않다. 따라서, 저 유전 상수 k 필름을 예비 세정하기 위한 인 시츄 플라즈마보다 양호한 수소 플라즈마가 원거리 발생될뿐만 아니라, 감소된 수소 압력 또는 부분압이 실질적으로 감소하며, 그렇지 않더라도 그 유전 상수가 증가하지 않는다.
본 발명이 도 2의 예비 세정 챔버를 참조하여 기재되지만 본 발명은 다른 형태의 챔버로 실행될 수 있으며, 예를 들어, 샤워헤드를 반드시 포함하지는 않는다. 또한, 예비 세정 챔버는 전용 챔버일 필요는 없지만, 층간 유전체 식각과 같은 식 각, 화학 기상 증착, 또는 스퍼터링을 위해 이용되는 챔버와 같은 다른 챔버와 결합될 수 있어서 다수의 작동이 동일한 챔버에서 수행될 수 있다. 또한, 본 발명은 다공성 저 유전 상수 k 유전체 상의 예비 세정 포토레지스트 잔여물에 반드시 제한되지 않지만 다른 형태의 적합한 감소 식각을 위해 이용될 수 있다.
본 발명은 식각률을 증가시키고 하드 웨어에서 거의 변화가 없는 유전체 소모를 감소시킴으로써 약한 저 유전 상수 k 유전체의 플라즈마 세정을 개선한다.

Claims (20)

  1. 유전체 층을 포함하는 기판을 프로세싱하는 플라즈마 방법으로서,
    상기 기판을 원거리 플라즈마 소오스가 부착되는 진공 챔버 내에 배치시키는 단계;
    상기 원거리 플라즈마 소오스를 통해 그리고 이로부터 상기 챔버 내측으로 감소하는 프로세싱 가스를 통과시키는 단계로서, 가스 혼합물이 수소를 포함하고 어떠한 산소 및 물을 실질적으로 포함하지 않는, 감소하는 프로세싱 가스를 통과시키는 단계; 및
    상기 챔버 내의 압력을 상기 챔버 내의 수소 부분압이 150 milliTorr 미만이며 20 milliTorr 보다 크도록 유지하는 단계를 포함하는
    플라즈마 방법.
  2. 제 1 항에 있어서,
    상기 수소 부분압이 120 milliTorr 미만이며 20 milliTorr보다 큰
    플라즈마 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 프로세싱 가스가 수소를 필수구성으로 포함하는
    플라즈마 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 프로세싱 가스가 수소 및 헬륨을 필수구성으로 포함하는
    플라즈마 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 원거리 플라즈마 소오스로부터 상기 진공 챔버로 전달되는 이온을 필터링하는 단계를 더 포함하는
    플라즈마 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 유전체 층이 20 at% 이상의 탄소를 포함하며 다공성이 10% 이상인
    플라즈마 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 유전체 층의 유전 상수가 2.5 이하인
    플라즈마 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 원거리 플라즈마 소오스의 산출로부터 이온을 필터링하는 단계를 더 포함하는
    플라즈마 방법.
  9. 기판을 프로세싱하는 플라즈마 방법으로서,
    상기 기판을 원거리 플라즈마 소오스가 부착되는 진공 챔버 내에 배치시키는 단계; 및
    상기 원거리 플라즈마 소오스를 통해 그리고 이로부터 상기 챔버 내측으로 감소하는 프로세싱 가스를 통과시키는 단계로서, 상기 프로세싱 가스가 수소 및 불활성 기체를 포함하고 어떠한 산소 및 물을 실질적으로 포함하지 않는, 감소하는 프로세싱 가스를 통과시키는 단계를 포함하는
    플라즈마 방법.
  10. 제 9 항에 있어서,
    상기 불활성 기체가 헬륨인
    플라즈마 방법.
  11. 제 10 항에 있어서,
    상기 프로세스 가스가 수소 및 헬륨을 필수구성으로 포함하는
    플라즈마 방법.
  12. 제 9 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 진공 챔버 내의 총 압력을 200 내지 600 milliTorr로 유지하는 단계를 더 포함하는
    플라즈마 방법.
  13. 제 12 항에 있어서,
    상기 진공 챔버 내의 수소 부분압을 40 내지 200 milliTorr로 유지하는 단계 를 더 포함하는
    플라즈마 방법.
  14. 제 12 항에 있어서,
    상기 총 압력이 300 내지 500 milliTorr로 유지되는
    플라즈마 방법.
  15. 제 14 항에 있어서,
    상기 수소 부분압이 80 내지 150 milliTorr로 유지되는
    플라즈마 방법.
  16. 플라즈마 세정 챔버로서,
    세정되는 기판을 지지하기 위한 받침대를 포함하는 진공 챔버;
    상기 받침대에 대향하는 유전체 샤워헤드;
    원거리 플라즈마 소오스;
    상기 원거리 플라즈마 소오스의 산출을 상기 샤워헤드에 연결하고, 제거가능한 유전체 라이너를 포함하는 전달 관;
    상기 전달 관상 상에 배치되는 이온 필터; 및
    상기 원거리 플라즈마 소오스를 위한 수소 가스의 소오스를 포함하는
    플라즈마 세정 방법.
  17. 제 16 항에 있어서,
    상기 이온 필터는, 상기 전달 관의 벽을 향해 수소 이온을 편향시키기에 충분하게 상기 전달 관의 축선을 가로지르는 자기장을 생성시키는 자기 조립체를 포함하는
    플라즈마 세정 방법.
  18. 제 16 항에 있어서,
    상기 원거리 플라즈마 소오스를 위한 헬륨 가스의 소오스를 더 포함하는
    플라즈마 세정 방법.
  19. 제 16 항에 있어서,
    상기 유전체 라이너가 알루미나를 포함하며, 상기 샤워헤드가 석영을 포함하는
    플라즈마 세정 방법.
  20. 제 16 항에 있어서,
    상기 샤워헤드의 매니폴드를 라이닝(lining)하는 제 2 유전체 라이너를 더 포함하는
    플라즈마 세정 방법.
KR1020077031014A 2006-01-17 2006-12-28 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정 KR101012098B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/334,803 US7704887B2 (en) 2005-11-22 2006-01-17 Remote plasma pre-clean with low hydrogen pressure
US11/334,803 2006-01-17

Publications (2)

Publication Number Publication Date
KR20080021724A true KR20080021724A (ko) 2008-03-07
KR101012098B1 KR101012098B1 (ko) 2011-02-07

Family

ID=38309712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077031014A KR101012098B1 (ko) 2006-01-17 2006-12-28 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정

Country Status (5)

Country Link
US (1) US7704887B2 (ko)
KR (1) KR101012098B1 (ko)
CN (1) CN101227984B (ko)
TW (1) TWI366868B (ko)
WO (1) WO2007087067A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013191430A1 (ko) * 2012-06-20 2013-12-27 주식회사 다원시스 플라스마 이온을 이용한 세정 장치 및 세정 방법
KR101358250B1 (ko) * 2012-06-20 2014-02-06 주식회사 다원시스 플라스마 이온 분리 및 가속 세정 방법 및 장치
KR101358252B1 (ko) * 2012-06-20 2014-02-06 주식회사 다원시스 플라스마 이온 분리 세정 방법 및 장치
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US20100022091A1 (en) * 2008-07-25 2010-01-28 Li Siyi Method for plasma etching porous low-k dielectric layers
JP5247528B2 (ja) * 2009-02-23 2013-07-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びガス導入手段
US20100252047A1 (en) 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8703003B2 (en) * 2009-04-20 2014-04-22 Spts Technologies Limited Selective etching of semiconductor substrate(s) that preserves underlying dielectric layers
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US8420544B2 (en) 2010-06-03 2013-04-16 United Microelectronics Corp. Method for fabricating interconnection structure with dry-cleaning process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012137408A1 (ja) * 2011-04-04 2012-10-11 キヤノンアネルバ株式会社 処理装置
US8846509B2 (en) * 2011-11-15 2014-09-30 Applied Materials, Inc. Remote radical hydride dopant incorporation for delta doping in silicon
CN102623395A (zh) * 2012-03-22 2012-08-01 上海华力微电子有限公司 一种低介电常数薄膜表面处理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10483091B1 (en) 2018-05-18 2019-11-19 International Business Machines Corporation Selective ion filtering in a multipurpose chamber
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11264219B2 (en) * 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
US11164727B2 (en) 2019-07-18 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Processing of workpieces using hydrogen radicals and ozone gas
CN110349830B (zh) * 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体系统以及应用于等离子体系统的过滤装置
CN110571121B (zh) * 2019-09-17 2022-08-26 江苏鲁汶仪器有限公司 采用远程等离子体源自清洗离子束刻蚀装置及清洗方法
CN116031158B (zh) * 2023-03-29 2023-06-16 长鑫存储技术有限公司 金属氧化物层的去除方法及半导体结构的制备方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4883686A (en) * 1988-05-26 1989-11-28 Energy Conversion Devices, Inc. Method for the high rate plasma deposition of high quality material
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5449433A (en) * 1994-02-14 1995-09-12 Micron Semiconductor, Inc. Use of a high density plasma source having an electrostatic shield for anisotropic polysilicon etching over topography
JP3297291B2 (ja) * 1995-03-10 2002-07-02 株式会社東芝 半導体装置の製造方法
US5780359A (en) * 1995-12-11 1998-07-14 Applied Materials, Inc. Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6392187B1 (en) * 1997-10-15 2002-05-21 Tokyo Electron Limited Apparatus and method for utilizing a plasma density gradient to produce a flow of particles
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6090629A (en) 1997-10-31 2000-07-18 Amgen, Inc. Efficient construction of gene targeting using phage-plasmid recombination
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
CN1108631C (zh) * 1998-11-25 2003-05-14 中国科学院半导体研究所 砷化镓表面清洁方法
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6634313B2 (en) * 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6780766B2 (en) * 2001-04-06 2004-08-24 Micron Technology, Inc. Methods of forming regions of differing composition over a substrate
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
NL1021400C2 (nl) * 2002-09-05 2004-03-08 Tno Werkwijze en inrichting voor het bepalen van een faseovergang van een stof.
KR101127294B1 (ko) * 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
JP4593477B2 (ja) * 2003-11-14 2010-12-08 東京エレクトロン株式会社 基板処理方法
US20050205206A1 (en) * 2004-03-19 2005-09-22 Robert Lembersky Apparatus for materials processing by stimulated light emission and method of its utilization
JP4503356B2 (ja) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
JP2006128542A (ja) * 2004-11-01 2006-05-18 Nec Electronics Corp 電子デバイスの製造方法
US20060102895A1 (en) * 2004-11-16 2006-05-18 Hendrix Bryan C Precursor compositions for forming tantalum-containing films, and tantalum-containing barrier films and copper-metallized semiconductor device structures
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013191430A1 (ko) * 2012-06-20 2013-12-27 주식회사 다원시스 플라스마 이온을 이용한 세정 장치 및 세정 방법
KR101358250B1 (ko) * 2012-06-20 2014-02-06 주식회사 다원시스 플라스마 이온 분리 및 가속 세정 방법 및 장치
KR101358252B1 (ko) * 2012-06-20 2014-02-06 주식회사 다원시스 플라스마 이온 분리 세정 방법 및 장치
KR20160042010A (ko) * 2013-08-09 2016-04-18 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치

Also Published As

Publication number Publication date
KR101012098B1 (ko) 2011-02-07
TWI366868B (en) 2012-06-21
WO2007087067A2 (en) 2007-08-02
US20070117397A1 (en) 2007-05-24
CN101227984B (zh) 2010-06-16
CN101227984A (zh) 2008-07-23
TW200735196A (en) 2007-09-16
WO2007087067A3 (en) 2007-12-06
US7704887B2 (en) 2010-04-27

Similar Documents

Publication Publication Date Title
KR101012098B1 (ko) 낮은 수소 압력을 이용하는 원거리 플라즈마 예비 세정
JP5260318B2 (ja) プラズマに面する壁の水蒸気不動態化
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US8895449B1 (en) Delicate dry clean
US9412608B2 (en) Dry-etch for selective tungsten removal
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
KR100738699B1 (ko) 도핑에 독립적인 폴리실리콘용 자기세정 에칭 방법
KR101405175B1 (ko) 플라즈마 에칭 방법
US20140273451A1 (en) Tungsten deposition sequence
JP4911936B2 (ja) プラズマアッシング方法
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
US8404596B2 (en) Plasma ashing method
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
KR20020010592A (ko) 크세논의 첨가로 인한 규소 산화물 에칭 속도와 기판선택비의 개선 방법
KR101310850B1 (ko) 플라즈마 에칭 방법
JP3834004B2 (ja) エッチング後処理方法
WO2003081656A1 (fr) Procede de gravure par plasma
Ha et al. Aluminum Etch and After-Corrosion Characteristics in am= 0 Helicon Wave Plasma Etcher
JP2007251034A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 10