JP2001144028A - 連続フッ素および水素プラズマによるコンタクト洗浄 - Google Patents

連続フッ素および水素プラズマによるコンタクト洗浄

Info

Publication number
JP2001144028A
JP2001144028A JP2000267597A JP2000267597A JP2001144028A JP 2001144028 A JP2001144028 A JP 2001144028A JP 2000267597 A JP2000267597 A JP 2000267597A JP 2000267597 A JP2000267597 A JP 2000267597A JP 2001144028 A JP2001144028 A JP 2001144028A
Authority
JP
Japan
Prior art keywords
metal
contact area
work piece
exposing
atmosphere
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000267597A
Other languages
English (en)
Inventor
Barney M Cohen
エム. コーヘン バーニー
Jingang Su
スー ジンガン
Kenny King-Tai Ngan
キン−タイ ヌギャン ケニー
Jr-Jyan Chen
チェン ジェイアール−ジアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001144028A publication Critical patent/JP2001144028A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 金属プラグと半導体領域間の電気的接触を良
好にするには、通常、接触区域に耐熱金属層を堆積し、
次にワークピ−スをアニールして半導体材料および耐熱
金属の原子が相互に拡散し、半導体がシリコンである場
合耐熱金属のケイ化物等の化合物を形成する必要があ
る。 【解決手段】 本発明の一つの態様は耐熱金属を基板1
0上の半導体領域12の接触区域17に堆積する方法で
ある。接触区域17を、まず、基板10をフッ素含有種
を含むプラズマに露出して接触区域17を洗浄し、次
に、基板10を好ましくは水素含有ガスのプラズマ分解
によって生成されたフッ素を捕捉する第2大気に露出す
る、2ステッププロセスによって洗浄する。次に、耐熱
金属を接触区域17に堆積する。2ステップ洗浄プロセ
スは耐熱金属と半導体領域12間の電気抵抗を低減し得
る。さらに、基板10をアニールして半導体材料および
耐熱金属の原子を相互に拡散すると、2ステップ洗浄プ
ロセスは所望の低い電気抵抗を実現するに必要なアニー
ル温度を低減し得る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体あるいは金属
層の接触区域に金属プラグを堆積する前に該接触区域か
ら自然酸化物を除去する方法に関する。具体的には、本
発明はフッ素含有プラズマを用いる接触区域の洗浄ステ
ップ、次に接触区域を水素含有プラズマに露出すること
によるフッ素残留物の除去ステップを含む方法に関す
る。
【0002】
【従来の技術】集積回路その他の電子デバイスを製造す
る際に共通なプロセスシーケンスでは、誘電層を基板上
の半導体あるいは金属領域に堆積し、次に誘電体に多数
の開口部をエッチングして開口部が半導体あるいは金属
領域上の接触区域を露出するようにし、次に各開口部に
金属プラグを堆積して接触区域と電気的に接触するよう
にする。 開口部形成後、基板が環境大気その他の酸素
源に露出されると、各開口部内に露出した半導体あるい
は金属層の表面は酸化されることになる。この自然酸化
物は金属プラグを堆積する前に除去あるいは「洗浄」
し、プラグと接触区域間の電気的接触を良好にする必要
がある。
【0003】タングステンプラグを堆積する前にシリコ
ン層から自然酸化物を洗浄する一従来方法は、1991
年8月27日チャン他に交付された一般譲渡米国特許第
5,043,299号に記載されているように、アルゴ
ンとNF3の混合物によるプラズマ洗浄である。しか
し、この洗浄プロセスはアルゴンを含むので、アルゴン
スパッタリングによって損傷を受ける場合のある特定誘
電体あるいは浅い半導体接合には不向きである。
【0004】
【発明が解決しようとする課題】接触区域がシリコン等
の半導体領域上にあると別の問題が生ずる。金属プラグ
と半導体領域間の電気的接触を良好にするには、通常、
接触区域に耐熱金属層を堆積し、次にワークピ−スをア
ニールして半導体材料および耐熱金属の原子が相互に拡
散し、半導体がシリコンである場合、耐熱金属のケイ化
物等の化合物を形成する必要がある。低抵抗の電気的接
触を実現するのに必要なアニール温度は通常高温であ
り、半導体層あるいは誘電体に望ましくない耐熱金属の
拡散を生ずる。
【0005】
【課題を解決するための手段】本発明は電子デバイスの
基板上の半導体あるいは金属領域の接触区域の洗浄方法
である。まず、基板を少なくとも1つのフッ素含有ガス
のプラズマ分解によって生成される第1大気に露出して
接触区域を洗浄する。第2に、基板をフッ素を捕捉する
第2大気に露出する。第2大気は少なくとも1つの水素
含有ガスのプラズマ分解によって形成するのが好まし
い。第2大気は接触区域上に残留するフッ素残留物を除
去し、洗浄プロセスにアルゴンスパッタリングを入れる
必要をなくす。
【0006】本発明の別の態様は基板上の半導体領域の
接触区域への耐熱金属の堆積方法である。接触区域を前
段落の2ステッププロセスに従って洗浄する。次に、耐
熱金属を接触区域に堆積する。2ステップ洗浄プロセス
が耐熱金属と半導体領域間の電気抵抗を低減し得ること
が分かった。さらに、基板をアニールして半導体材料と
耐熱金属の原子を相互に拡散する場合、2ステップ洗浄
プロセスが所望の低電気抵抗を実現するに必要なアニー
ル温度を低減し得ることが分かった。
【0007】
【発明の実施の形態】図1は本発明のプロセスを実施可
能な従来の半導体ワークピ−スあるいは基板10を示
す。基板は通常集積回路が形成されるシリコンウェーハ
あるいは電子ビデオ表示デバイスおよび回路が形成され
るガラス基板である。基板は以下の例ではすべてシリコ
ンウェーハである。
【0008】基板は半導体あるいは金属材料の1つ以上
の領域12を含む。誘電層14は半導体あるいは金属領
域の上にある。誘電体14は多数の開口部16を有して
パターン形成され、各開口部が半導体あるいは金属領域
12の一方の区域17を露出するようにする。この区域
17は半導体あるいは金属領域の「接触区域」あるいは
「露出区域」と呼ばれる。(露出面が金属を環境大気中
の酸素等酸素に露出した結果として自然酸化物の薄層で
覆われている場合があるにせよ、誘電体14で覆われて
いない半導体あるいは金属領域12の部分をすべて「露
出」していると規定する。)
【0009】通常、各開口部は金属材料で充填され、下
にある半導体あるいは金属領域12と電気的に接触する
「プラグ」を形成する。プラグもまた、下にある領域1
2が半導体領域かあるいは金属相互接続であるかによっ
て、それぞれ「コンタクト」あるいは「バイア」と呼ば
れる。
【0010】図示したワークピ−スならびに上記開口部
および層を形成する前述のプロセス・ステップはともに
従来のものである。
【0011】開口部16形成後ワークピ−スが酸素に露
出されると、各接触区域17の露出面は普通酸化して
「自然」酸化物18の薄層を形成する。このような酸素
露出は誘電体に開口部をエッチングした後にフォトレジ
ストを除去する「アッシング」プロセスにおいて発生す
ることがある。また、ワークピ−スが2つのプロセスチ
ャンバ間を搬送される際に環境大気に露出されると発生
することがある。この自然酸化物は、プラグと接触区域
間の電気的接触を良好にするために、金属プラグを堆積
する前に除去あるいは「洗浄」される必要がある。
【0012】本発明においては、接触区域は少なくとも
2つのステップを含むプロセスによって洗浄される。1
ステップでは、基板を少なくとも1つのフッ素含有ガス
のプラズマ分解によって形成される第1大気に露出する
ことによって自然酸化物18を除去する(図2、ステッ
プ101)。このステップは接触開口部16にフッ素残
留物を残存させる可能性があることが分かったが、この
フッ素残留物はその後堆積されるプラグの電気抵抗等の
性能を低下させることがある。従って、本発明は、フッ
素を捕捉する、第2大気に基板を露出する次のステップ
をさらに含む(ステップ102)。「捕捉」によって示
したいのは、第2大気が、フッ素と結合して揮発性化合
物を形成する種を含有することである。こうした揮発性
化合物は排気口30に接続された排気ポンプによってプ
ロセスチャンバから排出され、これによりコンタクトあ
るいはバイア開口部16からフッ素残留物を除去する。
【0013】第1ステップ (ステップ101)で用いら
れるフッ素含有プラズマはNF3、C26、あるいはS
6等の1つ以上のフッ素含有ガスを従来のプラズマチ
ャンバに供給することによって生成可能である。また、
ヘリウム等のキャリアガスをプラズマチャンバに供給し
てもよい。電磁ソースがガスをプラズマ状態に励起し、
多くのガス分子を解離する。キャリアガスはフッ素含有
ガスの解離を向上させるのに望ましい。後述のように、
NF310%、He90%のガス供給を行ってシリコン
領域からの自然酸化物の洗浄に成功した。
【0014】(本特許明細書全体を通して、ガス混合物
中の各構成ガスのパーセント濃度は分子モル濃度による
パーセントとして表されるが、これは体積によるパーセ
ント濃度にほぼ等しい。プラズマチャンバに供給される
1つ以上のガスを指すのに「ガス混合物」という語を用
いている。「混合物」という語は1種類以上のガス種を
含むことを意味しない。)
【0015】基板のスパッタリング損傷をきたさないよ
う、ワークピ−ス位置の電界を最小限にする方法によっ
てプラズマを形成するのが好ましい。
【0016】プラズマを形成する好適な一方法は従来の
遠隔プラズマソースであり、これはプラズマをワークピ
−スを保持する真空チャンバから離隔したチャンバで形
成するか、プラズマ本体がワークピ−スからの実質的距
離であるようにプラズマを共通チャンバの別々の領域で
形成することを意味する。いずれにせよ、排気ポンプは
プラズマ中のガス分解によって生じた基およびイオンを
プラズマ本体からワークピ−スに流れるようにする。従
来の遠隔プラズマソースを有するプロセスチャンバは一
般譲渡米国特許第5,346,579号(クック他)お
よび第5,543,688号(モリタ)に記載されてお
り、それぞれの内容はすべて本特許明細書に参考として
併合する。
【0017】また、ワークピ−スのスパッタリング損傷
をきたさないようプラズマを形成する一般に好適な方法
は、図3に示した市販のプレクリーンチャンバ20等の
誘導結合されたプラズマソースを有するプラズマチャン
バである。
【0018】チャンバの上部は誘電材料からなる上部壁
22によって境界を画せられ、チャンバの下部は誘電体
あるいは導電材料、通常アルミからなる下部壁23によ
って境界を画せられる。チャンバガスに露出されるサセ
プタの全表面、すなわち基板で覆われない全表面、は誘
電体37で覆われる。上部壁22およびサセプタライナ
37に用いられる誘電材料は、好ましくは、プロセスに
用いられるフッ素含有種によるエッチングに耐性を有す
る必要がある。好適な誘電材料はアルミナセラミックで
ある。
【0019】上記フッ素含有ガス混合物は、流量調節計
26、通常は質量流量調節計によって調節される流量で
ガス供給タンク24から流出し、1つ以上のガス吸気口
28を介してチャンバの上部に注入される。図示しない
排気ポンプは排気口30を介してチャンバガスを排気
し、チャンバ圧力を調節する。高周波電源32は誘電体
上部チャンバ壁部22を囲繞する誘導コイル34に高周
波電力を供給する。電力はコイルからチャンバ上部のガ
スに誘電結合され、ガスをプラズマ状に励起する。
【0020】基板10は通常アルミからなるペデスタル
あるいはサセプタ36上のチャンバ下部に取付けられ
る。バイアス電源とも呼ばれる第2高周波電源38を接
続し、高周波電力をサセプタに供給するのが好ましい。
これはサセプタにマイナスのDCバイアス電圧を発生さ
せ、プラズマからサセプタへのイオン流を増大させる。
しかし、二三の応用例では、所望の洗浄率はマイナスバ
イアス電圧なしでプラズマから基板へのフッ素含有基お
よびイオンの拡散によって実現してよい。このような場
合バイアス電源38は省略してよい。上記のチャンバハ
ードウェア構成要素はすべて従来のものである。
【0021】NFX、F基、イオン等各種の解離フッ素
含有種はプラズマから基板へ移動する。これらのフッ素
含有種は自然酸化物18と反応しSiF4等の揮発性化
合物を形成するが、揮発性化合物は排気ポンプによって
チャンバから排気され、これにより半導体あるいは金属
領域12の接触区域17の表面から自然酸化物18を除
去する。
【0022】自然酸化物18が完全に除去された後に、
流量調節計26はチャンバへのフッ素含有ガスの供給を
遮断する。次に本発明は第2大気をチャンバに供給し、
コンタクトあるいはバイア開口部16からフッ素残留物
を除去あるいは「捕捉」する。この捕捉ステップは、フ
ッ素洗浄ステップの実施に用いられたものと同一のプラ
ズマチャンバで実施されるのが好ましい。
【0023】「捕捉」とは、第2大気が、フッ素と結合
し揮発性の化合物を形成する種を含有することである。
「揮発性物質」とは、チャンバ内の大気の温度および圧
力で気化する化合物である。このような揮発性化合物は
排気口30に接続された排気ポンプによってプロセスチ
ャンバから排出され、これによりコンタクトあるいはバ
イア開口部16からフッ素残留物を除去する。
【0024】第2大気は少なくとも1つの水素含有ガス
のプラズマ分解によって形成されるのが好ましい。水素
基およびイオンはHF等の揮発性化合物を形成すること
によりフッ素を捕捉する。好適な水素含有ガスはH
2(水素ガス)であるが、NH3(アンモニア)およびS
iH4 (シラン)は許容可能な代替ガスである。
【0025】水素ガスは通常ヘリウム等のキャリアガス
と混合される。高パーセンテージの水素を用いることも
可能であるが、好適な混合物はH25%、He95%で
ある。その理由は、濃度のより高い水素は可燃性である
ので特別の安全対策を必要とするからである。
【0026】フッ素プラズマ洗浄ステップ (図2、ステ
ップ101)および水素プラズマ捕捉ステップ (ステッ
プ102)双方において、好適なキャリアガスがヘリウ
ムであるのは、ヘリウムイオンは極めて軽いので誘電体
14にスパッタリング損傷をほとんど与えないからであ
る。これは、有機材料からなるものといった特に損傷を
受けやすいこの種の誘電体には重要である。誘電体がス
パッタリング損傷をきたさない応用例では、アルゴン等
原子質量のより大きい原子種からなるキャリアガスをヘ
リウムの代わりに用いてもよい。しかし、本プロセスの
一利点はスパッタリングを必要としないこと、従ってヘ
リウムより重い原子種を有するキャリアガスを必要とし
ないことである。
【0027】(好ましくは水素含有プラズマからの)第2
大気はコンタクトあるいはバイア開口部16からフッ素
残留物をすべて除去するのに必要なだけ長く維持され
る。1分で十分であることが確認されている。
【0028】水素ヘリウムガス混合物は第2流量調節計
42によって調節される流量で第2ガス供給タンク40
により供給され、次に前述のガス吸気口28を介してチ
ャンバ上部に注入される。主コントローラ44、好まし
くはマイクロコンピュータ等従来のプログラム可能なコ
ントローラ、は2つの流量調節計26、42の流量、2
つの高周波電源の電力出力レベル、チャンバ圧力を制御
する絞り弁(図示せず)を制御するのが好ましい。主コ
ントローラは、オペレータが本特許明細書で述べられた
これらおよびその他のプロセスパラメータをすべて調節
できるようプログラムされているのが好ましい。
【0029】次に基板は従来の金属堆積チャンバに搬送
され、金属50(図4)が開口部16(図1)に堆積され
て半導体あるいは金属領域12の露出接触区域17と電
気的に接触可能になる。領域12が金属である場合に
は、介在ステップ(ステップ103〜105)なしに単
にアルミ、タングステン、あるいは銅等所望の金属50
を各プラグあるいはバイア開口部16に充填するだけで
よい(図2、ステップ106)。
【0030】また、金属50を堆積して開口部16を充
填する前に、従来の補助的ステップ(図2、ステップ1
05)は開口部の両側壁部に湿潤あるいは粘着層52
(図4)を堆積してもよいが、これは金属50が開口部
16を充填している際に金属が誘電体14の側壁部から
離れた場合に生ずることのあるプラグ内の空隙形成を防
止する。湿潤層は通常窒化チタンあるいはチタン・タン
グステン合金等のチタンあるいはチタン含有化合物ある
いは合金からなる。湿潤層の堆積プロセスは一般譲渡米
国特許第5,371,042号(オング)、第5,44
3,995号(ナルマン)、および第5,991、11
3号(ヤオ)に記載されており、それぞれの内容はすべ
て本明細書に参考として併合する。
【0031】領域12がシリコンその他の半導体である
場合、半導体への金属50の拡散を防ぐため、プラグ金
属50を堆積する(ステップ106)前に半導体12に
「拡散障壁層」54を堆積する(図2、ステップ103)
必要があることが多い。障壁層は普通窒化チタンであ
る。障壁層は障壁薄膜の空孔率を最小限とするためアニ
ールされることが多い(ステップ104)。
【0032】また、半導体材料12と開口部の残りの部
分を充填する金属50間の電気的接触50を良好にする
ため、半導体材料と耐熱金属の化合物からなる「接触
層」56を半導体領域12に直接形成する必要があるこ
とが多い。シリコンの場合、化合物は耐熱金属のケイ化
物である。シリコンウェーハ製造に際し、耐熱金属ケイ
化物層52は従来チタン、モリブデンあるいはタングス
テン等の耐熱金属層をシリコン接触区域に堆積し (図
2、ステップ103)、次にシリコン原子がシリコン領
域12から耐熱金属に拡散して耐熱金属ケイ化物の薄層
を形成するに十分な高い温度で基板をアニールする(ス
テップ104)ことによって形成される。
【0033】ケイ化チタン化合物層の堆積プロセスは米
国特許第5,525,543号(チェン)および一般譲
渡米国特許第5,378,660号(ンガン)に記載さ
れており、それぞれの内容はすべて本明細書に参考とし
て併合する。拡散障壁はそれぞれ上述のオング、ナルマ
ン、ンガン特許および一般譲渡米国特許第5,108,
569号(ギルボア)に記載されており、全内容はすべ
て本明細書に参考として併合する。ンガン特許は窒素大
気中でチタン層をアニールし、チタンが下にあるシリコ
ンと接触する窒化チタン接触層とチタンの表面が窒素に
露出される窒化チタン障壁層を同時に形成するプロセス
について記載している。
【0034】図4は開口部16の側壁部ではなく底部の
接触層56および障壁層54を示す。逆に、図4は開口
部の底部ではなく側壁部の湿潤層52を示す。実際に
は、接触層および障壁層材料の一部は必ず側壁部に堆積
しなければならないし、湿潤層材料の一部は開口部の底
部に堆積されることになる。事実、 窒化チタン等二三
の材料は開口部の底部および側壁部双方に堆積された場
合に障壁層54としてまた湿潤層52として機能するこ
とがある。
【0035】従来の耐熱金属ケイ化物形成プロセスの重
大な欠点は、電気抵抗が十分低い金属プラグ52とシリ
コン領域12間の電気的接触を実現するのに極めて高い
アニール温度 (ステップ104)を必要とする場合があ
ることである。本プレクリーンプロセス―水素プラズマ
(ステップ102)が先のフッ素プラズマ洗浄ステップ
(ステップ101)からのフッ素残留物を捕捉する―は必
要アニール温度を低下させて電気抵抗を所望の値に低減
する(ステップ104)ことが分かった。水素プラズマ捕
捉ステップ(ステップ102)のない従来のプロセスに対
する本発明の利点は以下の例によって明示される。
【0036】本洗浄プロセス(ステップ101、102)
および次の金属堆積・アニールプロセス(ステップ10
3〜106)は従来の一体型マルチチャンバ処理装置で
実施し、汚染物質のない真空環境にありながらも基板が
一方のプロセスチャンバから他方のプロセスチャンバに
搬送可能であるようにする。図5は本発明の譲受人から
購入可能な好適なマルチチャンバ装置を示す。中央コン
トローラあるいはマイクロコンピュータ44は装置の全
構成要素を制御する。前述のように、洗浄プロセス(ス
テップ101、102)は従来のプレクリーンチャンバ
20で実施され、ガス流量その他のプロセスパラメータ
はコントローラ44によって制御される。次にコントロ
ーラはロボット60を指令し基板をプレクリーンチャン
バからスパッタ堆積チャンバ62等の金属堆積チャンバ
に搬送する。接触金属層56および/または障壁層54
はコントローラ44によって調節されるプロセスパラメ
ータに従って該チャンバ内で堆積される(ステップ10
3)。コントローラは次にロボット60、64に命令し
て基板を高温アニールチャンバ66に搬送させ、ここで
コントローラは基板を所望のアニール時間および温度に
保持する(ステップ104)。コントローラは次にロボッ
ト60、64に命令して基板を同じ金属堆積チャンバ6
2あるいは別の金属堆積チャンバ68に戻し湿潤層を堆
積し(ステップ105)、プラグあるいはバイアの残り
の部分を充填する金属50を堆積する(ステップ10
6)。最後に、コントローラはロボット60、64に命
令して基板をロードロックチャンバ70に搬送させ、こ
こから基板は真空装置から外部の保管カセットに搬送可
能である。
【0037】 本洗浄プロセスと、チタンをシリコンに堆積する前にシ
リコン薄膜を洗浄する例示的応用例における異なる3つ
の洗浄プロセスとを比較した。基板をアニールしてチタ
ンとシリコンの境界にケイ化チタンを形成した後、チタ
ンとシリコン薄膜間のシート抵抗を測定することによっ
て各洗浄プロセスの有効度を判断した。
【0038】抵抗測定を簡単にするため基板に誘電体は
堆積しなかった。その代わり、環境大気に露出され自然
酸化物の外側層が発生したむき出しのシリコンウェーハ
をそのまま用いた。自然酸化物を除去するため4つの洗
浄プロセスの1つを実施した後、従来のスパッタ堆積プ
ロセスを用いて基板に150Åのチタン層を堆積した。
次に20秒間基板をアニールしチタンへのシリコン原子
の拡散を促進してケイ化チタンを形成した。比較のため
別の基板を600℃と675℃の温度でアニールした。
最後にチタン薄膜とシリコン基板間のシート抵抗(Ω/
平方)を測定した。試験結果を表1に示す。
【0039】表1において、項目「HFウェットディッ
プ」はフッ化水素酸液に基板を浸漬するプロセスを示
す。ウェット洗浄は基板を環境大気からの汚染物質に露
出するので望ましくないが、HFウェット洗浄は参考と
して取り上げられ、別の洗浄方法と比較される。
【0040】表1において、項目「NF3」は流量50
sccmのNF310%、He90%のガス供給を行っ
て図3に示すチャンバで実施するプラズマ洗浄プロセス
を示す。チャンバ圧力は50mT、誘導コイルへの高周
波電力は周波数2MHzで300ワット、サセプタへの
高周波電力(バイアス電力)は周波数13.56MHzで
300ワットであった。プロセスは60秒間実施され
た。
【0041】項目「Arスパッタ」はNF3/Heプラ
ズマ洗浄プロセスから残ったフッ素残留物を除去するア
ルゴンスパッタ洗浄プロセスを示す。アルゴンガスは流
量5sccmでプラズマチャンバに供給された。チャン
バ圧力は0.6mT、誘導コイルおよびサセプタへの高
周波電力はそれぞれ2MHz、13.56MHzで各々
100ワットであり、プロセスは60秒間実施された。
【0042】項目「H2」はNF3/Heプラズマ洗浄プ
ロセスから残ったフッ素残留物を捕捉する本水素含有プ
ラズマプロセスを示す。図3に示すチャンバを用いて、
ガス供給は流量100sccmでH25%、He95%
であった。チャンバ圧力は80mT、誘導コイルおよび
サセプタへの高周波電力はそれぞれ2MHz、13.5
6MHzで各々300ワットであり、プロセスは60秒
間実施された。
【表1】
【0043】最悪の結果(シート抵抗最大)だったのは
自然酸化物がまったく洗浄されない場合、また自然酸化
物がNF3プラズマステップのみによって洗浄され、次
のフッ素残留物を除去するステップがない場合である。
アニールが675℃で実施された場合、結果はNF3
ラズマ洗浄後のアルゴンスパッタリングでも水素プラズ
マ捕捉でも同様に良好であった。しかし、アニールが6
00℃で実施された場合、本発明の水素プラズマを用い
てフッ素残留物を捕捉するプロセスは、シート抵抗(3
3Ω/平方)がアルゴンスパッタリングでフッ素残留物
を除去するよりほぼ3倍向上した。さらに、本プロセス
は600℃アニール後のシート抵抗が675℃アニール
後の抵抗とほぼ同程度に良好であった。
【0044】これらの試験結果が示すのは、本プロセス
が試験した他のプロセスに対して少なくとも3つの利点
を有することである。第1の利点は本プロセスが耐熱金
属と下にある半導体間の電気抵抗を低減することであ
る。
【0045】第2の利点は本プロセスによってアニール
がコンタクトの抵抗をやや犠牲にするだけで低温で実施
可能となることである。多くの応用例においては、アニ
ール温度を低減し得ることはコンタクトの誘電体側壁へ
の金属原子の拡散を最小限にするため有用である。
【0046】第3の利点は本プロセスがアルゴンスパッ
タリングと同程度かこれより低い電気抵抗を実現し、こ
れにより誘電体をアルゴン等の原子の衝突によるスパッ
タリング損傷の危険にさらす必要がなくなる。事実、こ
うした誘電体のスパッタリング損傷を防ぐため、本プロ
セスで用いるガス混合物はBCl3、アルゴン、および
原子質量がアルゴンの原子質量より重い元素の化合物を
除外している。
【図面の簡単な説明】
【図1】本発明のプロセスを実施可能な基板の断面図で
ある。
【図2】本発明によるプレクリーン・金属堆積プロセス
のフローチャートである。
【図3】本発明の洗浄プロセスを実施するに好適なプラ
ズマチャンバの一部略示の断面図である。
【図4】金属プラグをシリコン領域の露出接触区域に堆
積した基板の断面図である。
【図5】洗浄および金属堆積を実施するマルチチャンバ
装置の一部略示の上面図である。
【符号の説明】
10・・・基板、12・・・半導体あるいは金属領域、14・・
・誘電層、16・・・開口部、17・・・接触区域、18・・・自
然酸化物、20・・・プレクリーンチャンバ、22・・・上部
壁、23・・・下部壁、24、40・・・ガス供給タンク、2
6、42・・・流量調節計、32・・・高周波電源、36・・・
サセプタ、54・・・障壁層、56・・・接触層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 バーニー エム. コーヘン アメリカ合衆国, カリフォルニア州, サンタ クラ ラ, マリエッタ ドライ ヴ 2931 (72)発明者 ジンガン スー アメリカ合衆国, カリフォルニア州, サニーヴェイ ル, クレセント アヴェ ニュー 455 ナンバー2 3 (72)発明者 ケニー キン−タイ ヌギャン アメリカ合衆国, カリフォルニア州, フリーモント , キャメロン ヒルズ ドライヴ 43793 (72)発明者 ジェイアール−ジアン チェン アメリカ合衆国, カリフォルニア州, サンタ クラ ラ, プルーンリッジ ア ヴェニュー 3825

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 半導体ワークピ−ス上の金属あるいは半
    導体領域の接触区域の洗浄方法であって、前記方法は:
    露出接触区域を有する金属あるいは半導体材料の少なく
    とも1つの領域を含む半導体ワークピ−スを供給するス
    テップと;ワークピ−スをフッ素含有ガスを含む第1ガ
    ス混合物のプラズマ分解によって生成される第1大気に
    露出するステップと;ワークピ−スを第1大気に露出す
    るステップに続いて、ワークピ−スをフッ素を捕捉する
    第2大気に露出するステップと、を備える、接触区域の
    洗浄方法。
  2. 【請求項2】 半導体ワークピ−ス上の金属あるいは半
    導体領域の接触区域の洗浄方法であって、前記方法は:
    露出接触区域を有する金属あるいは半導体材料の少なく
    とも1つの領域を含む半導体ワークピ−スを供給するス
    テップと;ワークピ−スをフッ素含有ガスを含む第1ガ
    ス混合物のプラズマ分解によって生成される第1大気に
    露出するステップと;ワークピ−スを第1大気に露出す
    るステップに続いて、ワークピ−スを水素含有ガスを含
    む第2ガス混合物のプラズマ分解によって生成される第
    2大気に露出するステップと、を備える、接触区域の洗
    浄方法。
  3. 【請求項3】 ワークピ−スを第2大気に露出するステ
    ップは十分長時間継続され、略すべてのフッ素を前記接
    触区域から除去する、請求項2記載の接触区域の洗浄方
    法。
  4. 【請求項4】 水素含有ガスはH2である、請求項2記
    載の接触区域の洗浄方法。
  5. 【請求項5】 第2ガス混合物はヘリウムをさらに含
    む、請求項2記載の接触区域の洗浄方法。
  6. 【請求項6】 第2ガス混合物は基本的にH2およびヘ
    リウムガスからなる、請求項2記載の接触区域の洗浄方
    法。
  7. 【請求項7】 ワークピ−スを第1大気に露出するステ
    ップは十分長時間継続され、略すべての自然酸化物を前
    記接触区域から除去する、請求項2記載の接触区域の洗
    浄方法。
  8. 【請求項8】 第1ガス混合物はアルゴンを含まない、
    請求項2記載の接触区域の洗浄方法。
  9. 【請求項9】 第1ガス混合物はBCl3、アルゴン、
    あるいは原子質量がアルゴンの原子質量より大きい元素
    の化合物を含まない、請求項2記載の接触区域の洗浄方
    法。
  10. 【請求項10】 第1ガス混合物はNF3、C26、S
    6から選択される少なくとも1つのガスを含む、請求
    項2記載の接触区域の洗浄方法。
  11. 【請求項11】 第1ガス混合物はヘリウムをさらに含
    む、請求項2記載の接触区域の洗浄方法。
  12. 【請求項12】 金属あるいは半導体材料はチタンであ
    る、請求項2記載の接触区域の洗浄方法。
  13. 【請求項13】 金属あるいは半導体材料はシリコンで
    ある、請求項2記載の接触区域の洗浄方法。
  14. 【請求項14】 ワークピ−ス上の半導体領域の接触区
    域への金属の堆積方法であって、前記方法は:露出接触
    区域を有する半導体材料の少なくとも1つの領域を含む
    ワークピ−スを供給するステップと;ワークピ−スをフ
    ッ素含有ガスを含む第1ガス混合物のプラズマ分解によ
    って生成される第1大気に露出するステップと;ワーク
    ピ−スを第1大気に露出するステップに続いて、ワーク
    ピ−スをフッ素を捕捉する第2大気に露出するステップ
    と;前記金属を接触区域に堆積させるステップと、を備
    える、接触区域への金属の堆積方法。
  15. 【請求項15】 ワークピ−ス上の半導体領域の接触区
    域への金属の堆積方法であって、前記方法は:露出接触
    区域を有する半導体材料の少なくとも1つの領域を含む
    ワークピ−スを供給するステップと;ワークピ−スをフ
    ッ素含有ガスを含む第1ガス混合物のプラズマ分解によ
    って生成される第1大気に露出するステップと;ワーク
    ピ−スを第1大気に露出するステップに続いて、ワーク
    ピ−スを水素含有ガスを含む第2ガス混合物のプラズマ
    分解によって生成される第2大気に露出するステップ
    と;前記金属を接触区域に堆積させるステップと、を備
    える、接触区域への金属の堆積方法。
  16. 【請求項16】 半導体材料および金属の原子を相互に
    拡散するに十分な温度および時間で基板をアニールする
    ステップをさらに含み、金属は耐熱金属である、請求項
    15記載の接触区域への金属の堆積方法。
  17. 【請求項17】 前記温度は675℃以下である、請求
    項16記載の接触区域への金属の堆積方法。
  18. 【請求項18】 前記温度は600℃以下かこれに等し
    い、請求項16記載の接触区域への金属の堆積方法。
  19. 【請求項19】 金属はチタンである、請求項15記載
    の接触区域への金属の堆積方法。
  20. 【請求項20】 半導体材料はシリコンである、請求項
    15記載の接触区域への金属の堆積方法。
  21. 【請求項21】 ワークピ−スを第2大気に露出するス
    テップは十分長時間継続され、略すべてのフッ素を前記
    接触区域から除去する、請求項15記載の接触区域への
    金属の堆積方法。
  22. 【請求項22】 水素含有ガスはH2である、請求項1
    5記載の接触区域への金属の堆積方法。
  23. 【請求項23】 第2ガス混合物はヘリウムをさらに含
    む、請求項15記載の接触区域への金属の堆積方法。
  24. 【請求項24】 第2ガス混合物は基本的にH2および
    ヘリウムガスからなる、請求項15記載の接触区域への
    金属の堆積方法。
  25. 【請求項25】 第1ガス混合物はアルゴンを含まな
    い、請求項15記載の接触区域への金属の堆積方法。
  26. 【請求項26】 第1ガス混合物はBCl3、アルゴ
    ン、あるいは原子質量がアルゴンの原子質量より大きい
    元素の化合物を含まない、請求項15記載の接触区域へ
    の金属の堆積方法。
  27. 【請求項27】 ワークピ−スを第1大気に露出するス
    テップは十分長時間継続され、略すべての自然酸化物を
    前記接触区域から除去する、請求項15記載の接触区域
    への金属の堆積方法。
  28. 【請求項28】 第1ガス混合物はNF3、C26、S
    6から選択される少なくとも1つのガスを含む、請求
    項15記載の接触区域への金属の堆積方法。
  29. 【請求項29】 第1ガス混合物はヘリウムをさらに含
    む、請求項15記載の接触区域への金属の堆積方法。
JP2000267597A 1999-09-03 2000-09-04 連続フッ素および水素プラズマによるコンタクト洗浄 Withdrawn JP2001144028A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/390135 1999-09-03
US09/390,135 US6313042B1 (en) 1999-09-03 1999-09-03 Cleaning contact with successive fluorine and hydrogen plasmas

Publications (1)

Publication Number Publication Date
JP2001144028A true JP2001144028A (ja) 2001-05-25

Family

ID=23541224

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000267597A Withdrawn JP2001144028A (ja) 1999-09-03 2000-09-04 連続フッ素および水素プラズマによるコンタクト洗浄

Country Status (6)

Country Link
US (1) US6313042B1 (ja)
EP (1) EP1081754A3 (ja)
JP (1) JP2001144028A (ja)
KR (1) KR20010039859A (ja)
SG (1) SG91300A1 (ja)
TW (1) TW483103B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003059897A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc 自然酸化膜除去方法
JP2009111351A (ja) * 2007-09-07 2009-05-21 Applied Materials Inc Hdp−cvd堆積/エッチング/堆積プロセスの不純物コントロール
US7807585B2 (en) 2007-05-15 2010-10-05 Canon Anelva Corporation Method of fabricating a semiconductor device
JP2013502736A (ja) * 2009-08-24 2013-01-24 エコール ポリテクニク シリコン基板表面の洗浄方法
JP2014107405A (ja) * 2012-11-27 2014-06-09 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
KR20160027925A (ko) * 2014-08-29 2016-03-10 램 리써치 코포레이션 고 종횡비의 구조체들의 콘택 세정
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
JP2018531484A (ja) * 2015-10-01 2018-10-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理装置および方法

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
KR100360399B1 (ko) * 2000-03-07 2002-11-13 삼성전자 주식회사 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6457477B1 (en) * 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
JP2004342632A (ja) * 2003-05-13 2004-12-02 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US7361605B2 (en) * 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070082494A1 (en) * 2005-10-03 2007-04-12 United Microelectronics Corp. Method for forming silicide layer
US20070077720A1 (en) * 2005-10-04 2007-04-05 Infineon Technologies Ag Manufacturing method for an integrated semiconductor structure and corresponding integrated semiconductor structure
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN101740477B (zh) * 2008-11-11 2012-02-29 中芯国际集成电路制造(北京)有限公司 通孔及双镶嵌结构的形成方法
JP5770740B2 (ja) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8252679B2 (en) * 2010-02-10 2012-08-28 United Microelectronics Corp. Semiconductor process
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8263468B2 (en) 2010-04-24 2012-09-11 International Busienss Machines Corporation Thin body semiconductor devices
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9269562B2 (en) 2013-01-17 2016-02-23 Applied Materials, Inc. In situ chamber clean with inert hydrogen helium mixture during wafer process
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR102396247B1 (ko) * 2014-08-12 2022-05-09 도쿄엘렉트론가부시키가이샤 기판 처리 방법
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
SG11202111962QA (en) 2019-05-01 2021-11-29 Lam Res Corp Modulated atomic layer deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JP2626913B2 (ja) * 1988-07-29 1997-07-02 三菱電機株式会社 シリコン表面の処理方法
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
WO1993017453A2 (en) * 1992-02-26 1993-09-02 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JP3397505B2 (ja) * 1995-04-19 2003-04-14 株式会社東芝 半導体装置の製造方法
US5770263A (en) 1995-11-08 1998-06-23 Micron Technology, Inc. Method for in situ removal of particulate residues resulting from hydrofluoric acid cleaning treatments
KR19980064028A (ko) * 1996-12-12 1998-10-07 윌리엄비.켐플러 금속의 사후 에칭 탈플루오르 저온 공정
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003059897A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc 自然酸化膜除去方法
US7807585B2 (en) 2007-05-15 2010-10-05 Canon Anelva Corporation Method of fabricating a semiconductor device
KR101157938B1 (ko) 2007-05-15 2012-06-22 캐논 아네르바 가부시키가이샤 반도체 소자 제조 방법
JP2009111351A (ja) * 2007-09-07 2009-05-21 Applied Materials Inc Hdp−cvd堆積/エッチング/堆積プロセスの不純物コントロール
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
JP2013502736A (ja) * 2009-08-24 2013-01-24 エコール ポリテクニク シリコン基板表面の洗浄方法
JP2014107405A (ja) * 2012-11-27 2014-06-09 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
KR20160027925A (ko) * 2014-08-29 2016-03-10 램 리써치 코포레이션 고 종횡비의 구조체들의 콘택 세정
JP2016051900A (ja) * 2014-08-29 2016-04-11 ラム リサーチ コーポレーションLam Research Corporation 高アスペクト比構造におけるコンタクト洗浄
KR102402866B1 (ko) 2014-08-29 2022-05-26 램 리써치 코포레이션 고 종횡비의 구조체들의 콘택 세정
JP2018531484A (ja) * 2015-10-01 2018-10-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理装置および方法

Also Published As

Publication number Publication date
TW483103B (en) 2002-04-11
EP1081754A3 (en) 2001-12-05
EP1081754A2 (en) 2001-03-07
SG91300A1 (en) 2002-09-17
US6313042B1 (en) 2001-11-06
KR20010039859A (ko) 2001-05-15

Similar Documents

Publication Publication Date Title
JP2001144028A (ja) 連続フッ素および水素プラズマによるコンタクト洗浄
JP4932075B2 (ja) 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
US6890853B2 (en) Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100842463B1 (ko) 기판의 유전체층을 사전 세정하기 위한 방법
KR101352995B1 (ko) 수소-함유 라디칼을 이용한 자연 산화물 세정
US7585777B1 (en) Photoresist strip method for low-k dielectrics
KR100267698B1 (ko) 에칭처리방법 및 에칭의 후처리방법 및 에칭설비
JP2000315685A (ja) 高アスペクト比開口部の反応性プラズマエッチクリーニング
JP2024102093A (ja) 間隙充填堆積プロセス
TWI494977B (zh) 由基板表面移除污染物與原生氧化物之方法
US20110130007A1 (en) In-situ clean to reduce metal residues after etching titanium nitride
US6325861B1 (en) Method for etching and cleaning a substrate
KR20040033309A (ko) 플라즈마 처리 방법
JP3887123B2 (ja) ドライエッチング方法
JPH06236864A (ja) エッチング処理方法及びエッチングの後処理方法並びにエッチング設備
JPH04364731A (ja) エッチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071106