JPH02256235A - 表面処理方法 - Google Patents

表面処理方法

Info

Publication number
JPH02256235A
JPH02256235A JP27857189A JP27857189A JPH02256235A JP H02256235 A JPH02256235 A JP H02256235A JP 27857189 A JP27857189 A JP 27857189A JP 27857189 A JP27857189 A JP 27857189A JP H02256235 A JPH02256235 A JP H02256235A
Authority
JP
Japan
Prior art keywords
gas
substrate
oxide film
reaction vessel
surface treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP27857189A
Other languages
English (en)
Other versions
JP2981243B2 (ja
Inventor
Hirotake Nishino
弘剛 西野
Nobuo Hayasaka
伸夫 早坂
Haruo Okano
晴雄 岡野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26552927&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH02256235(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP1278571A priority Critical patent/JP2981243B2/ja
Publication of JPH02256235A publication Critical patent/JPH02256235A/ja
Application granted granted Critical
Publication of JP2981243B2 publication Critical patent/JP2981243B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 (産業上の利用分野) 本発明は、半導体素子の製造に用いることのできる被処
理基体の表面処理技術に係り、特に表面に酸化膜を有す
る被処理基体の前記酸化膜をドライにエツチングあるい
は除去する表面処理技術に関する。
(従来の技術) 従来、シリコン等の半導体基体の半導体素子を製造する
場合に、前記基体あるいは前記基体上の半導体膜、金属
膜等の表面に形成される自然酸化膜が問題となっている
。この自然酸化膜は基体を大気にさらしたり、基体を製
造装置間で受は渡したりすることにより簡単に形成され
るものである。前記自然酸化膜は、ごく薄い膜(例えば
シリコンの自然酸化膜は厚さ5〜20人、2〜3原子層
の酸化物膜)であるがこの膜が半導体素子の製造プロセ
スあるいは素子の特性に多大の影響を与える。
例えば半導体基板等に形成されたある材料を他の材質の
異なる材料例えばシリコン酸化膜に対して選択的にエツ
チング除去する選択エツチングや半導体基板等の基板表
面の特定な部分例えばコンタクトホールやスルーホール
のみに選択的に膜を堆積せしめる選択CVD等の製造プ
ロセスで問題となる。
すなわち、前記選択エツチングや選択CVDは、種類の
異なる材料の性質の違いを利用して行なうものであるの
で例えば、選択エツチングにおいて電気的に中性な原子
、分子を用いてシリコン等の半導体やその窒化物あるい
はアルミ等金属とそれらの酸化物をエツチングする場合
、シリコンやアルミ塩素ガス、シリコン窒化物は塩素と
弗素の混合ガス等により容易にエツチングされるが、そ
れらの酸化物は全くエツチングされず選択エッチが可能
であるが、前記半導体や金属表面に自然酸化膜が形成さ
れていると前記選択性は低下し、良好な選択エツチング
は行なえない。
また、最近、高選択エツチングを行なうために基板の冷
却手段を有するマグネトロン型の反応性イオンエツチン
グ装置を用い、前記冷却手段によす基板を冷却しながら
エツチングを行なうものが知られている。これは1例え
ば塩素系のガスによりシリコン基板をシリコン酸化膜に
対して選択的にエツチングする場合、エツチング生成物
の5iCQ4や、 これがマグネトロンプラズマにより
分解されて生じた5LCI2x(x= 1〜3)は極性
を有するシリコン酸化膜表面では吸着が起こし易く、こ
れが吸着層となって、エツチングを抑制し、一方、シリ
コン基板では吸着層が形成され難いことを利用し、これ
により高選択エツチングが達成されるのである。この場
合も、前記シリコン基板上に自然酸化膜が形成されてい
ると選択性は低下してしまう。
また、選択CVDの場合も、例えばWF、と水素ガスあ
るいはシラン系ガスを用いて基板温度を適度に保つとシ
リコンや金属表面とは前記ガスが反応を生じタングステ
ンが堆積するが、それらの酸化物とは反応が生じずその
上には堆積しないことを利用して選択CVDが達成され
るが、この場合も前記シリコンや金属表面に自然酸化膜
があると選択性は低下し、良好な選択CVDは行なえな
い。
さらに前記コンタクトホールやスルーホールへの選択C
VDにより配線、電極材料を埋め込む場合、自然酸化膜
があると抵抗が増大してしまい、素子動作の高速性を劣
化させてしまうという問題もある。
このような選択性低下の問題は、化学反応を主体とした
プロセスにおいて特に顕著であるが、反応性イオンエツ
チング等化学反応にイオンとエネルギーを与える物理的
作用が加味されたようなプロセスにおいても同様に生じ
る。
このように基板表面に自然酸化膜が形成されているとエ
ツチングや堆積において前記選択性が得られず良好なエ
ツチングや堆積が行なえない等の問題があるが、現状で
は、これらの自然酸化膜に対する処理を行なっていない
。しかしながら、今後素子の微細化に伴ないより高選択
なエツチングや堆積を行なうために、前記自然酸化膜を
簡便に除去し得る技術が望まれている。
さらに、またエツチングやCVDの他の製造プロセスの
酸化、エピタキシャル成長、気相拡散等においても自然
酸化膜が基体表面に形成されているとは問題となる。
すなわち、酸化膜の形成、特にゲート酸化膜の形成にお
いてはその膜の高品質化および膜厚の均一性が求められ
る。しかしながら前記酸化膜を高温の熱処理により形成
する前に自然酸化膜が存在していると、常温で生じる膜
質の悪い前記自然酸化膜をとり込みながらゲート酸化膜
が形成され膜質の劣化が生じたり、前記自然酸化膜の膜
厚が不均一で再現性がないためにその後形成される前記
ゲート酸化膜の膜厚も不均一となる等の問題がある。
また、シリコン等の基板にエピタキシャル成長を行なう
場合においては、前記基板表面は自然酸化膜が存在する
ことなく清浄な状態でなければ良好なシリコン膜は得ら
れない。実際には、前処理としてウェット洗浄で有機汚
染物、金属汚染物等を除去した後、エピタキシャル装置
内を高真空とし、塩化水素あるいは水素ガスを流しなが
ら加熱して自然酸化膜を一酸化ケイ素にして昇華し除去
する方法が行なわれているが、前記加熱時に装置の器壁
から汚染物が脱離して基板に付着してしまうという問題
があった。
さらに、ヒ素やリン等の不純物を気相、あるいは固相拡
散によりシリコン等の基板内に拡散する場合にも自然酸
化膜が表面に存在しているとそれが障壁となって拡散の
効率が低下するという問題があった。
前述してきたように、自然酸化膜が限らず半導体素子の
製造プロセスが形成された酸化膜をエツチングあるいは
除去する場合もある。
例えばDRAMにおいては容量を減少せずに占有面積を
小とするようにシリコン基板に溝を形成し、その表面に
酸化膜を設けるいわゆるトレンチキャパシタの形成技術
が行なわれている。ここで、前記溝の角部での電界集中
による絶縁破壊を避けるために、−旦900℃以上の高
温での酸化(犠牲酸化)した後、酸化膜を剥離して前記
溝の表面荒れをなくし角部を丸めた後、新たな酸化膜を
形成し、前記角部での電界集中が生じないようにするプ
ロセスがある。この場合、前記酸化膜の剥離は下地のシ
リコン基板にダメージを与えることなく、酸化膜のみを
選択的に除去することが望まれる。
さらにシリコン基板の溝の内壁に電極を形成する場合、
不純物を含むシリコン酸化物をCVD法により堆積した
後、加熱してシリコン基板内へ前記不純物を拡散させる
。拡散後前記シリコン酸化物は前記と同様下地のシリコ
ン基板にダメージを与えることなく選択的に除去するこ
とが望まれる6あるいは、シリコン基板等の基体上に酸
化膜を形成し、前記酸化膜の一部をエツチングしてコン
タクトホールあるいはスルーホールを形成する場合など
でも前記酸化膜のエツチングは、コンタクト抵抗が増大
しないように下地の基体にダメージを与えないエツチン
グ処理が必要である。
さらにまた、シリコン等の酸化物がプロセスの繰り返し
により被処理基体の裏面や被処理基体の処理容器の内壁
に付着することがある。例えば、シリコン系の堆積ガス
を処理容器中に導入する場合等であるが、前述した酸化
物は剥れてゴミとなり、被処理基体に付着し、歩留りの
低下を招くので、被処理基体を処理する随に前記酸化物
を簡易に除去することが望まれている。
そして、前記酸化物は金属、重金属等が含まれていたり
、長時間大気にさらされていると膜厚が厚くなるので、
除去されなければならない。この酸化物の除去は通常フ
ッ酸、あるいはフッ酸フッ化アンモニウム緩衝液のウェ
ット処理により行なわれる。これによれば、下地にダメ
ージを与えることなく酸化物をシリコン等に対して選択
的に除去することができる。
しかしながら、前記ウェット処理では、アスペクト化(
開口径に対する深さの比)の高い溝の内部の酸化物に対
しては、表面張力のため液が溝内部に侵入し憲く、除去
できない、あるいは前記ウェット処理により反応生成物
としてケイ酸が生じ、その後の純水リンスが不十分だと
前記ケイ酸がコロイドとなり5基体表面にシミとなって
残ってしまう。あるいは前記フッ酸、フッ酸アンモニウ
ム緩衝液は毒性が強く、その取り扱いが難しい等の問題
がある。さらにまた、ウェット処理後、被処理基板は通
常大気にさらされるため自然酸化膜が再び形成されてし
まう。
あるいは前記ウェット処理による問題を解決する方法と
して前記酸化物の除去をガスによるドライ処理で行なう
こともある。例えば、シリコン等の酸化物はフッ素原子
によりエツチングが可能である。しかしながら前記フッ
素原子はシリコン、金属も同様にエツチングされてしま
い十分な選択性が得られない。
(発明が解決しようとする課題) 前述したように半導体素子の製造における選択エツチン
グや選択CVDを行なう場合に、基体上に形成される自
然酸化膜のために選択性が低下したり、素子の抵抗の増
大を招くので前記自然酸化膜の除去が望まれ、また酸化
、エピタキシャル成長、拡散等の製造プロセスにおいて
も自然酸化膜を除去することが望まれている。
また、自然酸化膜に限らず、製造プロセスで形成された
酸化膜をエツチングあるいは除去する場合、下地にダメ
ージを与えることなく前記酸化膜のみを選択的にドライ
処理で除去することが望まれている。
本発明は、上記した従来の問題点を解決するもので、自
然酸化膜あるいはその他の酸化物を良好にエツチング、
あるいは除去し得る表面処理方法及び装置を提供するこ
とを目的とするものである。
〔発明の構成〕
(課題を解決するための手段) 本発明は前記目的を達成するために、基体表面に酸化膜
が形成された被処理基体を収納する真空排気可能な反応
容器と、前記反応容器内にハロゲン元素を含むガス及び
塩基性ガスを導入するガス導入手段を有する表面処理装
置(第1の発明)、被処理基体を収納し、前記被処理基
体にエツチング、堆積、酸化、拡散、エピタキシャル成
長等の加工を施す処理室と、前記処理室での加工の前又
は後に前記被処理基体表面の酸化膜を除去するためのハ
ロゲン元素を含むガス及び塩基性のガスあるいはハロゲ
ン塩ガスを供給する手段とを備えたことを特徴とする表
面処理装置(第2の発明)、及び 基体表面に酸化膜が形成された被処理体を真空排気可能
な反応容器に収納し、前記反応容器内にハロゲン元素を
含むガスと塩基性ガスの少なくとも一方を前記反応容器
とは別の領域あるはいは反応容器内で励起してそれらガ
スを前記反応容器内に供給して前記被処理基体の酸化膜
を除去することを特徴とする表面処理方法(第3の発明
)を提供する。
また、本発明は基体表面に金属あるいは半導体の酸化膜
が形成された被処理基体を反応容器内に収納し、ハロゲ
ン元素を含む第1のガスと、O9S、Cのいずれかの元
素と水素元素を含む無機化合物の単一ガスもしくは混合
ガス、あるいは構成元素がC,H元素のみであるかもし
くは構成元素がO元素を含む有機化合物ガスのいずれか
のガスを含む第2のガスの少くとも一方を前記反応容器
とは別の領域で励起して、それらガスを前記反応容器内
に供給して前記基体表面の酸化膜を除去することを特徴
とする表面処理方法(第4の発明)及び。
基体表面に金属あるいは半導体の酸化膜が形成された被
処理基体を反応容器内に収納し、ハロゲン元素を含む第
1のガスと、O,S、Cのいずれかの元素と水素元素を
含む無機化合物の単一ガスもしくは混合ガス、あるいは
構成元素がC,H元素のみであるか、もしくは構成元素
に0元素を含む有機化合物ガス、のいずれかのガスを含
む第2のガスと、O元素もしくはハロゲン元素とS、C
B、N、P、’ Asのいずれかの元素を含む第3のガ
スのうち、少くとも1つのガスを前記反応容器とは別の
領域で励起し、前記第1のガス、第2のガス及び第3の
ガスを前記反応容器内に供給して前記基体表面の酸化膜
を除去することを特徴とする表面処理方法(第5の発明
)を提供する。
(作用) 本願は第1乃至第3の発明によればハロゲン元素を含む
ガスと塩基性ガスによりハロゲン塩が生成され、これが
被処理体の酸化膜と反応し、あるいはハロゲン塩ガスが
直接酸化膜と反応して、前記酸化膜を下地にダメージを
与えることなく高選択にエツチング、除去することが可
能である。
また、第4及び第5の発明によれば、ハロゲン元素を含
む第1のガスと、O,S、Cのいずれかの元素と水素元
素を含む無機化合物の単一ガスもしくは混合ガス、ある
いは構成元素がC,Hのみであるかもしくは構成元素に
O元素を含む有機化合物ガス、のいずれかである第2の
ガス、の少くとも一方を反応容器とは別の領域で励起し
、それらガスを基体表面に金属あるいは半導体の酸化膜
が形成された反応容器内に供給するが、前記第1のガス
、前記第2のガス、及び0元素もしくはハロゲン元素と
S、C,N、P、Asのいずれかの元素を含む第3のガ
スの少くとも1つのガスを反応容器とは別の領域で励起
し、それらガスを前記容器内に供給することにより、前
記ガス前記酸化膜を反応し、前記酸化膜を下地にダメー
ジを与えることなく高選択にエツチング、除去すること
ができる。
(実施例) 晃よ立夫庭孤 まず本願用1の発明について一実施例により説明する。
第1図は1本願第1の発明の一実施例による表面処理装
置の概略図である。この装置の主要部は、真空容器11
と、この容器内に試料18を載置する試料台12と、ガ
スが導入されるガス導入口13a、 13bと、 ガス
導入口13aから導入されたガスを放電するための石英
製の放電管14と、容器内に導入されたガスを排気する
ガス排気口15と、排気されたガスの有毒成分を除去す
る排ガス処理装置16とからなる。また、容器11には
試料18に光を照射するための石英製の窓17及び図示
してはないが、真空容器11及び放電管14を加熱する
手段も備えられており、それらの器壁は100℃以上に
保たれている。これは、本処理により試料18の表面に
生ずる薄膜が真空容器11や放電管14の器壁に形成さ
れるのを防ぐためである。また試料台12には、被処理
基体18を機械的に固定する手段と、温度を一10℃〜
+200℃の間で一定に保つ手段が備えられている。放
電管14には、導波管19を介して周波数2.45GH
zのマイクロ波が印加され、 内部に無電極放電が発生
してガス導入口13aから導入されたガスを分解する。
また、窓17の代りに電子銃をとりつけ、電子線を試料
表面に照射することもできる。
次に第1図に示した装置を用いた本願用3の発明の一実
施例の酸化シリコン膜のエツチングについて述べる。第
2図は、ハロゲン元素を含むガス、塩基性のガスとして
それぞれNF3ガスとNH3ガスの混合ガスを導入口1
3aから導入したときの、 シリコン基板上に酸化シリ
コン膜が形成された試料18のシリコン基板と酸化シリ
コン膜のエツチング速度を、NF、ガスとNH,ガスの
混合比を変えて調べたものである。ここで、真空容器1
1内の圧力は一定(0,3Torr)に保ち、NF、ガ
スとNH3ガスの分圧を変えている。また、試料の温度
は約25℃であり、処理時間は10分である。
この図からNH3ガスの添加量が少ない場合、すなわち
分圧比で1以下の場合シリコン、酸化シリコンともにエ
ツチングされ、そのエツチング速度は添加量が増すにつ
れて次第に減少する。さらに添加量を増していきNH,
の分圧がNF、より大になると、試料18の表面に薄膜
が形成されるようになる。
この薄膜は、第2図に示すように、NH3の分圧が高く
なるにつれて厚くなる。また、試料18を下記薄膜の昇
華する温度以上である約100℃以上に加熱することに
より容易に昇華し、除去することができる。また1分圧
比が1以上ではシリコンは全くエツチングされない。他
方、酸化シリコンは、NH,の分圧比が高くなるにつれ
てエツチング速度が増大する。シリコン等の他の材料に
対して高選択にエツチングすることができる6 またN
H,の分圧が高い場合でも、試料が100℃以上に保た
れると薄膜は形成されなかった。
上記の薄膜は、前述のように加熱による他に、水や有機
溶媒によっても溶解し除去することができる。また、前
記薄膜の成分はXPS成析によりF元素とN元素が、 
IR分析によりNH4+、 SiF。
−が含まれていることが見出され、ハロゲン元素を含む
ガスと塩基性ガスよりなる化合物、あるいはこれらに被
処理基板の成分を含む化合物のNH4Fあるいは(NH
4)z SiF、が構成成分であることがわかった。 
このNH4Fは、NF、の放電分解により生じたF原子
とNH,が反応してHFとなり、このHFがNH,と結
合して生成したものであると考えられる。さらに、エツ
チング機端は次のように推測される。
NH4F分子の電荷分布は一様ではなく、電気陰性度の
高いF原子は負電荷を、NH4は正電極を帯びている。
同様に○原子はSi原子よりも電気陰性度が高いため、
SiO□の中では、0は負電荷を、Siは正電荷を帯び
ている。従ってNH4Fが5un2に近付くと、 クー
ロン力によりFはSLに+ NH4は○に引付けられ、
NH4Fは解離してSiO□と反応し、 SiF、とH
2Oとなる。H,0は気相中へ脱離するが、SiF、は
NH4Fと結合し、(NH4)2SiF、となって薄膜
に取込まれる。加熱すると(NH4)Z SiFgは分
解し、揮発性の”iF41 NH3+ l’lFとなっ
て除去される。全体の反応式は次式のようになる: F十NH,→)IF + N)I。
HF+NH3→N84F 6N84F+SiO□→(NH,)、SiF、 + 2
H20(N)+4)2SIF8→NH3+ HF + 
SiF4金属酸化物も上記とほぼ同様の機構に基づいて
除去できる。
例えばアルミナ(A!、03)はハロゲン元素を含むガ
スと塩基性ガスよりなる化合物の例えばNH4CQによ
って次の反応を生じエツチングされる。
Aff304+8NH4C4→2NH4AQ(4,+3
8,0↑千6NH3→NH4AQCQ4→AQCら↑十
NH,↑+HCQ↑上の実施例ではハロゲン元素を含む
ガスとして、NF、ガスの放電分解によりフッ素原子を
生じさせ、塩基性ガスとしてNH3と反応させたが、他
のハロゲン元素を含むガスと塩基性ガスとの組み合せで
もよく放電以外の手段、例えば光照射、加熱、荷電粒子
ビーム照射、高性種との反応等を用いてもよい。ハロゲ
ン元素を含むガスを活性化し、生じたハロゲン元素を含
む活性種と塩素性ガスとを反応させたり、自発的に解離
してハロゲン元素を含む活性種を生じるガス、塩基性ガ
スを反応させたり、あるいはNH3ガスなどの塩基性ガ
スを活性化してハロゲン元素を含むガスと反応させても
シリコンの酸化物や金属酸化物等を除去することができ
る。また、わざわざ真空容器内で気相反応を起して生成
させなくても、ハロゲン塩のガスや例えば塩の蒸気のI
(20の混合ガスやハロゲン塩水容液の蒸気を容器内に
導入し、全く同様にシリコンや金属の酸化物のエツチン
グや除去を行うことができる。
また前記薄膜は処理時間を増大すれば厚く形成されるが
、SiO□のエツチング量は第15図に示すように処理
時間に比例して増大するわけではない。
すなわち第15図に示すように処理時間が長くなるにつ
れてエツチング量は次第に飽和し、エツチング速度は減
少する。これは、前記薄膜が厚くなるとエツチング生成
物の脱離が難しくなり、エツチングが抑制されるためと
考えられる。従って単純に処理時間を長くするのではな
く、薄膜形成と除去を繰返すことにより効率のよいエツ
チングが可能である。実際、第16図に示すように、第
1図の装置を用い、薄膜の形成(試料を室温に保ったま
まNF、ガスとNH3の混合ガス(NH,を0.5To
rr、 NH3を0.25Torr)を放電し10分間
処理)と薄膜の除去(真空中で試料を120℃に加熱)
を交互に行うと、処理回数に比例して酸化シリコンがエ
ツチングされた。
また、エツチングの効率を上げる他の手段として、薄膜
を形成した後、フッ素元素を含むガスと水素元素を含む
ガスを反応させ、生成ガスを真空容器中に流す方法が考
えられる。例えばNH,やHF3に5倍添加した混合ガ
スを放電し、薄膜を形成して10分間処理したところは
、SiO□は約300人エツチングされた。これに対し
上記処理を行った後、薄膜を除去せずにH2やNF、に
10倍添加したガスを放電し5分間処理したところ、S
iO□は約600人エツチングされた。これは、NF−
/H2ガスの放電により生じたHFガスが薄膜を通って
酸化シリコンをエツチングしたためと考えられる。他方
、薄膜をあらかじめ形成していないとNFa/11□ガ
スを放電してもエツチングは起こらない。従って、薄膜
には表面でのHFの濃度を高め、反応性を上げる働きが
あるものと考えられる。
上述のように、酸化シリコンや金属酸化物はその特定領
域あるいは特定方向のみに薄膜を形成したり、薄膜の形
成と除去を行なうことにより、特定領域のみのエツチン
グや方向エツチングが可能である。例えば、第17図(
a)に示すような表面に酸化シリコン膜81が形成した
試料を、第1図に示した真空容器11内の試料台12上
に載置し、試料温度を10℃に保ったまま、NF3/N
H,ガス([NF3]/[NH3コ=115)を、ガス
導入口13bから放電分解せずに真空容器11内に導入
し、同時に試料18の特定領域のみにArFレーザー光
(波長193nm)を照射すると、第17図(b)に示
すようにレーザ光82が照射された領域のみ、酸化シリ
コン膜81が垂直にエツチングされる。レーザー光82
が照射された領域のみにエツチングが生じるのは、 そ
の領域ではNH4ガスの光分解によりFfi子が生じ、
 このFyK子がNH,と反応してエツチング種のハロ
ゲン塩が形成されるためである。垂直にエツチングされ
るのは、試料温度が10℃に保たれているため側壁では
薄膜83の形成のみが起こり、酸化シリコンはわずかじ
かエツチングされないのに対し、光が照射される底面で
は薄膜が形成すると同時に光照射により薄膜の一部は除
去されるため、絶えず薄膜の形成と除去が起こり効率よ
くエツチングが進むことに起因していると考えられる。
また、被処理基体の表面を励起するように前記基体の特
定領域に光あるいは荷電粒子ビームあるいは中性粒子ビ
ームを照射することにより、前記特定領域以外の酸化膜
を除去することもできる。
また、塩基性ガスは腐食性、毒性、爆発性等を有してい
るものが多い。従って本発明では塩基性ガス、そのもの
を外部から容器内に導入することは必ずしも必要ではな
く、塩基性ガスの構成成分元素を含むガスを容器内、あ
るいはそれと別の領域で活性化、反応させて形成しても
よい。例えばNH3ガスであれば比較的安全性の高いN
、、  H2ガスを用い、両者の混合ガスの放電等によ
り形成することもできる。
また、N84Fのようにガス化すると分解しやすいハロ
ゲン塩などは、例えばNH3とHFの混合ガスを断熱膨
張等による凝縮し、N)14Fクラスターを形成して試
料に供給してもよい。
蟇主度夫五五 次に本願節2の及び第3の発明による一実施例について
説明する。
第3図に示すのは本発明による実施例装置を説明するた
めの概略図である。この装置は、半導体素子の製造に用
いられる酸化装置に前処理として酸化膜をエツチングあ
るいは除去するための手段を具備した表面処理装置であ
る。図中300は被処理基体であるシリコンウェハに酸
化の加工を施す処理室である反応容器であり、反応容器
300中に多数枚の被処理基体301が試料ホルダー3
02上に縦置または設置されるものとなっている。また
、被処理基体301を加熱するための手段としてR−F
コイルは又はヒータ303が反応容器300の外に具備
されている。さらに反応容器300内に酸化、拡散、結
晶成長等の加工を行うためのプロセスガスを導入するた
めのガス導入口304がありまた、反応容器300内の
ガスを排気し真空引きをするためのガス排気口305が
具備されている。更に、反応容器300には石英製放電
管306が接続されており、放電管306はマイクロ波
導波管307と結合し、マイクロ波電源308からのマ
イクロ波により、前記放電管306内で放電が生起でき
るようになっている。また、石英製放電管306の他端
からは前記被処理基体301の酸化膜をエツチングある
いは除去するためのガスがガス導入口309導入され、
更に1反応容器のガス導入口310からは、塩基性ガス
が具備されている。 ここでガス導入口310より導入
される塩基性ガスは、被処理基体301全体にガスが供
給され、均一に反応を起こすように多数の開孔を有する
ガスの分散器311により分散され、反応容器300内
に導入される。311は、反応容器と放電部を分離する
バルブである。
前記本願節2の発明の実施例装置を用いて被処理基体3
01シリコンの単結晶基体に酸化を行う本願節3の発明
の実施例方法について述べる。まず反応容器300内に
真空引きし、ガス導入口309から、NF、ガスとNH
,ガスをそれぞれ流量が30sccm、  200se
cmとなるように導入し、反応容器30Q内の圧力を0
,5Torr、に保持する。この場合反応容器300内
にはシリコン単結晶基体が設置されており、その表面に
は数十大自然酸化膜が形成されている。ここでNF、と
NF、ガスに対してマイクロ波放電を10分間行うこと
により、前記基体上の自然酸化膜は完全に除去される。
 ここで、被処理基体300上には薄膜が形成されてい
るが、この薄膜はその後の熱処理により除去される。こ
の工程は被処理基体を室温に保ったまま行った0次に、
バルブ311を閉じてNF3.NH□ガスの供給をやめ
、 ガス導入口304からAr等の不活性ガスを流しな
がら反応容器300内に自然酸化膜の原因となる酸素や
水等が入らないように前記不活性ガスで反応容器内を大
気圧にまでもどす。次に被処理基体301の温度を10
00℃まで上昇させる。 この時被処理基体300上の
薄膜は除去され、シリコンの清浄表面が露出する。この
状態で前記ガス導入口304から導入するガスをArか
ら、02+8.0.02+HCQ等の酸化ガスに変え、
これによりシリコン基体の表面と通常の方法により酸化
する。
以上の方法により、形成されたシリコン基体上のシリコ
ン酸化膜は後述する第3の実施例の熱酸化の場合と同様
に自然酸化膜をとりこんでいないので極めて良質であり
耐圧、リーク電流等の特性が、上記表面処理を行わずに
酸化した場合に比較し、格段に向上する。
前記実施例において、自然酸化膜を除去するガスとして
NF、とNH3を同時に放電させ反応容器300に導入
したが、 NF、ガスだけを放電させ、NH,はガス導
入口310からガス分散器311で分散させて導入して
もよい。
さらに他の変形例として、 ガス導入口310と放電管
306を逆にして、放電により活性化されたラジカルが
被処理基体301全体に供給するようにすれば、より均
一な自然酸化膜の除去が可能である。
また、前記実施例装置はガスを選択することにより酸化
だけでなくシリコンの結晶成長や拡散等の加工を行なえ
、前記加工の前処理として自然酸化膜の除去が同様の方
法でできる。
また、この実施例ではガスの励起にマイクロ波放電を用
いたが、熱的、荷電粒子ビーム、不活性ガスラジカルと
の反応、光励起等の他の方法を用いても可能である。
また、自然酸化膜を除去するガスは前記第1の実施例と
同様に、他のハロゲン元素を含むガスは塩基性ガスの組
み合せであってもよい。
11夏末N糎 次に本願第2の発明による他の表面処理装置の実施例を
用いて、シリコンの熱酸化を行った例を述べる。
第4図は、本実施例で使用した酸化装置の概略構成図で
ある。本装置は、前処理室400と、熱酸化を行う主処
理室401から構成されている。前処理室400と主処
理室401はゲート弁402により仕切られており、そ
れぞれ独立に真空排気することができる。また前記主処
理室41は、不純物元素を含むシリコン酸化膜の堆積も
可能となっている。
前処理室400には石英製の放電管403が取付けられ
ており、マイクロ波電源404から導波管405を介し
てマイクロ波を印加することにより、ガス導入口406
から導入されたガスを活性化し、生じたラジカルを前処
理室400内へ輸送できるようになっている。 また、
前処理室400には別にガス導入口407が取付けられ
ており、生ガスも導入できるようになっている。室内に
導入されたガスは、ガス排気口408から排気される。
また、被処理基体409は前処理室400内の石英製の
ボート410に載置され、搬送機構411によって前処
理室400と主処理室401の間をゲート弁402を介
して、大気に触れることなく往復できるようになってい
る。
またさらに主処理室401には、ガス導入口412゜ガ
ス排気口413.ヒーター414が設けられている。
次に第4図に示した装置を用いて、本願第3の実施例方
法であるシリコンの熱酸化を行った例について第5図の
断面図により説明する。まず被処理基体409としてホ
ウ素を添加したP型、抵抗率10Ω/cI11のシリコ
ン(100)ウェハ50を用い、予め酸処理やアルカリ
処理により有機物汚染や重金属汚染を、希フッ酸処置に
より自然酸化膜を除去した。しかしながら1通常その後
、被処理基体は水洗処理されたり、大気にさらされたり
するため、前記基体表面には約10程度度の自然酸化l
ll51が存在している(第5図(a))。 この被処
理基体を前処理室400のボート410上に載置し、真
空排気した。
次にガス導入口406からNF3ガス10105e、4
07からNH,ガス100secmを導入し、放電管4
03を放電させた。ここで被処理基体の表面には薄膜5
2が形成された(第5図(b))。ガスの供給を止め、
前処理室400を真空排気した後、ゲート弁402を開
き、被処理基体409を主処理室401へ搬送した。
主処理室4(11には雰囲気ガスとしてArガスが流さ
れており、室の中心部の温度は800℃に保持されてい
る。被処理基体409を挿入していくと、基板温度の上
昇と共に基体表面の前記薄膜52は昇華し、30分かけ
て主処理室の中心部まで搬送する間に表面の自然酸化膜
とともに除去され、清浄なシリコン面が得られた(第5
図(C))。
次にArガスを酸素ガスに切替え、60分間保持するこ
とにより5表面に約50人の酸化シリコン膜53を形成
した(第5図(d))。さらにこの酸化シリコン膜53
の特性を評価するために、リン添加多結晶シリコン膜5
4を堆積しバターニングして、MOSキャパシタを作製
した(第5図(d))。
このMOSキャパシタを用いて、得られた酸化膜の絶縁
耐圧を調べた結果について説明する。第6図は、面積1
0 rm ”のキャパシタについて、印加した電界に対
する絶縁破壊の頻度の関係を示す特性図である。ここで
は1d当り10−′Aの電流が流れた時点を絶縁破壊と
みなす。従来の希フッ酸等のウェットの処理のみを行っ
た後、酸化膜を形成した試料Aでは、  IMV/cd
以下の比較的低い電界で、全体の約1/4が破壊されて
しまう。これに対し、前述した処理を行なった後に酸化
膜を形成した試料Bでは低電界の破壊頻度は3%程度に
すぎない。
この結果から本発明の実施例装置によって自然酸化膜を
除去した後、酸化膜を形成することによって、酸化膜の
均一性が向上し、低電界での絶縁破壊の原因となる欠陥
が減少したことがわかる。
またIOMV以上の高電界領域に着目すると、ウェット
処理の場合は最大耐圧が14MV/a#であるのに対し
、本処理を行った場合は15MV/cdと、IMV/d
耐圧の向上がみられる。この結果は酸化膜の膜質も向上
していることを示すものであるが、これは自然酸化膜が
除去されたことのみならず、金属汚染が減少したことに
も帰因している。
実際、前記実施例の処理を行うことにより、Na。
K、 Fe、 Cuなどのアルカリ金属や重金属の汚染
が約50%〜約10%に減少していることが確認された
これは、自然酸化膜の除去の際、前記自然酸化膜の中に
含まれていた金属や重金属が同時に除去されることを示
している。
尚、この実施例では、単結晶シリコン上に熱酸化膜を形
成する例について述べたが、本処理はその他の金属や半
導体表面に酸化膜を形成する場合にも有効である。特に
単結晶シリコンとともにLSIの楕成材料として重要な
リン等の不純物添加多結晶シリコンの場合、自然酸化膜
の厚みが20〜30人と大きい。従って本発明の処理に
よる効果は単結晶シリコン以上に顕著である。
またこの実施例ではシリコン表面の金属汚染は自然酸化
膜と共に除去したが、シリコン表面を犠牲的に一旦酸化
したり、NH,より強い塩基性の(CHよ)2NHなど
のガスを用いると、シリコン内部に存在する金属汚染も
でき、膜質を一層向上せしめることができる。
星工夏大嵐何 次に第4図に示した装置を用いて、本願第3の発明の実
施例方法である不純物拡散を行った例について説明する
。用いた被処理基体は前述の酸化をおこなった例と同じ
であり、やはりウェット処理を行った後、前処理室40
0のボート410上に載置し、 NF、ガスとNH,ガ
スを用いて同様の処理を施した。
次に前処理室400を真空排気した後、ゲート弁402
を開き、搬送機構411により被処理基体409を主処
理室401に導入した。このとき、主処理室401はヒ
ータ414により加熱されて700℃に保持されており
、被処理基体409表面に形成されていた薄膜は昇華し
、除去された。この時、基体表面には自然酸化膜は形成
されでいなかった。
次に、搬送機構411を主処理室より引抜き、ゲート弁
402を閉じた後、主処理室401内にテトラエトキシ
シラン(Si(OCR,CH,)、50secm、 ト
リエトキシアルシン(As (OCt(2CH,)、 
) 5 sec+nを導入し、圧力をI Torrに設
定して30分間保持した。この結果、第7図(a)の断
面図に示されるように基体70表面上にAsを含んだシ
リコン酸化膜71が約2000人堆積した。この後、上
記ガスに変えてN2ガスを導入し、被処理基体70を約
1000℃まで加熱して60分間保持した。この処理に
よりシリコン基体中にAsが均一に拡散され、その表面
には深さ0.15.cmのn型の伝導性を示す不純物領
域72が形成された。
比較例として前記実施例のように自然酸化膜を除去しな
いで、拡散を行った場合の断面図を第7図に示す。この
図からかわるように基体70上には自然酸化膜73が形
成されているため、Asを含むシリコン酸化膜71から
の拡散は、前記自然酸化膜73が障壁となって阻害され
たり、あるいはAsが偏析する。従って、n型の伝導性
領域72aは前述の本発明の実施例と異なり濃度が低く
、分布も不均一であった。
第8図は、前記本発明の実施例及び従来のウェット処理
のみの前処理の方法により形成した不純物領域の抵抗率
のヒストグラムを示す。この図から本発明の実施例によ
れば同一条件でも従来方法よりも低抵抗の不純物領域を
得ることができ、しかも、抵抗率のバラツキの小さい均
一な不純物領域が得られる。すなわち、被処理基体表面
の自然酸化膜の影響を受けることなく良好な不純物領域
が形成できるので素子の特性を向上することが可能であ
る。
尚、この実施例では不純物としてAsを用いたが、As
 (OCH3CH3)iのかわりにホスフィンやジボラ
ンを用いることによりそれぞれリンやボロンを不純物と
する拡散層を形成することができる。その他各種の不純
物添加にも本発明は応用することができる。
また前記実施例では一旦シリコン上に不純物を含む酸化
膜を拡散源として被処理基体中に拡散する例について述
べたがジボラン等の気相から直接、被処理基体表面に拡
散を行う場合にも有効である。
また拡散源として形成した酸化膜から不純物を熱処理に
より拡散した後、再び第4図の前処理室400に搬送し
、ハロゲン元素を含むガスとN83等の塩基性のガスを
供給して酸化膜を除去することも可能である。これによ
り被処理基体の効率的な処理を行なうことができる。
叉且免失凰桝 第9図に示すのは、放電を用い、エツチングを行なう本
願第2の実施例によるさらに別のドライプロセスの表面
処理装置の概略図である。
図中900は反応容器(チャンバ)でありこの反応容器
900は放電を生起する放電室901と処理を行う処理
室902とから構成されている。912は放電を生成す
るためのマイクロ波を放電室901内に供給する導波管
であり、また903は放電室901の放電をコントロー
ルするために前記放電室901内に磁場を発生させるコ
イルである。前記マイクロ波と磁場によりECR放電が
生起できるようになっている。
また、904はエツチング処理を行うためのプロセスガ
スを放電室901内に送り込むためのガス導入口である
。そして、処理室902中には、被処理基体905が試
料台906上に設置される。更に、処理室902内に酸
化膜を除去する表面処理を行うためのガスを被処理基体
表面に均一に供給するために複数の開孔が設けられたガ
ス導入管907が設置されており、このガス導入管90
7は石英製放電管908に接続されている。また前記放
電管908はマイクロ波導波管909と結合されており
、マイクロ波電源910からのマイクロ波の供給により
放電管908内に放電が生起されるようになっている。
また石英製放電管908の他端のガス導入口911から
は酸化膜を除去するためのガスが導入できるようになっ
ている。
更に、処理室902はガス排気口913から真空排気で
きるようになっている。また、反応容器900の器壁石
英製放電管及びガス導入管の周囲にはヒータ914が具
備されており、加熱できるようになっている。更に図示
していないが、被処理基体905の加熱及び冷却ができ
るように試料台906には加熱、冷却手段が具備されて
いる。
この本願第2の発明の一実施例装置を用いて、ドライエ
ツチングを行なう前に被処理基体表面に形成されている
自然酸化膜を良好に除去したり、ドライエツチング後、
被処理基体の表面の酸化物からなる付着物、汚染物を良
好に除去することができる。
この実施例装置を用いて、ドライエツチング前処理とし
て被処理基体表面の自然酸化膜を除去した場合、下地の
基体材料がエツチングされるまでのデッドタイムの低減
やエツチング残渣の軽減などの点で向上が図れる。また
、エツチング後の後処理としてハロゲン元素を含むガス
及び塩基性ガスにより被処理基体の処理を行なえば、エ
ツチング中に表面に付着した物質1例えばマスク材料や
反応容器の構成物からの汚染物、またはガスからの汚染
を除去し、清浄な基体表面を得ることができる。
また、この実施例では第9図の装置でエツチングを行な
う場合について説明したが、ガス導入口904から堆積
用ガスを供給することにより被処理基体905上に酸化
膜が金属膜等の薄膜を堆積せしめるプラズマCVD等他
の加工に対して適用することも可能である。
前記プラズマCVDを行なう前に前記実施例と同様の自
然酸化膜の除去を行なえば、被処理基体と薄膜との密着
性が向上するという利点がある。
夏i外夫席亘 次に、本願第2の発明の他の実施例としてエピタキシャ
ル成長を行なう表面処理装置の例について述べる。
第10図は、本発明による一実施例装置の概略図である
。 この装置は主に、前処理室100.搬送室101 
、  反応室102及び予備室103から構成されてい
る。前処理室100.搬送室1019反応室102及び
予備室103から構成されている。前処理室100には
、反応ガスを導入するガス導入口104、 ガスを排気
するガス排気口105、試料を載置する試料台106、
光を照射する窓118及び前処理室の壁を加熱するヒー
ター107が設けられている。 ここで、試料台106
には、被処理基体の試料を試料台と静電気的に密着させ
る機構と冷却機構が備えられ、試料を一100℃まで冷
却できるようになっている。またガス排気口105には
排ガス処理装置108が取付られている。
搬送室101には、2つのガス排気口109及び試料台
110が設けられており、前記試料台110にはヒータ
ー111が埋めこまれていて試料を+300℃まで加熱
できるようになっている。反応室102には、ガス導入
口112とガス排気口113が設けられ、また反応室1
02の周囲には、室中の試料に高周波加熱を施すための
コイル114が巻かれており、 前記コイルは高周波電
源と接続されている。
予備室103には、室中を真空にするためにガス排気口
115と、室中を大気圧に戻すためN2ガス等の希ガス
を導入するガス導入口116が設けられている。また、
装置全体としてはロードロック型であり、各室100.
101.102.103はゲートバルブ117a、 1
17b、 1I7cで仕切られ、試料を室間で搬送でき
ような機構を備えている。
次にこの装置を用いてエピタキシャル成長を行なう場合
の本願第3の発明の実施例について説明する。まず、被
処理基体としてシリコン基板を酸溶液やアルカリ溶液で
洗浄し、有機物汚染や金属汚染を除去した後、希フッ酸
処理を行い自然酸化膜を剥離した。次にこのシリコン基
板を予備室103に入れ真空排気した後、 ゲートバル
ブ117aを開いて前処理室100内へ搬送し、試料台
106を5℃に保っている。ここでシリコン基板を予備
室に入れ、真空排気するまでに基板表面に10人程度の
自然酸化膜が形成されている。次に、ガス導入口104
からNF、ガス0.5Torr、 NH3ガス0.25
Torrを導入し、同時に窓118から基板にArFレ
ーザー光(波長193nm)を照射した。この処理を1
0分間行ったところ、基板上に薄膜が形成された。次に
、ゲートバルブ117bを開け、予め真空排気された搬
送室101へ基板を搬送し、試料台110上に載置した
。この試料台11(l上で基板を200℃で10分間保
ったところ、前記薄膜が昇華し、除去された。このとき
自然酸化膜も除去されていた。次に、ゲートバルブ11
7cを開け、真空排気した反応室102内へ基板を搬送
した。この反応室102内で、基板温度を900℃まで
上昇させ、またガス導入口112からSiH,C工2を
導入して20分間保持したところ、シリコン基板上に厚
さ約10ミクロンのエビ層が形成した。このエビ層は、
膜厚や比抵抗が均一であり、また結晶不整などもみられ
なかった。これに対し、前処理室100内で自然酸化膜
を除去しなかった場合、成長したエビ層の表面には小さ
な突起がみられ、またエビ層と基板の界面には結晶欠陥
が生じていた。
このように、本発明の実施例による装置を用いることに
より、膜質の高いエピタキシャル層を形成することがで
きた。
また、エピタキシャル成長を行なう他の表面処理装置の
例を第11図に示す。この装置はバレル型の反応容器2
00の中に基板201を載置くためのサセプター202
が配置されている。基板201を高周波加熱するために
、反応容器200の回りにコイル203が巻かれており
、高周波電源に接続されている。反応ガスは、反応容器
200に接続されたガス導入管204、205から導入
される。一方1石英製の放電管206が反応容器200
に取り付けられており、放電管206には、アプリケー
タ207を介してマイクロ波電源208から発生した2
、45G)Izのマイクロ波が印加される。
次にこの実施例装置を用いた本願第3の発明の一実施例
であるプロセスについて説明する。まず、シリコン基板
201を反応容器200内のサセプター202の上に載
置し、容器200内を真空に排気する。
ついで、石英製の放電管206にNF3ガスを60se
cmの流量で導入する。次に、ガス導入管204からN
H3ガスを60secmを流量で導入し、反応容器20
0内の圧力を0.2Torrに保つ。ついで、マイクロ
波電源208をオンし、放電を起こす。この処理を10
分間行う。
その結果、基板201の表面に薄膜が堆積した。 その
後、放電を止め、ガスを排気した後、高周波電源により
基板を加熱し、温度を100℃に上げ、10分間保つ。
この処理により、表面に堆積した前記薄膜が昇華され、
基板201表面上の自然酸化膜は除去される。次に、基
板温度を900℃まで上昇させ、5iH2CA2を導入
し、基板201の表面にエピタキシャル成長を起こす。
20分間エピタキシャル成長を行なったところ、エピタ
キシャル層が厚さ10ミクロンにわたって良好に形成で
きた。
夏ヱ外叉凰孤 第12図は、被処理基体への薄膜堆積としてタングステ
ンを選択成長させる本発明の表面処理装置の一実施例を
示す概略図である。前記処理装置は、前処理室500と
堆積室501からなり、両室は、ゲートバルブ502に
よって仕切られている。装置全体としては、ロードロッ
ク型であるため、前処理室500と堆積室501には、
前記ゲートバルブ502と別に設けられたゲートバルブ
503.504を介してロード室505とアンロード室
506が接続されている。
前処理室500.堆積室501には、基板を加熱するた
めのヒータ507を埋め込んだ試料台508. 509
が各々設けられている。前処理室500には石英製の放
電管510、アプリケータ511、導波管512、マイ
クロ波@源513が接続されており、 マイクロ波放電
によって生じた長寿命のラジカルを前処理室500内に
輸送できるようになっている。さらに、放電管510を
通ざずにガスを供給するためのガス導入管513が設け
られている。一方、堆積室501には、堆積用ガスの例
えばtlF、とH2との混合ガスを導入するためのガス
導入管514が設けられている。前記堆積用ガスは、V
F、とシランに水素あるいはアルゴンを混合したガスで
あってもよい。排気系は、ロード室505、 アンロー
ド室506、前処理室500、堆積室501にそれぞれ
別個の排気装置! (515) 、 (516) 。
(517)、 (518)が設けられている。
次に、前記薄膜堆積を行なう表面処理装置を用いて、タ
ングステンの選択成長を行なう本願第2の発明の一実施
例について説明する。
まず、被処理基体として第13図(a)、 (b)、 
(C)の断面図に示す如き3つの試料A、B、Cを用意
する。ここで試料Aはシリコン基板20表面に開口21
を有するPSG膜22が形成され、前記開口21の基板
表面には不純物の拡散層23が形成されたものである。
また、試料Bは、シリコン基板20表面に開口21を有
するBPSG膜24膜形4され、前記開口21の底部の
基板表面にアルミ合金等の金属層25が形成されたもの
である。また、試料Cは、MOSトランジスタの一製造
工程断面図であって、P型シリコン基板26上にゲート
酸化膜27を介して多結晶シリコンのゲート電極28が
形成され、前記ゲート電極28の両側にソース・ドレイ
ンとなる不純物拡散層29a、 29bが形成されてい
る。 ここで、30aはフィールド酸化膜、30bは絶
縁膜であり、31a。
31bはコンタクトホールである。
このような試料A、B、Cに対して前記本発明の実施例
装置を用いて次のような処理を行なう。
前記試料をまずロード室505にいれ、 排気を行う。
次にゲートバルブ503を開いて、試料を前処埋置50
0に搬送する。ここで、あらかじめ0.IPa以下に排
気した後、石英製の放電管510にNF□ガスを30s
ecm導入し、さらに、放電管を通さないガス導入管5
13からNH,ガスを30secmの流量で導入し、圧
力を13Paに保ち、マイクロ波を印加して放電せしめ
る。この処理を5分間行い、その後、前処理室の排気を
行いながらヒータ508を加熱して基板の温度をあげる
。充分に排気した後、ゲートバルブ502を開いて、試
料を堆積室501に搬送する。堆積室501の試料台5
09の上まで搬送された試料をヒータ507を用いてタ
ングステンの選択成長温度の350℃まで加熱する。そ
の後、前述したWF、と水素の混合ガス等の堆積ガスを
導入してタングステンの堆積を行う。タングステン膜を
堆積した後、試料A、B、Cの断面をSEM@察してタ
ングステンの堆積状態を調べたところ、試料Aの拡散層
、試料Bの金属層、試料Cの拡散層及びゲート電極上に
のみタングステンが選択的かつ良好に形成されていた。
また、比較のため前処理室での処理を行なわなかった場
合には前述したようなタングステンの成長は行なわれな
かった。
このように、前処理として被処理基体表面の自然酸化膜
を除去する処理を行った後タングステンを成長させると
、選択的かつ良好にタングステンの成長が行え、かつ自
然酸化膜が除去されるのでコンタクトホールやスルーホ
ールのコンタクト抵抗を低減することができる。実際、
本発明の実施例によればコンタクト抵抗は、n”、P+
型シリコン上にタングステンを成長させた場合、コンタ
クトサイズが1.0.ccmφで各々10Ω、200Ω
以下のものが形成された。
また、前処理室に導入するガスはNF、とN1(4の混
合ガスを同時に放電させても良いし、NF、あるいはN
H4ガスの一方を放電させ、他のガスを放電せずに直接
前処理室に導入しても良い。またNF、の代りにN、H
4. XeF、、 C4F3t 5Fat CxFy(
x = 1以上、y =2x+ 2 ) 、等のハロゲ
ン元素を含むガスであれば良く、NH3の代りに他の塩
基性ガス例えばASH,、PH31(CL)zN)It
 N(CH3)3? NH2(CH)等でも良い。ガス
の組み合せを変えることで、前処理を行うガス流量圧力
の最適条件は若干変化するが、基本的には、ハロゲン元
素を含むガスと塩基性ガスとの組み合せであれば本発明
は有効である。
また、この実施例の堆積室は枚葉式の例であったが、バ
レル型の容器で複数枚処理できるようにしてももちろん
よい。
さらに堆積する薄膜は、タングステンに限らずモリブデ
ン、タンタル等地の高融点金属、あるいは他の金属や、
多結晶シリコン等でもよい。例えば、多結晶シリコンで
あれば、前記実施例と同様に自然酸化膜を除去する前処
理を行った後、被処理基体のある堆積室内を例えば65
0℃に保ち、5in4ガスを導入することにより被処理
基体上に多結晶シリコン膜を形成できる。
このようにして被処理基体上に多結晶シリコン膜を形成
することにより、例えば第13図(c)においてコンタ
クトホール31aや31bを埋め込むような場合でも、
拡散層29a、 29bやゲート電極28上には自然酸
化膜が形成されていないので、これら拡散層等との導通
がきわめて良好にとることができる。
碧」しLスJIK 薄膜堆積を行なう本願第2の発明の他の実施例による表
面処理装置の概略を第14図に示す。この装置が前記第
7の実施例と異なるのは前処理を行なう室と、薄膜を形
成する室が同じ室である点である。図中、600は主反
応を行なう処理容器であり、前記容器600内に被処理
基体601を試料台602上に静電的、あるいは機械的
に固定し、設置する。603は試料台602下に設けら
れた被処理体601に加熱するための加熱手段である。
容器600は2つの排気口604より真空排気できるよ
うになっており、また、第7の実施例と同様の薄膜堆積
用のプロセスガスを導入するガス導入口605a、 6
05bが処理容器に接続されている。更に酸化膜の除去
、エツチングを行うNF、とNH,等ハロゲン元素を含
むガスと塩基性ガスを被処理基体に均一に供給するため
の2つのガス供給手段606が容器600内に設置され
ており、前記ガス供給手段606は反応容器600の外
部に設けられた石英管607と接続されている。
石英管607はマイクロ波導波管608と結合されてお
リ、 マイクロ波電源609からのマイクロ波で石英管
607内で放電が生起されるようになっている。
また石英管607には酸化膜の除去、エツチングを行な
うガスが導入されるガス導入口610及び放電のダウン
フロー領域で他のガスを混合させることもできるように
さらに別のガス導入口611を具備している。
このような本願発明の他の実施例による表面処理装置で
あっても第7の実施例と同様の効果を得ることができる
第1JIu1鐸 次に、本願第3の発明の実施例方法として、コンタクト
ホールを形成する例について説明する。
第18図は、第1図に示した本願第1の発明の一実施例
装置を用いて、コンタクトホールを形成するための工程
断面図である。まず第18図(a)に示すように、P型
シリコン基板90上に、絶縁層として厚さ1.5趨の酸
化シリコン膜91をCVD法により形成した後、レジス
ト92を塗布した試料を形成した。次に、フォトリソグ
ラフィー工程によりコンタクトホール部のレジスト93
(口径1μs)を除去した後、第1図に示した真空容器
内の試料台に載置し、試料を25℃に保ったまま、ガス
導入口13aからNF、ガス0.05Torr、  N
H,ガス0.45Torrを導入し、4001.llの
マイクロ波を放電管に印加して10分間処理した後、試
料を30秒間、120℃に保持する工程を繰返し、酸化
シリコン膜91を約4000人エツチングした。その結
果、第18図(b)に示すように酸化シリコン膜91は
等方的にエツチングされ、酸化シリコン膜91の開口径
はレジストマスクの開口径よりも広くなった。このとき
、酸化シリコン膜91の表面にダメージは生じていなか
った。
これは、酸化シリコン膜をエツチングするハロゲン塩の
NH4F分子がフッ素原子に比べて大きいため、酸化シ
リコン膜91の内部に入っていかず、シリコンのオキシ
フッ化物を形成しないためである。
次に、CF4ガスとH2ガス等の混合ガスによる反応性
イオンエツチングにより酸化シリコン膜91を約11エ
ツチングし、前記酸化シリコン膜の若干部分を残した溝
93aを形成した。
この反応性イオンエツチングにより酸化シリコン膜91
及びレジスト92の側壁にはシリコン酸化物等の付着物
が形成された。
次に再び第1図に示して装置を用い、同じ条件で薄膜の
形成と除去を行って酸化シリコン膜をエツチングし、第
18図(d)に示すようにコンタクトホール93bを完
全に開口した。この処理により、ホールの側壁に付着し
ていたシリコン酸化物も除去された。
次にPOCQ3 ガスを用いた拡散工程によりコンタク
ト部にP型拡散95を形成した後、バレル型のプラズマ
装置を用い、第18図(8)に示すように0□プラズマ
によってレジストマスク92を除去した。ここで、コン
タクト部のSi表面には自然酸化膜96が形成していた
。この試料を再度、第1図に示した真空容器内の試料台
の載置し、同じ条件で処理し、薄膜97の形成のみを行
った。次にこの試料をスパッタ装置内の試料台に載置し
、真空排気した後加熱し、30秒間約120℃に保った
。この処理により、第18図(g)に示すように薄膜が
除去され、自然酸化膜96も除去されていた。ここで薄
膜をスパッタ装置内で除去したのは、第1図に示す装置
内で除去すると、その時には自然酸化膜が除去されても
スパッタ装置まで試料を運ぶ際に大気にさらされ、自然
酸化膜が再形成されるためである。
次に試料を真空中に保ったまま、AQ−3L合金をスパ
ッタし、試料表面に堆積させた。その結果、第18図(
h)に示すようにコンタクトホール内はAQ−3L合金
で完全に埋めこまれた。
このように本発明を用いることにより、酸化シリコン膜
にダメージを与えることなく、また側壁に付着物を残す
ことなくコンタクトホールを形成することができた。さ
らにこのコンタクトホールはアスペクト比は高いが開口
部が広いため、スパッタにより″す”を生じることなく
AQ合金をホール内に完全に埋めこむことができた。
次に前記実施例により形成したコンタクト抵抗の測定を
行った。その結果を第19図により説明する。形成工程
Cは、前記実施例により形成したものである。比較のた
め、第18図(b)に示す工程まで行い、反応性イオン
エツチングのみでコンタクトホールを完全に開口し、か
つコンタクト部の自然酸化膜を除去しなかった試料の測
定結果(工程A)及び第18図に示す工程のうち拡散層
95上の自然酸化膜の除去をしなかった試料の測定結果
(工程B)を同図に示す。ここでアニール(450℃、
30分)前後の抵抗値も示している。工程Aで形成した
材料では、コンタクト抵抗が非常に高く、アニールして
もあまり低くならない。これは、イオン衝撃によりコン
タクト部にダメージが生じたためと考えられる。これに
対して工程Bで形成した試料は、形成直後の抵抗は高い
が、アニール処理により非常に低くなる。この結果は、
ホールの最終エツチングに用いている本発明の処理がコ
ンタクト部のSiにダメージを与えないものであること
を意味している。またアニールによって抵抗が下がるの
は、自然酸化膜がAI2により還元されるためである。
さらに第18図に示した工程で形成した試料では、形成
直後からコンタクト抵抗が低く、アニールしてもほとん
ど変わらない。これはコンタクト部に自然酸化膜が形成
していないためである。
このように、本願第2の発明による一実施例方法を用い
れば、コンタクト部の面積が小さく、かつ抵抗の低いコ
ンタクトを形成でき、またアニールが不要なの゛で配線
に断線が生じにくいので、素子の信頼性を大幅に向上す
ることができる。
また本実施例では、酸化シリコン膜の反応性イオンエツ
チングの際に側壁に付着するシリコン酸化物を除去して
いるが、付着物がシリコン酸化物か金属酸化物を主成分
としていれば、他のエツチング工程で形成する付着物で
も除去できる。例えば、酸化シリコン膜をマスクとして
Siを反応性イオンエツチングによりエツチングしたり
、シリコンを含む堆積ガスをエツチングの際に添加する
と、側壁や試料の裏面に付着物が生じるが、これらはシ
リコンの酸化物であるため本発明による処理で除去でき
ることが確認された。
1赳立夫直■ 次に第1図に示した装置を用いた本願第4の発明の一実
施例の酸化シリコン膜のエツチングについて述べる。第
20図は、SF、ガスとH20ガスの撃合ガスを、導入
口13aから導入したときの、シリコン基板上に酸化シ
リコン膜が形成された試料18のシリコン基板と酸化シ
リコン膜のエツチング速度を、SF、ガスとH20ガス
の混合比を変えて調べたものである。ここで第1図の真
空容器11内の圧力を比較的高い一定の圧力1例えば2
 TOrrに保ち。
SF、ガスとl(、Oガスの分圧を変えている。また、
試料の温度は約25℃であり、処理時間は10分である
この図から、 [H20] / [SF、 ]分圧比1
未満ではシリコンがエツチングされるが1以上では全く
エツチングされないこと、さらに分圧比1以上では酸化
シリコンが高速にエツチングされることがわかる。分圧
比をさらにあげていった場合、シリコンのエツチング速
度は略O人/winを維持し、酸化シリコンのエツチン
グ速度は徐々に低下していくが、酸化シリコンのシリコ
ンに対する選択比は、極めて高い分圧比でも保たれる。
すなわち、分圧比1以上で処理することにより、酸化シ
リコンをシリコンに対して高選択にエツチングすること
ができる。
第20図は試料温度を室温(25℃)に保って処理した
際の結果であるが、処理中試料温度を約60℃に保って
処理すると酸化シリコン、シリコンともに全くエツチン
グされなかった。また試料温度、室温、分圧比1以上で
処理した酸化シリコン表面をXPSで分析した所、O,
S、F元素を含む層が・形成されていることがわかった
。この凝縮層は、処理後、試料を真空中で加熱(約60
℃)すると除0、S、F元素を含む凝縮層が形成され、
これが直接酸化シリコンと反応するか、あるいはこの凝
縮層からエツチング種が供給されて酸化シリコンがエツ
チングされたものと考えられる。上記Fを含んだ凝縮層
はSun、をエツチングする上、強酸性であることから
、フッ酸によるSiO□のエツチングと同様にF−イオ
ンやHF、−イオンがエツチングに寄与しているものと
考えられる。さらに凝縮層がS、0を含むことからエツ
チング機構は次のように推測される。
まず、SF、ガスの放電分解によりSF4ガスが生じこ
れがH2Oと反応してSO□ガスが生成する。このSO
2ガスは、H,Oの放電分解により生じた0原子と結合
してS03となる。蒸気圧の低いS03は凝縮しやすく
、試料表面に堆積した後、 その一部はH2Oと反応し
て液体であるH2SO,となる。またSF、ガスの放電
分解により生じたF原子と1(20の反応や、上で述べ
たSF4十〇、0の反応によりHFガスが生成する。
大きな極性をもつHFガスは、やはり極性の大きなH2
SO4に容易に溶Mする。溶解したHFのうち一部は電
離してH+とF−、HF2−等を生じ、また一部は11
□S04と反応してl5O1FとH2Oを生じる。IS
O’、Fもまた極性の大きな液体でありHFガスを溶解
してF−やHF2を生成する。
Sin、の中では、0は負電荷を、Siは正電荷を帯び
ている。従ってH+イオンはOに、F−、HF2−イオ
ンはSiに引きつけられ、5in2の5i−0結合が切
れてSiF、とH,Oが生成する。 SiF、、 H,
Oは揮発性であり、気体として除去される。これに対し
て極性を有していないSiはH”、F−等のイオンを反
応せずエツチングが生じないと考えられる。全体の反応
は次式のようになる: ■ SO3の生成 SF4+ 2H20→ So2+48FSO,+ O→
 S03 ■ 5in2のエツチング SO,+H20→H,5O4 F+H,O→HF + l]I H2SO4+HF −+ H2SO,+H”+F’″(
HF、’″)nd H2SO4十FIF −+ H3O4F+H,0H5O
,F + HF→H3O4F+H”+F−(HF2−)
Sin2+H−+F”(orHF2−) −’p Si
n2+H(,0金属酸化物も上記とほぼ同様の機構に基
づいて除去できる。
例えば上記の例で用いたSF、ガスとH,Oガスの混合
ガスのかわりにS、 CU□ガスやSO□Cλ2ガス等
とH2Oガスの混合ガスを用い、H2SO4やHCl2
を生成することで、アルミナ(Affi、03) をA
ら0. + HC4→Al2Cら+H20の反応により
エツチングすることができる。
すなわち本発明は上記実施例に限定されるものではなく
、他の半導体や金属の酸化物にも適用できる。
また、上記実施例ではSFs / H20混合ガスを放
電しているが、どちらか一方のガスのみを放電した後、
混合し、試料に供給してもよい。
上記実施例では、反応容器とは別の場所で活性化(放電
)しているが、反応容器内で活性化してもよい。しかし
、選択エツチングを行う場合には、活性化により生じた
イオン等が試料に照射すると、選択性が劣化するため、
イオンが試料に到達する前に他のガスと反応するように
、容器内圧力を高くする必要がある。従って例えば容器
内でプラズマを発生させてエツチングを行う場合には、
比較的圧力の高いプラズマエツチングを用いた方がよい
。また、酸化膜をエツチングする際にO原子があるとエ
ツチングを阻害するため除く必要があるが、容器内の圧
力を高くすると、ガスの分解(例えばH20→O+OH
)や反応(F+[(20→HF+O1(、20H→l(
、O+0)、及び石英(SiO□)などが使用されてい
る器壁のメパッタ等により生じたO/J子を、試料に到
達する前に他のガスを反応させ、除去することができる
このような観点から見ると、活性化する場所の器壁の材
料は5in2よりも八〇203 や8N等の方が望まし
い、後者の方がエツチングされにくく、OyK子を放出
しにくい上、前者では例えばF原子によりエツチングさ
れてSiF4 と生じこれがH,Oと例えばFfi子に
よりエツチングされてSiF4を生じこれがH,Oと反
応して5in2を生成する等、やはり酸化膜エツチング
を阻害する反応が起きやすいためである。
ガスを活性化する手段としては放電以外の手段、例えば
光照射、加熱、荷電粒子や中性ラジカル等の活性種を反
応等を用いもよい。さらに自発的に解離してハロゲン元
素を含む活性種を生じるガスを用いれば必ずしもガスの
活性化は必要ない。例えばXeF、、SO□、H20ガ
スの組み合わせであれば混合するだけで上記実施例と類
似の反応が生じ、シリコン酸化膜を除去できる。
ガスについても上記実施例に限定されるものではない、
上記実施例ではハロゲン元素(ここではF元素)含むガ
スとしてSF、ガスを用いているがF元素を含むガスと
して例えばSF、CQ、 SOF、 、 5o、H2゜
NFit CxFv+ CXFIIIH2I BFzt
 PF3などを用いてもより1゜ また上記実施例ではH2SO4,SF工とH,Oの反応
によりS03を生成し、これをHiOと反応させて形成
しているが、SOlは他の方法で生成してもよい。例え
ばSO1粉末を加熱、ガス化したり、あるいはイオウの
加熱によりイオウガスを生成しこれを酸化する等の方法
を用いてもよい。
また上記実施例ではHFやH2SO4に溶解させている
が他の液体に溶解させてもよい。すなわち、H,S、 
HCN等のO,S、Cのいずれかの元素と水素元素を含
み液体がプロトン供与性を有する無機化合物ガスを液化
すると、この液体はプロトン供与性であるから)IFガ
スと相互作用しやすく、HFガスを多量に溶解すると共
にF−、HF2−等のイオンを生じ酸化シリコンをエツ
チングすることができる。
これは有機化合物ガス、例えばCH,OH,C2H,O
H。
C−H−= (CzHs)zO等を用いた場合も同様で
ある。また、これらのガスは生ガスとして容器内に導入
し、試料表面で液化させることができるが、上記実施例
のように1反応容器内でガスを反応させて液体を形成し
てもよい。
このような液体としては、H2SO4の他にl(、So
、 。
HNO3,HNO,、H,Go3.83PO,、)I3
BO,、H,AsO4等が挙げられる。これらの液体は
上記実施例と類似の手段で形成できる6すなわち、0元
素もしくはハロゲン元素とS、C,B、N、P、Asの
いずれかの元素を含むガス、例えばCO□やMo、、 
pocQ2等のガスと−O,S、C,のいずれかの元素
と水素元素を含む無機化合物単一ガスもしくは混合ガス
、あるいは構成元素がC,H元素のみであるか、もしく
は構成元素に○元素を含む有機化合物ガス、例えばH,
0,CH,OH,C,H,OH,C,Ha等と反応させ
て形成することができる。またここで用いるガス必ずし
も生ガスでなくてよく、その構成成分元素を含む混合ガ
スから生成することができる。例えばH,OはH2+0
□CO2はCF工+H,0,02等のガスを活性化、反
応させることで生成できる。
なお、本発明のエツチングを行うためには試料表面に凝
縮層を形成すべく1反応容器内の圧力を十分高くするか
あるいは試料温度を十分低くする必要がある。
実際、上記実施例で反応容器の圧力を0.2Torrに
下げると[)!、OF / cSF! ]分圧比が1よ
り大きくてもSin、をSiに対して選択的にエツチン
グすることはできなかった。また、上記実施例で試料温
度を5℃に下げると、 分圧比0.5以上でSin、を
Siに対して選択的にエツチングすることができた。
しかし、凝縮層を試料表面で形成するのではなく、それ
に相当するものを別の場所で形成し、試料に供給すれば
反応容器の圧力が低く、試料温度が高くてもSiO□を
Siに対して選択的にエツチングできる。例えば第1図
に示した装置で放電管14と真空容器11の間に、直径
0.1mmの穴があいたノズルをつけ、放電管14と反
応容器の間に差圧が生じるようにしておき、上記実施例
のようにSFs/H20混合ガスを用い、放電管の圧力
3 Torr、反応容器の圧力10−’Torrとして
放電されるとノズルから出たガスは断熱膨張を起こし、
1(2SO,、1−IFを含むクラスターが形成される
が、これを試料に供給することで試料温度が高くてもエ
ツチングを生じせることができる。
反応容器内の圧力を十分高くするのは反応容器内の圧力
が低いと、フッ素原子が試料まで輸送され、Siがエツ
チングされるので、これを防止するためである。圧力が
高い場合は、フッ素原子が試料に到達する前に多数回、
他のガスと衝突し、反応して安定なフッ化物ガスになる
ため前記Siのエツチングが生じなくなる。
一方、高圧力であれば1(20やSo、、HF等のガス
が試料表面と衝突する頻度が高くなり、HFを含んだH
2SO4が表面に多量に形成されSiO2のエッチング
速度を増加するからである。
また、試料温度を低くするのは1表面温度が低い程ガス
の吸着確率が高くなるため、5℃に冷却することでH2
O,SO2,HF等のガスの試料表面での吸着量が多く
なり、HFを含んだH,SO2が表面に多量に形成され
、Sun、のエツチング速度が増加するからである。こ
のような圧力、温度を制御する効果は上記SF、/H2
0ガスに限定されるものではなく本発明に含まれる全て
のガスについて、当てはまる。さらに、SF2等のフッ
素元素を含むガスとH2ガスの、少くとも一方を反応容
器内とは別の領域で励起して、それらガスを反応容器内
に供給してSin、をエツチングする場合にも有効であ
る。ここで5in2は、励起により生じたフッ素原子と
H2ガス、あるいはH原子と、フッ素元素を含むガスの
反応により生成したHFによりエツチングされる。すな
わちSiO□表面に吸着したHFの量がある程度以上に
なると、HF同志の相互作用により38F−+H、H”
+HF−等によりH、H+やHF2−といったイオンが
生成し、SiO□中の、正の電荷を帯びたSiはHF2
−を、0はH,F+を引きつけ、反応を生じて(4HF
+SiO□→SiF4+ 28.O)エツチングを起こ
す。このように5in2をエツチングするためには、I
F、−やH,F+といったイオンが生成することが不可
欠であり、OFを多量に吸着させる必要がある。従って
上で述べたように、反応容器の圧力を高くしたり、試料
温度を下げることでHFの吸着量を増し、SiO□のエ
ツチング速度を増加させることができる。
これに対して、Siはイオン性を持たないためHF、−
、H,F十等が存在してもエツチングされない。
また、HFの吸着量が多ければ多少のフッ素原子が試料
に到達しても、Siとの反応を阻害し、エツチングを抑
制する。
以上の理由により容器内圧力を十分に高く、試料温度を
低くすることでSiO□のSiに対する選択エツチング
の選択性が向上する。
本発明は前述した第1乃至第10の実施例に限られるも
のではない。
例えば本発明においてハロゲン元素を含むガスとはNF
3ガスの他にハロゲン単体ガス、インターハロゲンガス
あるいはH,B、 C,SL、 P、 As。
S 、 Xe、 Krのうち少なくとも1つの元素とハ
ロゲン元素を含むガスのいずれかまたはそれらの混合ガ
ス、またはそれらと酸素ガスとの混合ガスである。
また、前記塩基性ガスとは、アンモニア、ヒドラジン、
アミン、ホスフィン、アルシンのいずれかのガス、また
はそれらの混合ガス、またはそれらとH2Oの混合ガス
、 またはそれらの水溶液の蒸気であり、自発的に解離
して少なくともハロゲン元素を含む活性種を生じるガス
とは、インターハロゲンガス、XaまたはKrとハロゲ
ン元素から成るガス例えばフッ化キセノンガスのいずれ
か、またはそれらの混合ガスであり、少なくともハロゲ
ン元素を含む塩とは、アンモニア、ヒドラジン、アミン
、ホスフィン、アルシンのいずれかとハロゲン元素を含
むものである。
また、被処理基体はシリコンウェハだけでなく他の半導
体基体、金属物あるいは窒化物上に自然酸化膜や金属酸
化物等の酸化膜が形成されたものであれば、適用可能で
ある。
例えばシリコン酸化物としては単結晶、多結晶、アモル
ファスシリコンの自然酸化膜、CVD、酸化で形成され
た酸化シリコン膜、またはそれらにハロゲン元素、B、
As、P、N、C,Hのいずれかが含まれたものであっ
てよい。
また金属酸化物として、Al、 Cu、 We Mo、
 Ti(7)いずれかまたはそれらの合金またはそれら
のシリサイドまたはそれらのナイトライドの酸化物であ
ってもよい。
また、本発明が対象となる被処理基体としては半導体ウ
ェハ等に限らず表面に酸化膜が形成された真空容器内壁
、石英管、真空容器内設置物、ガス導入部内壁、ガス排
気部内壁等であってもよい。
さらにまた、酸化膜の除去を行なう場合に被処理基体を
例えば0℃以下の低温に冷却することにより、エツチン
グガス種例えばNF3とNH,のガスの組み合せならば
NH4F分子が前記被処理基体に吸着し易くなるので、
この方法はアスペクト比の高い溝の表面に形成された自
然酸化膜の除去に際して特に有効である。
また、酸化膜の除去の処理は、N84Fや(NH,)。
SiF、等の薄膜が昇華する温度以上に加熱すると、前
記酸化膜のエツチング速度は低下するので、常温程度で
行なうのが望しい。例えば、フッ素を含むガスとNl(
、、Nl(40)1. NH,とH,0又はH2の混合
ガスの場合、100℃以下で実施するのが望しい。
さらに第2図から明らかなように酸化膜をエツチングす
る2種類のガスの圧力比はNH,、NH,とH,0又は
H2の混合ガス、またはNH4OH水溶液の蒸気に対す
るNF、の圧力比の場合、 1以上であるのが高選択エ
ツチングを行なえる点で有利である。
さらにまた、酸化膜のエツチングにより形成される薄膜
は加熱により除去する他に被処理基体に光又は電子を照
射するか、活性な中性粒子を反応容器内に導入すること
により除去することができ本発明によれば、NH4F、
 H2SO,/HFといった薄膜、凝縮層を形成するこ
とにより被処理基体表面の半導体あるいは金属等の酸化
物をダメージを生じることなく高選択にエツチング、あ
るいは除去することができる。
【図面の簡単な説明】
第1図は本発明の一実施例に係る表面処理装置の概略図
、第2図は本発明の原理を示す特性図。 第3図、第4図、第9図乃至第12図及び第14図は本
発明の他の実施例に係る表面処理装置の概略図、第5図
は本発明の実施例に係る断面図、第6図及び第8図は本
発明の実施例の効果を説明するための特性図、第7図は
本発明の実施例の効果を説明するための断面図、第13
図は本発明の詳細な説明するための断面図、第15図乃
至第17図は本発明の詳細な説明するための説明図、第
18図は本発明の一実施例に係る工程断面図、第19図
、第20図は本発明の詳細な説明するための特性図。 11・・・真空容器、    13a、 13b・・・
ガス導入口、14・・・放電管、     15・・・
ガス排気口、18・・・試料、19・・・導波管。 名  2  口 =   9 ス λ  5 図 +l:P pot+  (MV/cm)鷹 図 弔 図 第 」 平め−f氏鴎 (几/口) 図 采 図 弔 1 ″) 図 采 図 −〇 co− ゐ理−時間(分) 第15図 2跡8!−回暮亜回) 第 図 繁 18  UA 第 図 午 図

Claims (16)

    【特許請求の範囲】
  1. (1)基体表面に酸化膜が形成された被処理基体を収納
    する真空排気可能な反応容器と、前記反応容器内にハロ
    ゲン元素を含むガス及び塩基性ガスあるいはハロゲン塩
    ガスを導入するガス導入手段を有する表面処理装置。
  2. (2)前記ハロゲン元素を含むガスと塩基性ガスの少な
    くとも一方を前記反応容器とは別の領域あるいは前記容
    器内で活性化せしめる手段を備えた請求項1記載の表面
    処理装置。
  3. (3)前記被処理基体を加熱する手段、前記基体に光又
    は電子を照射する手段あるいは活性な中性粒子を反応容
    器内に導入する手段を有する請求項1記載の表面処理装
    置。
  4. (4)前記ハロゲン元素を含むガスとして、ハロゲン単
    体ガス、インターハロゲンガス、あるいはH、B、C、
    Si、P、As、S、Xe、Krのうち少なくとも1つ
    の元素とハロゲン元素を含むガスのいずれか、またはそ
    れらの混合ガス、またはそれらと酸素ガスとの混合ガス
    を反応容器内に導入する手段を有する請求項1記載の表
    面処理装置。
  5. (5)前記塩基性ガスとして、アンモニア、ヒドラジン
    、アミン、ホスフィン、アルシンのいずれかのガス、ま
    たはそれらの混合ガス、またはそれらとH_2Oの混合
    ガス、またはそれらの水溶液の、蒸気を反応容器に導入
    する手段を有する請求項1記載の表面処理装置。
  6. (6)前記少なくともハロゲンガスとして、アンモニア
    、ヒドラジン、アミン、ホスフィン、アルシンのいずれ
    かとハロゲン元素を含む塩を反応容器内に導入する手段
    を有する請求項1記載の表面処理装置。
  7. (7)前記活性化の手段とは、放電手段、光照射手段、
    加熱手段、荷電粒子ビームの照射手段、または電気的に
    中性な活性種との反応を生ぜしめる手段のいずれかであ
    ることを特徴とする請求項2記載の表面処理装置。
  8. (8)前記酸化膜とは、単結晶、多結晶、アモルファス
    シリコンの自然酸化膜、CVD、酸化で形成された酸化
    シリコン膜またはそれらにハロゲン元素、B、As、P
    、N、C、Hのいずれかが含まれたものである請求項1
    記載の表面処理装置。
  9. (9)前記酸化膜とは、Al、Cu、W、Mo、Tiの
    いずれか、またはそれらの合金、またはそれらのシリサ
    イド、またはそれらのナイトライドの金属酸化物である
    請求項1記載の表面処理装置。
  10. (10)被処理基体を収納し、前記被処理基体にエッチ
    ング、堆積、酸化、拡散、エピタキシャル成長等の加工
    を施す処理室と、前記処理室での加工の前又は後に前記
    被処理基体表面の酸化膜を除去するためのハロゲン元素
    を含むガス及び塩基性のガスあるいはハロゲン塩ガスを
    供給する手段とを備えたことを特徴とする表面処理装置
  11. (11)基体表面に酸化膜が形成された被処理基体を真
    空排気可能な反応容器に収納し、前記反応容器内にハロ
    ゲン元素を含むガスと塩基性ガスの少なくとも一方を前
    記反応容器とは別の領域あるいは前記反応容器内で励起
    して、それらガスを前記反応容器内に供給して前記被処
    理基体の酸化膜を除去することを特徴とする表面処理方
    法。
  12. (12)前記被処理基体の酸化膜の一部を荷電粒子ビー
    ムによりエッチングした後、残りの酸化膜が残置せられ
    た被処理基体を前記反応容器内に収納し、前記残置せら
    れた酸化膜を除去することを特徴とする請求項11に記
    載の表面処理方法。
  13. (13)前記塩基性ガスは、前記反応容器内、あるいは
    前記反応容器とは別の領域で、前記塩基性ガスを構成す
    る成分元素を含むガスを反応させて生成したものを前記
    反応容器内に供給することを特徴とする請求項11記載
    の表面処理方法。
  14. (14)基体表面に金属あるいは半導体の酸化膜が形成
    された被処理基体を反応容器内に収納し、ハロゲン元素
    を含む第1のガスと、O、S、Cのいずれかの元素と水
    素元素を含む無機化合物の単一ガスもしくは混合ガス、
    あるいは構成元素がC、H元素のみであるかもしくは構
    成元素がO元素を含む有機化合物ガス、のいずれかのガ
    スを含む第2のガスの少くとも一方を前記反応容器とは
    別の領域で励起して、それらガスを前記反応容器内に供
    給して前記基体表面の酸化膜を除去することを特徴とす
    る表面処理方法。
  15. (15)基体表面に金属あるいは半導体の酸化膜が形成
    された被処理基体を反応容器内に収納し、ハロゲン元素
    を含む第1のガスと、O、S、Cのいずれかの元素と水
    素元素を含む無機化合物単一ガスもしくは混合ガス、あ
    るいは構成元素がC、H元素のみであるか、もしくは構
    成元素にO元素を含む有機化合物ガス、のいずれかのガ
    スを含む第2のガスと、O元素もしくはハロゲン元素と
    S、C、B、N、P、Asのいずれかの元素を含む第3
    のガスのうち、少くとも1つのガスを前記反応容器とは
    別の領域で励起し、前記第1のガス、第2のガス及び第
    3のガスを前記反応容器内に供給して前記基体表面の酸
    化膜を除去することを特徴とする表面処理方法。
  16. (16)前記処理後、励起した水素ガスに■す工程を含
    む請求項14が15記載の表面物理方法。
JP1278571A 1988-12-27 1989-10-27 表面処理方法 Expired - Lifetime JP2981243B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP1278571A JP2981243B2 (ja) 1988-12-27 1989-10-27 表面処理方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP63-327594 1988-12-27
JP32759488 1988-12-27
JP1278571A JP2981243B2 (ja) 1988-12-27 1989-10-27 表面処理方法

Publications (2)

Publication Number Publication Date
JPH02256235A true JPH02256235A (ja) 1990-10-17
JP2981243B2 JP2981243B2 (ja) 1999-11-22

Family

ID=26552927

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1278571A Expired - Lifetime JP2981243B2 (ja) 1988-12-27 1989-10-27 表面処理方法

Country Status (1)

Country Link
JP (1) JP2981243B2 (ja)

Cited By (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098001A (ja) * 1995-06-20 1997-01-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JP2003077924A (ja) * 2001-08-30 2003-03-14 Sumitomo Mitsubishi Silicon Corp 半導体ウェーハの製造方法及び半導体ウェーハ
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US7235137B2 (en) 2001-01-23 2007-06-26 Tokyo Electron Limited Conductor treating single-wafer type treating device and method for semi-conductor treating
JP2007520879A (ja) * 2004-01-14 2007-07-26 東京エレクトロン株式会社 ゲート電極をトリミングする方法
WO2007092130A2 (en) * 2006-02-03 2007-08-16 Applied Materials, Inc. Dry etch and epitaxial deposition process and apparatus
JP2007529895A (ja) 2004-03-16 2007-10-25 ラム リサーチ コーポレーション セルフクリーニング式ドライエッチング用システム、方法、並びに、装置
JP2008113033A (ja) * 1998-11-11 2008-05-15 Tokyo Electron Ltd 表面処理方法及びその装置
JP2008166848A (ja) * 1998-11-11 2008-07-17 Tokyo Electron Ltd 表面処理方法及びその装置
JP2008182199A (ja) * 2006-12-07 2008-08-07 Applied Materials Inc 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2008235857A (ja) * 2006-12-07 2008-10-02 Applied Materials Inc 薄膜プロセスの方法
EP2043138A2 (en) 1997-06-04 2009-04-01 Tokyo Electron Limited Method of processing an object having an oxide film on its surface and apparatus for performing said method
JP2009170940A (ja) * 2009-04-30 2009-07-30 Sumco Corp 半導体ウェーハの製造方法及び半導体ウェーハ
US7598171B2 (en) 2006-01-11 2009-10-06 Renesas Technology Corp. Method of manufacturing a semiconductor device
US7851355B2 (en) 2006-05-18 2010-12-14 Renesas Electronics Corporation Method of manufacturing semiconductor device
JP2011508433A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
JP4795935B2 (ja) * 2003-03-17 2011-10-19 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2011530822A (ja) * 2008-08-14 2011-12-22 カールツァイス エスエムエス ゲーエムベーハー 電子ビーム誘起エッチング方法
US8398813B2 (en) 1999-08-13 2013-03-19 Tokyo Electron Limited Processing apparatus and processing method
KR20130141566A (ko) * 2010-11-11 2013-12-26 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 제조 장치
US8652970B2 (en) 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP2015029072A (ja) * 2013-06-10 2015-02-12 エフ・イ−・アイ・カンパニー 電子ビーム誘起エッチング
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
WO2020054476A1 (ja) * 2018-09-13 2020-03-19 セントラル硝子株式会社 シリコン酸化物のエッチング方法及びエッチング装置
JP2021061366A (ja) * 2019-10-09 2021-04-15 株式会社アルバック エッチング方法、および、エッチング装置
WO2021220883A1 (ja) * 2020-04-28 2021-11-04 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及びシステム

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system

Cited By (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH098001A (ja) * 1995-06-20 1997-01-10 Shibaura Eng Works Co Ltd ドライエッチング装置
EP2043138A2 (en) 1997-06-04 2009-04-01 Tokyo Electron Limited Method of processing an object having an oxide film on its surface and apparatus for performing said method
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6776874B2 (en) 1997-06-04 2004-08-17 Tokyo Electron Limited Processing method and apparatus for removing oxide film
JP2008113033A (ja) * 1998-11-11 2008-05-15 Tokyo Electron Ltd 表面処理方法及びその装置
JP4612063B2 (ja) * 1998-11-11 2011-01-12 東京エレクトロン株式会社 表面処理方法及びその装置
JP2008166848A (ja) * 1998-11-11 2008-07-17 Tokyo Electron Ltd 表面処理方法及びその装置
JP2009071334A (ja) * 1998-11-11 2009-04-02 Tokyo Electron Ltd 表面処理方法及びその装置
JP2008205452A (ja) * 1998-11-11 2008-09-04 Tokyo Electron Ltd 表面処理方法及びその装置
US8398813B2 (en) 1999-08-13 2013-03-19 Tokyo Electron Limited Processing apparatus and processing method
US7235137B2 (en) 2001-01-23 2007-06-26 Tokyo Electron Limited Conductor treating single-wafer type treating device and method for semi-conductor treating
JP2003077924A (ja) * 2001-08-30 2003-03-14 Sumitomo Mitsubishi Silicon Corp 半導体ウェーハの製造方法及び半導体ウェーハ
JP4795935B2 (ja) * 2003-03-17 2011-10-19 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2007520879A (ja) * 2004-01-14 2007-07-26 東京エレクトロン株式会社 ゲート電極をトリミングする方法
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
JP2007529895A (ja) 2004-03-16 2007-10-25 ラム リサーチ コーポレーション セルフクリーニング式ドライエッチング用システム、方法、並びに、装置
US8058166B2 (en) 2006-01-11 2011-11-15 Renesas Electronics Corporation Method of manufacturing a semiconductor device
US7598171B2 (en) 2006-01-11 2009-10-06 Renesas Technology Corp. Method of manufacturing a semiconductor device
US7834404B2 (en) 2006-01-11 2010-11-16 Renesas Electronics Corporation Semiconductor device
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP2016167610A (ja) * 2006-02-03 2016-09-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル堆積プロセス及び装置
WO2007092130A3 (en) * 2006-02-03 2007-09-27 Applied Materials Inc Dry etch and epitaxial deposition process and apparatus
WO2007092130A2 (en) * 2006-02-03 2007-08-16 Applied Materials, Inc. Dry etch and epitaxial deposition process and apparatus
US7851355B2 (en) 2006-05-18 2010-12-14 Renesas Electronics Corporation Method of manufacturing semiconductor device
US8021979B2 (en) 2006-05-18 2011-09-20 Renesas Electronics Corporation Method of manufacturing semiconductor device
JP2008235857A (ja) * 2006-12-07 2008-10-02 Applied Materials Inc 薄膜プロセスの方法
JP2008182199A (ja) * 2006-12-07 2008-08-07 Applied Materials Inc 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2011508433A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
US9023666B2 (en) 2008-08-14 2015-05-05 Carl Zeiss Sms Gmbh Method for electron beam induced etching
JP2011530822A (ja) * 2008-08-14 2011-12-22 カールツァイス エスエムエス ゲーエムベーハー 電子ビーム誘起エッチング方法
US8652970B2 (en) 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
JP2009170940A (ja) * 2009-04-30 2009-07-30 Sumco Corp 半導体ウェーハの製造方法及び半導体ウェーハ
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JPWO2012063901A1 (ja) * 2010-11-11 2014-05-12 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
JP5881612B2 (ja) * 2010-11-11 2016-03-09 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
KR20130141566A (ko) * 2010-11-11 2013-12-26 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 제조 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
JP2015531547A (ja) * 2012-09-18 2015-11-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ラジカル構成要素の酸化物エッチング
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
JP2015029072A (ja) * 2013-06-10 2015-02-12 エフ・イ−・アイ・カンパニー 電子ビーム誘起エッチング
US10304658B2 (en) 2013-06-10 2019-05-28 Fei Company Electron beam-induced etching
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9514927B2 (en) 2014-03-19 2016-12-06 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11264255B2 (en) 2015-03-11 2022-03-01 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
WO2020054476A1 (ja) * 2018-09-13 2020-03-19 セントラル硝子株式会社 シリコン酸化物のエッチング方法及びエッチング装置
JP6700571B1 (ja) * 2018-09-13 2020-05-27 セントラル硝子株式会社 シリコン酸化物のエッチング方法及びエッチング装置
JP2021005699A (ja) * 2018-09-13 2021-01-14 セントラル硝子株式会社 シリコン酸化物のエッチング方法及びエッチング装置
KR20210055078A (ko) * 2018-09-13 2021-05-14 샌트랄 글래스 컴퍼니 리미티드 실리콘 산화물의 에칭 방법 및 에칭 장치
TWI736966B (zh) * 2018-09-13 2021-08-21 日商中央硝子股份有限公司 矽氧化物之蝕刻方法及蝕刻裝置
US11715641B2 (en) 2018-09-13 2023-08-01 Central Glass Company, Limited Method and device for etching silicon oxide
JP2021061366A (ja) * 2019-10-09 2021-04-15 株式会社アルバック エッチング方法、および、エッチング装置
WO2021220883A1 (ja) * 2020-04-28 2021-11-04 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及びシステム
JPWO2021220883A1 (ja) * 2020-04-28 2021-11-04

Also Published As

Publication number Publication date
JP2981243B2 (ja) 1999-11-22

Similar Documents

Publication Publication Date Title
JPH02256235A (ja) 表面処理方法
US5030319A (en) Method of oxide etching with condensed plasma reaction product
JP3086719B2 (ja) 表面処理方法
US6013575A (en) Method of selectively depositing a metal film
US4923828A (en) Gaseous cleaning method for silicon devices
TW202129708A (zh) 藉由循環電漿增強型沉積製程形成地形選擇性氧化矽膜之方法
US8309440B2 (en) Method and apparatus for cleaning a substrate surface
JP3921502B2 (ja) 酸化物の選択的エッチング方法
KR20200102352A (ko) 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP3084497B2 (ja) SiO2膜のエッチング方法
US9177780B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
JPH1187341A (ja) 成膜方法及び成膜装置
JPH08330248A (ja) 半導体装置の製造方法
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US9093264B2 (en) Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
JP4058669B2 (ja) シリコン基板上への導電性珪化物層の形成方法および導電性珪化物接点の形成方法
JP4273142B2 (ja) 表面処理方法及び半導体装置の製造方法並びに容量素子の製造方法
TWI833831B (zh) 金屬矽化物的選擇性沉積以及選擇性氧化物移除
JPH0629264A (ja) 表面処理方法
JPH0360123A (ja) 表面処理方法および表面処理装置
JPH07193055A (ja) ドライエッチング方法
JP3240305B2 (ja) 固体の選択成長方法
JP2001102345A (ja) 表面処理方法および装置
JP2983244B2 (ja) 表面処理方法
JPH07307332A (ja) 表面清浄化法および薄膜形成法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070917

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080917

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080917

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090917

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090917

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100917

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100917

Year of fee payment: 11