CN117558649A - 用于深沟槽内的低温选择性外延的方法及设备 - Google Patents

用于深沟槽内的低温选择性外延的方法及设备 Download PDF

Info

Publication number
CN117558649A
CN117558649A CN202311332938.9A CN202311332938A CN117558649A CN 117558649 A CN117558649 A CN 117558649A CN 202311332938 A CN202311332938 A CN 202311332938A CN 117558649 A CN117558649 A CN 117558649A
Authority
CN
China
Prior art keywords
substrate
chamber
processing system
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311332938.9A
Other languages
English (en)
Inventor
阿布舍克·杜贝
李学斌
华·春
芙洛拉·芳-松·张
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117558649A publication Critical patent/CN117558649A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本公开内容一般地涉及用于在半导体器件上形成外延层的群集工具和方法。在一个实施方式中,群集工具包括传送腔室、耦接到传送腔室的预清洁腔室、耦接到传送腔室的等离子体清洁腔室、耦接到传送腔室的沉积腔室、耦接到传送腔室的蚀刻腔室及耦接到传送腔室的热处理腔室。

Description

用于深沟槽内的低温选择性外延的方法及设备
本申请是申请日为2018年2月6日、申请号为201880010056.1、发明名称为“用于深沟槽内的低温选择性外延的方法及设备”的发明专利申请的分案申请。
技术领域
本公开内容的实施方式一般地涉及半导体制造工艺领域,尤其是,涉及用于形成半导体器件的沉积含硅膜的群集工具和方法。
背景技术
低温外延具有具非常高程度掺杂剂活化的产生外延膜的明显优点。具有高度掺杂剂活化的外延膜可以对于CMOS制造工艺中的接触应用是有用的,其中热预算需要在特定温度或低于特定温度,以在中段(middle-of-line(MOL))制造工艺中保持高K金属栅极堆叠,特定温度诸如450摄氏度。
需要在本领域中整合用于生长含磷硅层的低温外延,以能够减少nMOS晶体管的接触电阻的量级。
发明内容
本公开内容一般地涉及用于在半导体器件上形成外延层的群集工具和方法。在一个实施方式中,群集工具包括传送腔室、耦接到传送腔室的预清洁腔室、耦接到传送腔室的等离子体清洁腔室、耦接到传送腔室的沉积腔室、耦接到传送腔室的蚀刻腔室及耦接到传送腔室的热处理腔室。
在另一实施方式中,群集工具包括耦接到负载锁定腔室的传送腔室、耦接到传送腔室的第一清洁腔室、耦接到该传送腔室的第二清洁腔室、耦接到该传送腔室的外延沉积腔室、耦接到传送腔室的蚀刻腔室及耦接到传送腔室的热处理腔室,所述第一清洁腔室包括电容耦合等离子体源和耦接到偏压RF电源的基板支撑件,该第二清洁腔室包括电感耦合等离子体源,所述外延腔室包括与液体前驱物源流体连通的液体蒸发器。
附图说明
以上简要概述、以及下文将详细讨论的本公开内容的实施方式可通过参照所附图式绘示的本公开内容的实施方式来理解。然而,应当注意的是,所附图式仅绘示了本公开内容的典型实施方式,因而所附图式不应被视为对本发明的范围的限制,因为本公开内容可允许其他等同有效的实施方式。
图1是图解根据本公开内容的一个实施方式的形成外延层的方法的流程图。
图2是可用于执行图1中所见的清洁工艺的处理腔室的截面图。
图3是可用于执行图1中所见的清洁工艺的等离子体清洁腔室的截面图。
图4是可用于执行图1中所见的外延工艺的热处理腔室的截面图。
图5是可用于执行图1中所见的蚀刻工艺的ICP等离子体腔室的截面图。
图6是可用于基板的温度控制处理的处理系统的示意性截面图。
图7是可以用于完成图1流程图的处理系统的示意性俯视图。
为了便于理解,尽可能地,使用了相同的附图标号标示附图中共通的元件。为求清楚,图式未依比例绘示且可能被简化。考虑到,在没有进一步地描述下可以将一个实施方式的元件与特征有利地并入其他实施方式中。
具体实施方式
图1是图解根据本公开内容的一个实施方式的形成外延层的方法的流程图100。该方法在方块102开始,其中预清洁基板。基板可以是晶片或具有原生氧化物的任何物品。基板可以含有单晶表面和/或含有为非单晶的一个次生(secondary)表面,诸如,多晶或非晶形(amorphous)表面。单晶表面可包括裸晶体基板或通常由诸如硅、锗、硅锗或硅碳的材料制成的沉积单晶层。多晶或非晶形表面可包括:介电材料,诸如氧化物或氮化物,特别是氧化硅或氮化硅;以及非晶硅表面。
可使用从基板去除氧化物而不显著损坏基板的任何合适的清洁工艺。合适的清洁工艺包括溅射蚀刻工艺、基于等离子体的氧化物蚀刻工艺、湿式蚀刻工艺或上述的组合。示例性的基于等离子体的氧化物蚀刻工艺包括NF3/NH3电感耦合等离子体工艺或NF3/NH3电容耦合等离子体工艺。在一个实施方式中,基于等离子体的氧化物蚀刻工艺是包含将基板同时暴露于NF3和NH3等离子体副产物的远程等离子体辅助干式蚀刻工艺。在一个实例中,基于等离子体的氧化物蚀刻工艺可类似于SiCoNiTM蚀刻工艺或者可包括SiCoNiTM蚀刻工艺,SiCoNiTM蚀刻工艺可购自加州圣克拉拉的应用材料公司。SiCoNiTM蚀刻工艺可在SiCoNiTM预清洁腔室中执行,SiCoNiTM预清洁腔室可购自加州圣克拉拉的应用材料公司。图2中示出一个示例性SiCoNiTM预清洁腔室且将在下面进行讨论。
在使用远程等离子体的一些实施方式中,气体物质的激发允许无等离子体损坏的基板处理。远程等离子体蚀刻可以较大程度上对氧化硅层是共形(conformal)和选择性的,且因此不管硅是非晶形的、结晶的或多晶的,都无法轻易蚀刻硅。远程等离子体处理通常会产生固体副产物,当基板材料被去除时,固体副产物生长在基板的表面上。当基板的温度上升(如300℃)时,固体副产物可以随后经由升华而被去除。等离子体蚀刻工艺使得基板表面在其上具有硅-氢(Si--H)键结。
在一些实施方式中,可使用远程等离子体源在处理腔室中执行清洁工艺。例如,处理腔室可以是可购自美国加州圣克拉拉的应用材料公司的AKTIV预清洁TM腔室(AKTIV Pre-CleanTMchamber)。图3示出使用ICP源的一个示例性蚀刻腔室且将在下面讨论。
在一些实施方式中,可使用电感耦合等离子体(ICP)源在蚀刻腔室中执行清洁工艺。例如,蚀刻腔室可以是可购自加州圣克拉拉的应用材料公司的AdvantedgeTMMesaTM蚀刻腔室。或者,可在采用基于自由基的化学物质的蚀刻腔室中执行清洁工艺。下面的图5示出使用ICP源的一个示例性蚀刻腔室。
若需要,方块102可包括额外的准备步骤。例如,方块102可包括预烘烤基板以进一步清洁表面。可在约330℃温度下存在有氢气下执行预烘烤。在这种情况下,可在外延腔室中完成预烘烤,如下面图4所示的一个外延腔室。预烘烤可包括将基板的温度升高到约330℃。在一些实施方式中,方块102包括基板的HF清洁,其可产生有硅(单晶)表面上的-H端基(termination)和氧化物(介电)表面上的-OH端基。
在方块104,将基板暴露于处理试剂,例如在用于外延沉积含硅层的目标温度下的气相外延腔室中暴露于处理试剂。可使用的一种示例性的外延腔室是可购自美国加州圣克拉拉的应用材料公司的RP外延腔室。下面图4图示一个示例性外延腔室。可以预期,可使用包括可从其他制造商取得的其他腔室来实施方式外延沉积。
用于外延沉积的目标温度可以在约250℃至约600℃之间,诸如约300℃至约500℃,例如约350℃至约400℃。外延腔室内的压力保持相对较低,例如小于约50Torr,如约10Torr至约40Torr。处理试剂可包括一个或多个沉积气体和至少一个掺杂剂气体。沉积气体可包括选自以下气体中的一个或多个前驱物气体:第三族(Group III)前驱物气体、第五族(Group V)前驱物气体、第六族(Group VI)前驱物气体或第四族(Group IV)前驱物气体。在形成含硅外延层的情况下,沉积气体可包含至少一个硅源。示例性硅源可包括但不限于:硅烷、卤化硅烷、四氯化硅(SiCl4)或上述的任何组合。硅烷可包括硅烷(SiH4)和具有经验式SixH(2x+2)的更高级的硅烷,诸如乙硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、五硅烷(Si5H12)或六硅烷(Si6H14)。亦可使用其他更高级的硅烷,例如以SinH2n表示的硅氢化物(n是等于或大于3的自然数)。例如,环三硅烷(Si3H6)、环四硅烷(Si4H8)、环戊硅烷(Si6H10)、环己硅烷(Si6H12)或环庚硅烷(Si7H14)。卤代硅烷可包括一氯甲硅烷(MCS)、二氯硅烷(DCS)、三氯硅烷(TCS)、六氯硅烷(HCDS)、八氯硅烷(OCTS)、四氯化硅(STC)或以上的组合。在一些实施方式中,硅烷可包括具有以-F、Cl、Br或I的形式与硅烷连接的不同程度卤化的更高级硅烷,以能够有选择性。例如,Si2H4Cl2或Si3H5Cl3等。
在一个示例性实施方式中,硅源包含四硅烷。在另一个示例性实施方式中,硅源包含乙硅烷。在又另一示例性实施方式中,硅源包含四硅烷和乙硅烷。
根据沉积的外延层所需的导电特性,掺杂剂气体可包括但不限于磷、硼、砷、镓或铝。沉积气体可选地含有至少一种次要元素源,如锗源或碳源。根据应用,诸如金属、卤素或氢的其他元素可结合在含硅层内。在一个示例性实施方式中,含硅外延层是掺杂有磷的硅(Si:P),其可以使用诸如磷化氢(PH3)、三氯化磷(PCl3)、三溴化磷(PBr3)及膦类化合物之类的掺杂剂来实现,膦类化合物例如是磷酸三丁酯。
处理试剂可选择性地包括载气。可基于在外延工艺期间使用的前驱物和/或工艺温度来选择载气。合适的载气包括氮气、氢气、氩气、氦气或对外延工艺惰性的其他气体。在低温(如<600℃)工艺的实施方式中,氮可用作载气。载气可具有约1SLM(每分钟标准公升)至约100SLM的流率,诸如约3SLM至约30SLM。
下表1表示在给定工艺条件下使用不同硅源(四硅烷对乙硅烷)形成的含磷外延层(Si:P)的选择性生长速率。在表1所示的全部实例中,以约11sccm的流率提供四硅烷,而以约90sccm的流率提供乙硅烷。以约1000sccm(四硅烷)和约300sccm(乙硅烷)的流率提供磷化氢。用作载气的氢气(未示出)以约8000sccm的流率提供。在所有实例中,处理腔室被加热并保持在约400℃至约500℃,其中腔室压力约为40Torr。本说明书及整个本公开内容中所述的工艺条件是基于300mm直径的基板。从下表1中可以看出,尽管四硅烷以比乙硅烷少得多的量流动,但在500℃下相较于使用二硅烷的硅源,使用四硅烷的硅源提供更优异的生长速率。
表1
在乙硅烷用作硅源的一些实施方式中,处理试剂可进一步包括卤素前驱物。示例性的卤素前驱物可以是含有卤素分子(如氯气或氯化氢)的前驱物。卤素前驱物可在外延工艺期间与沉积气体同步地(simultaneously)或同时地(concurrently)流动(即,共流模式)。在这种情况下,沉积气体和卤素前驱物可分别流入外延腔室。沉积气体和卤素前驱物可在流入外延腔室之前预先混合并形成为气体混合物。在任一情况下,外延腔室中的沉积气体和卤素前驱物的流量比可以是约1:1.5至约1:3,例如约1:2。可以预期,本说明书提及的乙硅烷和氯气可以使用本说明书所述的流量比率用任何其他硅源和卤素前驱物代替。
在方块106,一旦在基板上形成了所需厚度的含硅外延层,就中断(discontinue)处理试剂的流动,并且将任何反应残余物和/或不必要的气体以泵排出(pumped out)外延腔室。在方块106期间,外延腔室内的压力保持在约1Torr至约30Torr,诸如约1.5Torr至约15Torr。诸如氢气或氩气的净化气体可被引入外延腔室中以允许处理试剂和残余物从外延腔室被以泵排出,同时将外延腔室保持在所需的腔室压力下。净化时间可在约5秒至约45秒之间变化,例如约15秒至约20秒。
在方块108,中断净化气体的流动,以及将基板暴露于蚀刻气体以从基板的介电表面选择性地去除非晶材料,例如非晶硅(a-Si)。可在如图3或图5所示的蚀刻腔室中执行蚀刻工艺。
蚀刻气体可包括至少一个蚀刻剂和载气。蚀刻剂可以是含卤素的蚀刻剂。示例性的蚀刻剂可包括但不限于氯化氢(HCl)、氢化锗(GeH4)、氯(Cl2)、三氯化硼(BCl3)、三氯化磷(PCl3)或上述的任何组合。亦可使用更高级的(higher order)锗烷或氯化锗烷气体,更高级的锗烷诸如二甲锗烷(Ge2H6)或三锗烷(Ge3H8)),氯化锗烷气体诸如四氯化锗(GeCl4)、二氯锗烷(GeH2Cl2)、三氯锗烷(GeHCl3)、六氯二锗烷(Ge2Cl6)或以上物质中的任两个或更多个。在一个实施方式中,蚀刻剂包括HCl和GeH4。在另一实施方式中,蚀刻剂包括HCl和PCl3。在又一另外的实施方式中,蚀刻剂包括Cl2与PCl3。在又一另外的实施方式中,蚀刻剂包括HCl、GeH4和PCl3。亦可使用任何合适的卤化锗化合物。
载气可包括氢气、氮气、氩气、氦气及上述的任何组合。可基于特定的蚀刻剂来选择载气。在一个示例性实施方式中,蚀刻剂包括HCl和GeH4。在另一个实施方式中,蚀刻剂包括Cl2和GeH4。在蚀刻期间使用HCl与GeH4的情况下,可以以约1:3至约1:7(如约1:5)的GeH4/HCl比率将HCl与GeH4的流引入外延腔室。在一个示例性实例中,以约60sccm的流率引入GeH4,以及以300sccm引入HCl,其中以约3SLM的流率引入载气(N2)。
蚀刻时间可以为约250秒至约850秒,例如约300秒至约800秒,例如约360秒至约480秒。在回蚀期间,蚀刻温度可以为约600℃或更低,例如500℃或更低,例如约200℃至约400℃。蚀刻期间的腔室压力可保持在约80Torr至约300Torr,诸如约100Torr至约200Torr。可在外延腔室中执行回蚀工艺。已经观察到,本说明书所述的工艺条件可以最小化外延层刻蚀,同时去除介电表面上的所有非晶硅生长。具体而言,向HCl加入GeH4或更高级的锗烷在500℃或更低的温度下提供具有所需选择性的充分蚀刻,这在过去若单独使用HCl蚀刻来说,一直是具有挑战性的。
根据外延厚度,已经观察到使用本说明书讨论的蚀刻剂可以在低蚀刻温度下实现30:1或更高的非晶硅/晶体外延层蚀刻选择性,诸如50:1或甚至80:1的非晶硅/晶体外延层蚀刻选择性。因此,由于在外延沉积之后执行的回蚀工艺,所以与半导体表面上的外延层相比,结果是介电表面上的非晶硅层更薄。
在方块110,可中断蚀刻剂(GeH4)的流动,以及HCl可持续流动以从基板去除GeH4和其他反应残留物/副产物。HCl的流动可持续约5秒至约20秒,例如约10秒。
在方块110之后,基板可接着经受下游处理,如热退火、热清洁、热化学气相沉积、热氧化或热氮化,这可在如图6所示的温度受控处理腔室中执行。或者,可重复方法100中的一个或多个步骤,直到已经在基板的目标表面上形成预定厚度(如5至10nm)的Si:P外延膜。例如,若基板上的接触沟槽具有高深宽比(10:1或更高),则可将方块104、106、108和110重复2至5个循环以在底部沟槽处或靠近底部沟槽处从介电表面最大化不必要的膜的蚀刻。
应注意到,在本公开内容的实施方式中所述的概念也适用于形成其他材料的外延工艺。一些可能的实例可包括未掺杂的硅、SiGe/SiGe:B、Si:CP、纯Ge、GeSn、GeP、GeB或GeSnB等,其可用于逻辑和内存应用中。在这种情况下,可能的硅前驱物或掺杂剂气体可与以上所述的那些相同,以及可能的锗前驱物可包括但不限于GeH4、Ge2H6或卤化锗,卤化锗诸如GeCl4、GeHCl3、Ge2Cl6、Ge3Cl8
图2是可用于执行方块102中所见的清洁工艺的处理腔室200的截面图。处理腔室200可对于执行热或基于等离子体的氧化处理和(或)等离子体辅助干式蚀刻工艺特别有用。处理腔室200包括腔室主体212、盖组件214和支撑组件216。盖组件214设置在腔室主体212的上端,且支撑组件216至少部分地设置在腔室主体212内。真空系统可以用于将气体从处理腔室200去除。真空系统包括耦接至真空端口221的真空泵218,真空端口221设置在腔室主体212中。处理腔室200亦包括用于控制处理腔室200内的工艺的控制器202。
盖组件214包括至少两个堆叠的部件,所述至少两个堆叠的部件经构造以在堆叠的部件之间形成等离子体容积或空腔。第一电极220垂直设置于第二电极222的上方,以限定其两者之间的等离子体容积。第一电极220连接到诸如射频(RF)功率源的电源224,且第二电极222连接到地或源极返回(source return),而在第一电极220和第二电极222之间形成电容。盖组件214亦包括一个或多个气体入口226,一个或多个气体入口226用于将清洁气体透过阻隔板228和例如喷头的气体分配板230提供到基板表面。清洁气体可以是蚀刻剂或离子化的活性自由基,或氧化剂,离子化的活性自由基诸如离子化的氟、氯或氨,氧化剂诸如臭氧。
或者,可利用不同的清洁工艺来清洁基板表面。例如,可透过气体分配板230将包含He和NF3的远程等离子体引入处理腔室200中,同时NH3可经由设置在腔室主体212一侧的独立气体入口225而直接注入处理腔室200中。
支撑组件216可包括基板支撑件232,以在处理期间将基板210支撑于在基板支撑件232上。基板支撑件232具有平坦的基板支撑表面,以用于支撑其上待处理的基板。基板支撑件232可由轴236耦接至致动器234,轴236延伸穿过在腔室主体212的底部中形成的中心位置的开口。致动器234可由波纹管(未示出)弹性密封于腔室主体212,波纹管防止从轴236周围真空泄漏。致动器234允许基板支撑件232在处理位置与较低的传送位置之间的腔室主体212内垂直移动。传送位置是在腔室主体212的侧壁中形成的狭缝阀的开口略微下方。在操作中,基板支撑件232可被升到接近盖组件214的位置以控制正在处理的基板210的温度。如此一来,可经由气体分配板230发射的辐射或来自气体分配板230的对流来加热基板210。
偏压RF电源供应280可透过匹配网络284经由缆线282耦接到基板支撑件232。偏压RF电源供应280向基板210提供偏压以将离子化的清洁气体导向基板210。
图3是可用于执行方块102中所见的清洁工艺的等离子体清洁腔室300的截面图。处理腔室300具有腔室主体310,腔室主体310包括腔室配接器316、配接器318和盖件340。腔室配接器316和盖件340可由铝、不锈钢或其他合适的材料制成。盖件340可拆卸式地耦接至腔室配接器316,以界定其中的处理区域330。
加热器(或基座)314设置在腔室主体310的处理区域330中。加热器314通过中心轴耦接至腔室配接器316的底部。加热器314具有基板支撑表面,基板支撑表面用于在诸如对上面关于方块104所述的基板表面进行清洁之类的工艺期间支撑在基板支撑表面上的基板308。加热器314可由具有蓝宝石接触件的裸铝制成。加热器314经致动而在装载位置和处理位置之间垂直移动。加热器314可用于向基板308提供温度,从而在处理期间加热或冷却基板。在一些实施方式中,当加热器314下降到装载位置时,加热器314可使用环状基板支撑件(未示出)来支撑基板308以及将基板308自基板的边缘升起。在处理期间,加热器314被升高到处理位置,这样拾取并支撑基板308,其中基板支撑表面处于处理基板308的所需高度以用于基板的处理。
在一些实施方式中,加热器314可支撑设置在其外周上的可选聚焦环338。聚焦环338在处理期间围绕(circumscribe)基板308。在一个实例中,聚焦环338由石英制成。
配接器318设置在盖件340和腔室配接器316之间并在配接器318上支撑气体分配板326。气体分配板326可以是石英喷头。在气体分配板326和盖件340之间界定气室348。气体分配板326包括多个孔327,以允许气体透过在盖件340中形成的端口342流入气室348,以让气体在处理区域330中设置的整个基板308上分布。
开口315形成在腔室配接器316的底部并连接到泵317。泵317可用于将腔室主体310内部的压力控制在约1mTorr至约500Torr之间。例如,泵317可以是将处理腔室310内的压力维持在约10mTorr至约500mTorr的示例性压力范围的低压泵。泵317亦可以是将腔室主体310内的压力保持在约20Torr至300Torr的示例性压力范围的涡轮泵。
远程等离子体源350由通道360耦接到端口342。通道360界定导管356,在远程等离子体源350中产生的反应性自由基在进入处理区域330之前透过导管356被过滤。自此处产生的反应性自由基可包括离子、带电物质和其他反应性物质。在一个实施方式中,流过导管356的气体由邻近通道360设置的一个或多个磁体产生的磁场来过滤。磁体产生横跨通道360的磁场,以过滤从远程等离子体源350流出的反应性自由基产生的带电粒子。
在图3所示的实施方式中,第一磁体352和第二磁体354邻近通道360设置。磁体352、354可经设置而横跨通道360彼此相对。例如,磁体352、354可黏附或固定在通道360的外周的相对侧上。也可设想到,磁体352、354可固定于腔室盖件340或腔室主体310的其他部件。在通道360内形成的相对的磁体和导管356之间的相对距离影响穿过导管356的磁场强度,从而影响过滤效率。也可通过使用不同的磁体来调节磁场,即更换具有不同强度的磁体352、354。通过的带电粒子被拉到与通道360的内表面370接触并变成电中性的非离子物质。如此一来,经过滤的电中性自由基被递送到基板的表面以与其上的氧化物/污染物反应并清洁氧化物/污染物。
在一些实施方式中,可通过在处理气体穿过进入到腔室主体310的流动路径中提供石英表面而进一步过滤反应性自由基。例如,界定导管356的通道360内表面370可全部或部分地由石英涂覆或由石英制成,通道360的内表面370连接远程等离子体源350与腔室主体310。另外,界定气室348和/或气体分配板326的表面也可全部或至少部分地由石英涂覆或由石英制造。例如,在图3的实施方式中,石英环324可围绕气室348的外边界。另外,石英衬垫344可设置在界定气室348的上边界的盖件340的底表面上。
通道360的内表面370用作离子过滤器,以通过提供石英表面来减少自由基的重组,其中含氢自由基可以用氢键与石英表面键结并吸附到石英表面上。撞击在内表面370上的含氢自由基将吸收的含氢自由基释放到激发气体中,从而再生氢自由基。氢离子没有由内表面370再生,因此这些离子重组而形成电中性的非离子物质。因此,通过使活化的清洁气体穿过石英表面,反应性自由基从激发的清洁气体被有效地过滤,同时保存住自由基物质。来自重组的活性自由基的带电粒子被有效地减少。
图4是可用于执行方块104中所见的外延工艺的热处理腔室400的截面图。处理腔室400包括腔室主体402、支撑系统404和控制器406。腔室主体402包括上部412和下部414。上部412包括在上圆顶416和基板210之间的腔室主体402内的区域。下部414包括下圆顶430与基板210的底部之间的腔室主体402内的区域。沉积工艺通常发生在上部412内的基板210的上表面上。
支撑系统404包括用于执行和监控预定的工艺的部件,所述工艺例如是在上面方块104中所讨论的在处理腔室400中生长外延膜。控制器406耦接到支撑系统404且适于控制处理腔室400和支撑系统404。控制器406包括中央处理单元(CPU)、内存和支持电路。
处理腔室400包括诸如灯435的多个热源,多个热源适于向位于处理腔室400内的部件提供热能。例如,灯435可适于向基板210、基座426和/或预热环423提供热能。下圆顶430可由光学透明材料形成,诸如由石英形成,以便于热辐射穿过其中。可以预期,灯435可定位成透过上圆顶416以及下圆顶430来提供热能。
腔室主体402包括形成在其中的多个气室。气室与诸如载气之类的一个或多个气源476以及一个或多个前驱物源478流体连通,前驱物源478例如是上面在方块104中讨论的沉积气体和掺杂剂气体。例如,第一气室420可适于透过其中提供沉积气体450进入腔室主体402的上部412,而第二气室421可适于从上部412排出沉积气体450。以这种方式,沉积气体450可平行于基板210的上表面流动。
在使用液体前驱物(例如四硅烷)的情况下,热处理腔室400可包括与液体前驱物源482流体连通的液体蒸发器480。液体蒸发器480用于蒸发待输送至热处理腔室400的液体前驱物。虽然未示出,但可以设想,液体前驱物源482可包括例如前驱物液体和溶剂液体的一个或多个安瓿(ampules)、切断阀和液体流量计(LFM)。
基板支撑组件432位于腔室主体402的下部414中。所示基板支撑件432在处理位置支撑基板210。基板支撑组件432包括由光学透明材料形成的基座支撑轴427和由基座支撑轴427支撑的基座426。基座支撑轴427的轴460定位在与升降销接触件442耦接的围板(shroud)431内。基座支撑轴427是可旋转的,以便于在处理期间旋转基板210。耦接到基座支撑轴427的致动器429促进基座支撑轴427的旋转。围板431一般是固定就位,因此在处理期间没有旋转。支撑销437将基座支撑轴427耦接到基座426。
升降销433穿过形成在基座支撑轴427中的开口(未标出)设置。升降销433可为垂直地致动且适于接触基板210的下侧以将基板210从处理位置(如图所示)举升至基板移除位置。
预热环423可拆卸式地设置在耦接到腔室主体402的下衬垫440上。预热环423绕腔室主体402的内部体积设置且当基板210处于处理位置时围绕基板210。当处理气体穿过邻近预热环423的气室420进入腔室主体402时,预热环423促成处理气体的预热。
上圆顶416的中央窗部分415和下圆顶430的底部417可由诸如石英的光学透明材料形成。绕中央窗部分415的圆周接合中央窗部分415的上圆顶416的周边凸缘419、绕底部的圆周接合底部的下圆顶430的周边凸缘421,可全部由不透明的石英形成,以保护邻近周边凸缘的O形环422免于直接暴露于热辐射。周边凸缘419可由诸如石英的光学透明材料形成。
图5是可用于执行方块102、106、108与110中所见的工艺中的任一个的ICP等离子体腔室500的截面图。图5中所示的等离子体腔室500包括上部528和下部530。等离子体腔室500具有侧壁505和盖组件510。侧壁505具有轴向对称的形状,诸如圆柱体。侧壁505包括轴对称的(例如,圆柱形)介电侧窗506和腔室衬垫507,腔室衬垫507可由金属形成。等离子体腔室500内的基板支撑件515包括基座520与支柱525,基座520具有基板支撑表面521,基板支撑表面521面向盖组件510且用于固持基板210,支柱525支撑基座520。等离子体腔室500的处理区域501由盖组件510、基座520和侧壁505所限定。
基座520可包括绝缘的内部电极524。可选地,静电夹持(ESC)电压和/或RF等离子体偏压功率可经由延伸穿过支柱525的缆线532供应给绝缘的内部电极524。缆线532可与RF偏压电源(诸如RF阻抗匹配网络和/或一个RF功率产生器)耦接作为RF偏压供给到绝缘的内部电极524。
等离子体源功率由一组线圈天线电感耦接入处理区域501中,一组线圈天线包括内线圈天线540、中间线圈天线550与任选的外部或侧线圈天线560,以上全部线圈天线彼此相对同心地设置且与侧壁505的对称轴同轴。盖组件510包括盘形介电窗512,内线圈天线540和中间线圈天线550穿过盘形介电窗而将RF等离子体源功率电感耦接到处理区域501中。盘形介电窗512在其周边由环形顶部气体板523支撑。环形顶部气体板523围绕开口504。盘形介电窗512与侧壁505同轴且具有平行于基板支撑表面521的平面的盘平面。侧线圈天线560将RF等离子体源功率穿过圆柱形介电侧窗506电感耦接到处理区域501中。
气体注入器514位于盘形介电窗512的中心且被环形气流板516围绕。气流板516可具有多个气体输入端口(未示出),多个气体输入端口经构造以向气体注入器514提供气体流动路径。清洁气体或蚀刻气体由气体注入器514注入处理区域501中。
腔室衬垫507被包围于下腔室主体570内,下腔室主体570包括圆柱形下腔室主体侧壁575和下腔室主体底板580。下腔室主体侧壁575和下腔室主体底板580包围抽气区域581。真空泵590设置在下腔室主体底板580中的真空泵开口595中,且相对于下腔室主体侧壁575的对称轴置中。约束壁596与弹性波纹管597包围在内部中心空间598中的基板支撑件515,约束壁596与基板支撑件515同轴,弹性波纹管597在基座520与约束壁596之间延伸。内部中心空间598与真空泵590抽空的体积隔离,该体积包括抽气区域581和处理区域501。
可由共用的RF源或不同RF源供应电力例如,由RF匹配(RF阻抗匹配网络)542和544供应电力。可采用具有双输出的RF阻抗匹配网络,以便用第一RF产生器驱动线圈天线中的两个线圈天线,而第二RF产生器和第二RF阻抗匹配网络驱动第三线圈天线。在一个实施方式中,单一RF功率产生器可透过具有三个输出的RF阻抗匹配网络来驱动所有三个线圈天线。或者,三个RF产生器可分别透过三个各自的RF阻抗匹配网络驱动三个线圈天线。施加到不同线圈天线的RF功率级(power level)可经分别调整以控制等离子体离子密度的径向分布。虽然所述实施方式包括三个线圈天线540、550和560,但是其他实施方式可只包括上述三个线圈天线540、550和560中的一或两个。
图6是可用于诸如硅基板的基板的温度受控处理的处理系统600的示意性截面图。处理系统600包括处理单元624和第一加热单元608。处理单元624可以是可购自美国加州圣克拉拉的应用材料公司的RADOXTM RTP腔室。处理单元624能够针对数种工艺提供加热基板201的受控热循环,所述工艺例如热退火、热清洁、热化学气相沉积、热氧化或热氮化。
处理单元624包括包围处理区域602的腔室636。腔室636可由不锈钢、铝或其他适合的材料制成。腔室636亦可包括气体出口618和与气体出口618相对的第一气体入口616。腔室636可包括设置在其中的基板支撑件642,用于在处理区域602中的处理期间支撑基板201。基板支撑件642可包括磁悬浮转子620以及在处理期间旋转基板201的石英支撑圆柱622。辐射源646将辐射引导到基板201上,以及可定位于基板201的下方且邻近辐射可透过的窗644之下的腔室636的底表面638。在一个实施方式中,辐射源646可包括多个加热元件606。多个加热元件606可包括一个或多个大致径向的加热区,大致径向的加热区可以经独立调节以控制整个基板201的温度。
在一个实施方式中,加热元件606可以是多个钨卤素灯,用于向基板201提供定制的红外加热构件。辐射源646能够快速加热基板201以用于热处理,例如以约50℃/秒至约280℃/秒的速率。可执行温度调节以在某些位置改变基板201的温度,而不影响基板其余部分的温度。在另一个实施方式中,辐射源646可位于腔室636内。
第一加热单元608可以耦接到第一导管612。第一导管612可耦接至第一气源628并提供第一气源628与第一气体入口616之间的连接。因此,第一加热单元608可操作地耦接到处理单元624。第一加热单元608可在第一气体进入腔室636的处理区域602之前加热第一气体到第一温度。该气源可提供处理气体进入处理区域602。在使用H2O2进行反应的情况下,第二处理气体可以是氢气。在反应涉及N2O的情况下,第二处理气体可以是氮气。第一导管612可由在第一加热单元608和第一气体入口616之间延伸的部分614中的绝缘体634热绝缘(insulate)。当第一气体从第一加热单元608流到处理区域602时,将第一导管612热绝缘有利地减少热损失。在第一气体进入处理区域602之前加热第一气体,通过降低跨基板201表面上的温度梯度来有利地改善均匀性。此外,因为在第一气体已被加热之后将第二气体添加到第一气体,所以两个气体可在处理区域602附近反应。在一个实施方式中,第一气体是具有较低热导率的气体因此控制燃烧反应的气体。在使用H2O2进行反应的情况下,第一气体可以是氧气。在反应涉及N2O的情况下,第一处理气体可以是氧气。
耦接单元648可将第一导管612的部分614与腔室636耦接。在一个实施方式中,耦接单元648可以是二氧化硅。尽管基板201的温度可达到约1000℃,但是腔室636的壁保持接近约30℃以保持腔室636的密封完整性。如此一来,耦接单元648有利地在基板201的处理期间保持腔室636的完整性。
图7是可以用于完成根据本说明书所述实施方式的图1所示流程图100的处理系统700的示意性俯视图。处理系统700的一个实例是可购自加州圣克拉拉的应用材料公司的系统。任何方便类型的传送机器人604设置在处理系统700的传送腔室702中。负载锁定706,且具有两个负载锁定腔室706A、706B耦接至传送腔室702。多个处理腔室708、710、712、714和716亦耦接传送腔室702。多个处理腔室708、710、712、714和716可包括针对图2至6所述的腔室中的至少一个,诸如清洁腔室、蚀刻腔室、外延腔室或氧化腔室等。
处理腔室708可以是经构造以在沉积之前清洁基板的清洁腔室。例如,处理腔室708可以是类似于图2所示的处理腔室200的电容耦合处理腔室。在一个实施方式中,处理腔室708是可购自加州圣克拉拉的应用材料公司的SICONITM预清洁腔室。处理腔室708可用于执行如上面在方块102中所讨论的清洁工艺。
处理腔室710可以是经构造以在沉积之前清洁基板的清洁腔室。例如,处理腔室710可以是使用类似于图3中所示的等离子体清洁腔室300的远程等离子体源的预清洁腔室。在一个实施方式中,处理腔室710是可购自加州圣克拉拉的应用材料公司的AKTIV预清洁TM腔室(AKTIV Pre-CleanTM chamber)。处理腔室710使用电中性自由基(例如氢自由基)以与基板上的氧化物和/或污染物反应并清洁掉基板上的氧化物和/或污染物,如以上方块102和/或方块108所讨论的。
处理腔室712可以是经构造以将材料沉积于基板上的热处理腔室。例如,处理腔室712可以是类似于图4中所示的处理腔室400的材料沉积腔室,诸如外延腔室。在一个实施方式中,处理腔室712是可购自加州圣克拉拉的应用材料公司的RP外延腔室(RP EPI chamber)。处理腔室712可用于执行如上面在方块104中所讨论的外延生长工艺和如上面在方块106中所讨论的净化工艺。
处理腔室714可以是经构造以把材料自基板蚀刻掉的蚀刻腔室。例如,处理腔室714可以是类似于图5中所示的等离子体腔室500的等离子体腔室,诸如ICP等离子体腔室。在一个实施方式中,处理腔室714是可购自加州圣克拉拉的应用材料公司的AdvantedgeTM MesaTM蚀刻腔室(AdvantedgeTM MesaTM Etch chamber)。处理腔室714可用于执行如上面在方块108中所讨论的与蚀刻有关的工艺。
处理腔室716可以是经构造以提供加热基板的受控热循环的热处理腔室。处理腔室716可以是类似于图6所示的处理腔室600的热处理腔室。在一个实施方式中,处理腔室716是可购自加州圣克拉拉的应用材料公司的RADOXTM RTP腔室。处理腔室716可用于在沉积之后执行下游处理,如上面在方块110中所讨论的热退火、热清洁、热化学气相沉积、热氧化或热氮化。
在处理期间,待处理的基板可到达在舱(pod,未示出)中的处理系统700。基板由工厂界面机器人(未示出)而从舱传送到真空兼容负载锁定腔室706A、706B。基板接着由传送机器人704在传送腔室702(通常保持在真空状态)中搬运,传送腔室702通常保持在真空状态。传送机器人704接着将基板装载到处理腔室708或处理腔室710中,以用于如在方块102中所述的清洁基板。一旦完成清洁之后,传送机器人704接着从处理腔室708或710拾取基板,并将基板装载到处理腔室712中,以用于基板上材料的外延生长和腔室净化,如方块104和106中所述。传送机器人704接着从处理腔室712拾取基板,并将基板装载到处理腔室714中,以用于将材料自基板蚀刻,如方块108中所述。重复此顺序直至达到预定厚度的外延膜。然后,传送机器人704从处理腔室714中拾取基板,并将其装载到处理腔室716中以用于任何下游处理,如上面在方块110中所讨论的热退火、热清洁、热化学气相沉积、热氧化或热氮化。因为所有的操作(方块102、104、106、108和110)是在相同的处理系统中执行,所以当基板被传送到各式处理腔室时,基板没有暴露于大气(即没有破坏真空),如此降低了污染的机会并提高所沉积的外延膜的品质。
传送腔室702可在处理期间保持在真空下和/或在低于大气压的压力下。传送腔室702的真空程度可经调整以匹配相应的处理腔室的真空程度。例如,当基板从传送腔室702传送到处理腔室(或反之亦然)时,传送腔室702和处理腔室可维持在相同的真空程度。然后,当基板从传送腔室传送到负载锁定腔室或批量负载锁定腔室(或反之亦然)时,传送腔室的真空程度可匹配负载锁定腔室706A、708的真空程度,即便负载锁定腔室与处理腔室的真空程度可能不同。
总而言之,本公开内容的好处是提供一种用于在外延沉积和循环的沉积-蚀刻工艺之前预清洁含硅基板的整合系统和方法,包括使用乙硅烷或四硅烷(或更高级硅烷)的外延沉积步骤和使用GeH4与HCl的回蚀步骤,如此使得改善器件质量与外延工艺的蚀刻选择性(至少50:1)。使用GeH4与HCl的回蚀步骤允许在降低的蚀刻温度(低于500℃)下有效地从介电表面去除可能的硅核并且形成具有低得多的活性掺杂剂损失的硅外延膜。利用本发明的沉积-蚀刻工艺,可以在不牺牲产量的情况下达到具有每立方厘米5.77x1020原子的磷浓度或更高浓度的含磷硅层,例如每立方厘米9.49x1020原子的磷浓度。高磷浓度引起沉积的外延膜内的应力,由此增加拉伸应变,使得增加载流子移动率并改善器件效能。另外,群集处理腔室通过真空传送减少暴露于大气且相应地减少暴露于氧污染物。群集原生氧化物移除腔室以及硅蚀刻和外延沉积也使得氧污染物减少。因此,整合系统有利地提供了改良的半导体器件。
尽管前面所述针对本公开内容的实施方式,但在不背离本公开内容的基本范围下,可设计本公开内容的其他与进一步的实施方式。

Claims (22)

1.一种形成外延层的方法,包括以下步骤:
在清洁工艺期间从处理系统内的基板的表面去除氧化物;接着
在外延工艺期间使包含硅源的处理试剂流动进入所述处理系统中并且将所述基板暴露于所述处理试剂;接着
使净化气体流动进入所述处理系统并从处理系统中以泵排出残留物;
停止所述净化气体的所述流动;接着
使蚀刻气体流动进入所述处理系统并将所述基板暴露于所述蚀刻气体,其中所述蚀刻气体包含氯化氢和从以下项中选择的至少一种化合物:含锗化合物、含氯化合物或上述的混合物;
停止所述至少一种化合物的所述流动,同时持续所述氯化氢的所述流动并将所述基板暴露至所述氯化氢;以及
停止所述氯化氢的所述流动。
2.根据权利要求1所述的方法,其中所述硅源包括二硅烷、三硅烷、四硅烷、五硅烷或六硅烷。
3.根据权利要求1所述的方法,其中所述清洁工艺包括溅射蚀刻工艺、基于等离子体的氧化物蚀刻工艺、湿式蚀刻工艺、烘烤工艺或上述工艺的组合。
4.根据权利要求1所述的方法,其中所述清洁工艺包括基于等离子体的氧化物蚀刻工艺,所述基于等离子体的氧化物蚀刻工艺进一步包括同时将所述基板暴露于由电感耦合等离子体工艺、电容耦合等离子体工艺或远程等离子体辅助干式蚀刻工艺形成的NF3和NH3等离子体副产物。
5.根据权利要求1所述的方法,其中所述清洁工艺包括在存在氢气的情况下加热所述基板。
6.根据权利要求1所述的方法,其中所述清洁工艺进一步包括在去除所述氧化物之后在所述基板的所述表面上形成硅-氢键结。
7.根据权利要求1所述的方法,其中所述清洁工艺是在预清洁腔室中执行的,所述预清洁腔室包括电容耦合等离子体源和耦接到偏压RF电源的基板支撑件,并且其中所述电容耦合等离子体源耦接到He和NF3源。
8.根据权利要求1所述的方法,其中所述清洁处理包括将所述基板的所述表面上的所述氧化物暴露于HF清洁,以在单晶硅表面上产生-H端基并在介电氧化物表面上产生-OH端基。
9.根据权利要求1所述的方法,其中所述净化气体包括氢气或氩气。
10.根据权利要求1所述的方法,其中所述蚀刻气体包括含锗化合物,并且其中所述含锗化合物包括氢化锗、二锗烷、三锗烷、四氯化锗、二氯锗烷、三氯锗烷、六氯二锗烷、或上述的任意组合。
11.根据权利要求1所述的方法,其中所述蚀刻气体包含含氯化合物,并且其中所述含氯化合物包含氯气、三氯化硼、三氯化磷、或上述的任意组合。
12.根据权利要求1所述的方法,其中所述蚀刻气体包括氯化氢、氢化锗和三氯化磷。
13.根据权利要求1所述的方法,其中所述蚀刻气体进一步包括载气,所述载气包括氢气、氮气、氩气、氦气、和上述的任意组合。
14.根据权利要求1所述的方法,其中所述蚀刻气体选择性地从所述基板的介电表面去除非晶硅。
15.根据权利要求1所述的方法,其中所述基板的所述表面包括深宽比为10:1或更高的接触沟槽。
16.根据权利要求1所述的方法,其中所述外延工艺是在耦接到处理系统的外延腔室中执行的,并且所述外延腔室包括与液体前驱物源流体连通的液体前驱物蒸发器。
17.一种形成外延层的方法,包括以下步骤:
在清洁工艺期间中从处理系统内的基板的表面去除氧化物;接着
执行工艺循环,所述工艺循环包括:
在外延工艺期间使包含硅源的处理试剂流动进入所述处理系统中并且将所述基板暴露于所述处理试剂;
停止使所述处理试剂流动进入所述处理系统中;接着
使净化气体流动进入所述处理系统并从处理系统中以泵排出残留物;
停止所述净化气体的所述流动;接着
使蚀刻气体流动进入所述处理系统并将所述基板暴露于所述蚀刻气体,其中所述蚀刻气体包含氯化氢和从以下项中选择的至少一种化合物:含锗化合物、含氯化合物或上述的混合物;
停止所述至少一种化合物的所述流动,同时持续所述氯化氢的所述流动并将所述基板暴露至所述氯化氢;以及
停止所述氯化氢的所述流动;以及
重复所述工艺循环。
18.根据权利要求16所述的方法,其中所述硅源包括二硅烷、三硅烷、四硅烷、五硅烷或六硅烷。
19.根据权利要求17所述的方法,其中所述工艺循环重复2个循环至5个循环。
20.根据权利要求19所述的方法,其中所述基板的所述表面包括深宽比为10:1或更高的接触沟槽。
21.根据权利要求17所述的方法,其中所述清洁工艺包括基于等离子体的氧化物蚀刻工艺,所述基于等离子体的氧化物蚀刻工艺进一步包括同时将所述基板暴露于由电感耦合等离子体工艺、电容耦合等离子体工艺或远程等离子体辅助干式蚀刻工艺形成的NF3和NH3等离子体副产物。
22.一种形成外延层的方法,包括以下步骤:
在清洁工艺期间从处理系统内的基板的表面去除氧化物,其中所述基板的所述表面包括深宽比为10:1或更高的接触沟槽,并且其中所述清洁工艺包括基于等离子体的氧化物蚀刻工艺,所述基于等离子体的氧化物蚀刻工艺进一步包括同时将所述基板暴露于由电感耦合等离子体工艺、电容耦合等离子体工艺或远程等离子体辅助干式蚀刻工艺形成的NF3和NH3等离子体副产物;接着
在外延工艺期间使包含二硅烷或四硅烷的处理试剂流动进入所述处理系统中并且将所述基板暴露于所述处理试剂;
停止使所述处理试剂流动进入所述处理系统中;接着
使净化气体流动进入所述处理系统并从处理系统中以泵排出残留物;
停止所述净化气体的所述流动;接着
使蚀刻气体流动进入所述处理系统并将所述基板暴露于所述蚀刻气体,其中所述蚀刻气体包含氯化氢和从以下项中选择的至少一种化合物:含锗化合物、含氯化合物或上述的混合物;
停止所述至少一种化合物的所述流动,同时持续所述氯化氢的所述流动并将所述基板暴露至所述氯化氢;
停止所述氯化氢的所述流动;以及接着将所述基板暴露于所述处理系统内的热退火工艺。
CN202311332938.9A 2017-02-10 2018-02-06 用于深沟槽内的低温选择性外延的方法及设备 Pending CN117558649A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762457572P 2017-02-10 2017-02-10
US62/457,572 2017-02-10
CN201880010056.1A CN110249417B (zh) 2017-02-10 2018-02-06 用于深沟槽内的低温选择性外延的方法及设备
PCT/US2018/017016 WO2018148189A1 (en) 2017-02-10 2018-02-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880010056.1A Division CN110249417B (zh) 2017-02-10 2018-02-06 用于深沟槽内的低温选择性外延的方法及设备

Publications (1)

Publication Number Publication Date
CN117558649A true CN117558649A (zh) 2024-02-13

Family

ID=63106193

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202311332938.9A Pending CN117558649A (zh) 2017-02-10 2018-02-06 用于深沟槽内的低温选择性外延的方法及设备
CN201880010056.1A Active CN110249417B (zh) 2017-02-10 2018-02-06 用于深沟槽内的低温选择性外延的方法及设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880010056.1A Active CN110249417B (zh) 2017-02-10 2018-02-06 用于深沟槽内的低温选择性外延的方法及设备

Country Status (5)

Country Link
US (2) US20180230624A1 (zh)
KR (4) KR102619574B1 (zh)
CN (2) CN117558649A (zh)
TW (2) TW202333281A (zh)
WO (1) WO2018148189A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840066B2 (en) 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US10971366B2 (en) 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US10871325B2 (en) * 2018-11-15 2020-12-22 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Vaccum drying apparatus
CN110981172A (zh) * 2019-12-21 2020-04-10 张忠恕 一种外延工艺石英焊件组件及其加工工艺
TW202212618A (zh) * 2020-09-02 2022-04-01 美商應用材料股份有限公司 控制偶然沉積的噴頭設計
US20220157604A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
CN112802782B (zh) * 2021-03-29 2022-04-05 西安奕斯伟硅片技术有限公司 用于电荷钝化测试单晶硅片少子寿命的前处理系统和方法
JP7510487B2 (ja) * 2021-12-27 2024-07-03 セメス カンパニー,リミテッド ガス供給ユニット及びこれを含む基板処理装置
US20230307506A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation
CN114855270B (zh) * 2022-04-21 2023-07-28 南昌大学 一种类分子束外延设备及薄膜制备方法
KR20230151810A (ko) * 2022-04-26 2023-11-02 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US20230402268A1 (en) * 2022-06-09 2023-12-14 Applied Materials, Inc. Plasma preclean system for cluster tool
EP4386823A1 (en) * 2022-12-12 2024-06-19 Comptek Solutions OY Method of passivating cleaved semiconductor structure

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
GB2343550A (en) * 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100777151B1 (ko) * 2006-03-21 2007-11-16 주식회사 디엠에스 하이브리드형 플라즈마 반응장치
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2224469A3 (en) * 2009-02-25 2015-03-25 Imec Method for etching 3d structures in a semiconductor substrate, including surface preparation
JP5267361B2 (ja) 2009-07-03 2013-08-21 株式会社Sumco エピタキシャル成長方法
US8920599B2 (en) * 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US9396992B2 (en) * 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects

Also Published As

Publication number Publication date
WO2018148189A1 (en) 2018-08-16
TW201839891A (zh) 2018-11-01
US20180230624A1 (en) 2018-08-16
KR20220147159A (ko) 2022-11-02
TW202333281A (zh) 2023-08-16
KR20240069818A (ko) 2024-05-20
CN110249417A (zh) 2019-09-17
KR20190108176A (ko) 2019-09-23
KR102511483B1 (ko) 2023-03-17
KR20240005999A (ko) 2024-01-12
KR102663833B1 (ko) 2024-05-03
US20230036426A1 (en) 2023-02-02
CN110249417B (zh) 2023-10-24
KR102619574B1 (ko) 2023-12-28

Similar Documents

Publication Publication Date Title
CN110249417B (zh) 用于深沟槽内的低温选择性外延的方法及设备
JP7046162B2 (ja) 高選択性酸化物除去および高温汚染物質除去と統合されたエピタキシシステム
US11087979B2 (en) Cleaning method
JP7348975B2 (ja) 一体化されたエピタキシと予洗浄システム
JP2020532114A (ja) 一体型エピタキシシステム高温汚染物質除去
JP2016528734A (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US20170350038A1 (en) Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
TW202035773A (zh) 用於金屬矽化物沉積的方法及設備
JP7190905B2 (ja) 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
TW202433650A (zh) 用於深溝槽內的低溫選擇性磊晶之方法及設備
US20240088222A1 (en) Uniform epitaxial growth over crystalline template
WO2024129263A1 (en) Contact layer formation with microwave annealing for nmos devices
TW202343548A (zh) 用於cmos裝置的觸點形成處理
TW202331789A (zh) 整合式磊晶與預清潔系統
TW202418585A (zh) 用於經摻雜半導體磊晶層的含碳帽層
WO2017209900A1 (en) A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination