KR101271248B1 - 에피택셜 공정을 위한 반도체 제조설비 - Google Patents

에피택셜 공정을 위한 반도체 제조설비 Download PDF

Info

Publication number
KR101271248B1
KR101271248B1 KR1020110077102A KR20110077102A KR101271248B1 KR 101271248 B1 KR101271248 B1 KR 101271248B1 KR 1020110077102 A KR1020110077102 A KR 1020110077102A KR 20110077102 A KR20110077102 A KR 20110077102A KR 101271248 B1 KR101271248 B1 KR 101271248B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
cleaning
epitaxial
loaded
Prior art date
Application number
KR1020110077102A
Other languages
English (en)
Other versions
KR20130015224A (ko
Inventor
김영대
현준진
우상호
신승우
김해원
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to KR1020110077102A priority Critical patent/KR101271248B1/ko
Priority to TW101126742A priority patent/TWI474422B/zh
Priority to US14/235,313 priority patent/US20140174357A1/en
Priority to CN201280037898.9A priority patent/CN103828024B/zh
Priority to JP2014523843A priority patent/JP5978301B2/ja
Priority to PCT/KR2012/006107 priority patent/WO2013019064A2/ko
Publication of KR20130015224A publication Critical patent/KR20130015224A/ko
Application granted granted Critical
Publication of KR101271248B1 publication Critical patent/KR101271248B1/ko
Priority to US15/842,099 priority patent/US20180105951A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • C30B35/005Transport systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Abstract

본 발명의 일 실시예에 의하면, 반도체 제조설비는 기판에 대한 세정 공정이 이루어지는 세정 챔버; 상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 그리고 상기 세정 챔버 및 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 공정이 완료된 상기 기판을 상기 에피택셜 챔버로 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하고, 상기 세정 챔버는 복수의 기판들에 대하여 이루어지는 배치 타입인 것을 특징으로 한다.

Description

에피택셜 공정을 위한 반도체 제조설비{EQUIPMENT FOR MANUFACTURING SEMICONDUCTOR}
본 발명은 반도체 제조설비에 관한 것으로, 더욱 상세하게는 기판 상에 에피택셜 층을 형성하는 에피택셜 공정을 위한 반도체 제조설비에 관한 것이다.
통상적인 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 식각 반응을 수반한다. 증착 및 식각 반응은 다결정층 및 에피택셜 층에 대해 비교적 상이한 반응 속도로 동시에 발생한다. 증착 프로세스 중에, 적어도 하나의 제2층상에, 기존의 다결정층 및/또는 비결정층이 증착되는 동안, 에피택셜 층은 단결정 표면상에 형성된다. 그러나 증착된 다결정층은 일반적으로 에피택셜 층보다 빠른 속도로 식각된다. 따라서, 부식 가스의 농도를 변화시킴으로써, 네트 선택적 프로세스(net selective process)가 에피택시 재료의 증착 및 제한된 또는 제한되지 않은 다결정 재료의 증착을 가져온다. 예를 들어, 선택적 에피택시 프로세스는, 증착물이 스페이서 상에 남아있지 않으면서 단결정 실리콘 표면상에 실리콘 함유 재료의 에피층(epilayer)의 형성을 가져올 수 있다.
선택적 에피택시 프로세스는 일반적으로 몇 가지 단점을 가진다. 이러한 에피택시 프로세스 중에 선택성을 유지시키기 위해, 전구체의 화학적 농도 및 반응 온도가 증착 프로세스에 걸쳐서 조절 및 조정되어야 한다. 충분하지 않은 실리콘 전구체가 공급되면, 식각 반응이 활성화되어 전체 프로세스가 느려진다. 또한, 기판 피처의 식각에 대해 해가 일어날 수 있다. 충분하지 않은 부식액 전구체가 공급되면, 증착 반응은 기판 표면에 걸쳐서 단결정 및 다결정 재료를 형성하는 선택성(selectivity)이 감소할 수 있다. 또한, 통상적인 선택적 에피택시 프로세스는 약 800℃, 약 1,000℃, 또는 그보다 높은 온도와 같은 높은 반응 온도를 일반적으로 요구한다. 이러한 높은 온도는 기판 표면에 대한 가능한 통제되지 않은 질화 반응 및 열 예산(thermal budge) 이유로 인해 제조 프로세스 중에 바람직하지 않다.
국제공개공보 WO 2008/073926 2008. 6. 19. 한국공개특허공보 10-2009-0035430호 2009. 4. 9.
본 발명의 목적은 기판 상에 에피택셜 층을 형성할 수 있는 반도체 제조설비를 제공하는 데 있다.
본 발명의 다른 목적은 기판 상에 형성된 자연산화막을 제거하고 기판 상에 자연산화막이 형성되는 것을 방지할 수 있는 반도체 제조설비를 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 반도체 제조설비는 기판에 대한 세정 공정이 이루어지는 세정 챔버; 상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버; 그리고 상기 세정 챔버 및 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 공정이 완료된 상기 기판을 상기 에피택셜 챔버로 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하고, 상기 세정 챔버는 복수의 기판들에 대하여 이루어지는 배치 타입인 것을 특징으로 한다.
상기 세정 챔버는, 상기 세정 공정이 이루어지는 공정공간을 제공하는 상부챔버; 상기 기판이 출입하는 세정 통로를 가지는 하부챔버; 상기 기판이 적재되는 기판 홀더; 상기 기판 홀더에 연결되어 상기 기판 홀더와 함께 승강하며, 상기 기판 홀더를 상기 상부챔버 및 상기 하부챔버로 이동하는 회전축; 그리고 상기 기판 홀더와 함께 승강하며, 상기 세정 공정 동안 상기 공정공간을 외부로부터 차단하는 지지판을 구비할 수 있다.
상기 세정 챔버는 상기 회전축을 승강하는 엘리베이터 및 상기 회전축을 회전시키는 구동모터를 더 구비할 수 있다.
상기 세정 챔버는, 상기 상부챔버의 일측에 설치되어 상기 공정공간을 향해 플라즈마를 공급하는 인젝터; 상기 인젝터에 연결되어 상기 인젝터에 상기 플라즈마를 공급하는 플라즈마 공급라인; 그리고 상기 플라즈마 공급라인에 연결되며, 반응가스를 여기하여 상기 플라즈마를 생성하는 플라즈마 소스를 더 구비할 수 있다.
상기 반응가스는 NF3,NH3,H2,N2로 이루어진 그룹으로부터 선택된 하나 이상일 수 있다.
상기 세정 챔버는 상기 상부챔버의 일측에 설치되어 상기 공정공간을 가열하는 히터를 더 구비할 수 있다.
상기 이송 챔버는 상기 세정 챔버를 향해 상기 기판이 출입하는 이송 통로를 가지며, 상기 반도체 제조설비는 상기 세정 챔버와 상기 이송 챔버를 격리하는 세정측 게이트 밸브를 더 포함할 수 있다.
본 발명의 일 실시예에 의하면 기판 상에 형성된 자연산화막을 제거할 수 있을 뿐만 아니라 기판 상에 자연산화막이 형성되는 것을 방지할 수 있다. 따라서, 기판 상에 효과적으로 에피택셜 층을 형성할 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비를 개략적으로 나타내는 도면이다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다.
도 4는 도 1에 도시한 버퍼 챔버를 나타내는 도면이다.
도 5는 도 4에 도시한 기판 홀더를 나타내는 도면이다.
도 6은 도 1에 도시한 세정 챔버를 나타내는 도면이다.
도 7은 도 1에 도시한 세정 챔버의 다른 실시예를 나타내는 도면이다.
도 8은 도 1에 도시한 에피택셜 챔버를 나타내는 도면이다.
도 9는 도 1에 도시한 공급관을 나타내는 도면이다.
이하, 본 발명의 바람직한 실시예들을 첨부된 도 1 내지 도 9를 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
도 1은 본 발명의 일 실시예에 따른 반도체 제조설비(1)를 개략적으로 나타내는 도면이다. 반도체 제조장치(1)는 공정설비(2), 설비 전방 단부 모듈(Equipment Front End Module:EFEM)(3), 그리고 경계벽(interface wall)(4)을 포함한다. 설비 전방 단부 모듈(3)은 공정설비(2)의 전방에 장착되어, 기판들(S)이 수용된 용기(도시안됨)와 공정설비(2) 간에 웨이퍼(W)를 이송한다.
설비 전방 단부 모듈(3)은 복수의 로드포트들(loadports)(60)과 프레임(frame)(50)을 가진다. 프레임(50)은 로드포트(60)와 공정 설비(2) 사이에 위치한다. 기판(S)를 수용하는 용기는 오버헤드 트랜스퍼(overhead transfer), 오버헤드 컨베이어(overhead conveyor), 또는 자동 안내 차량(automatic guided vehicle)과 같은 이송 수단(도시안됨)에 의해 로드포트(60) 상에 놓여진다.
용기는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다. 프레임(50) 내에는 로드포트(60)에 놓여진 용기와 공정설비(2) 간에 기판(S)을 이송하는 프레임 로봇(70)이 설치된다. 프레임(50) 내에는 용기의 도어를 자동으로 개폐하는 도어 오프너(도시안됨)가 설치될 수 있다. 또한, 프레임(50)에는 청정 공기가 프레임(50) 내 상부에서 하부로 흐르도록 청정 공기를 프레임(50) 내로 공급하는 팬필터 유닛(Fan Filter Unit:FFU)(도시안됨)이 제공될 수 있다.
기판(S)은 공정설비(2) 내에서 소정의 공정이 수행된다. 공정설비(2)는 이송 챔버(transfer chamber)(102), 로드록 챔버(loadlock chamber)(106), 세정 챔버(cleaning chamber)(108a,108b), 버퍼 챔버(buffer chamber)(110), 그리고 에피택셜 챔버(epitaxial chamber)(112a,112b,112c)를 포함한다. 이송 챔버(102)는 상부에서 바라볼 때 대체로 다각의 형상을 가지며, 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 이송 챔버(102)의 측면에 설치된다.
로드록 챔버(106)는 이송 챔버(102)의 측부들 중 설비 전방 단부 모듈(3)과 인접한 측부에 위치한다. 기판(S)은 로드록 챔버(106) 내에 일시적으로 머무른 후 공정설비(2)에 로딩되어 공정이 이루어지며, 공정이 완료된 후 기판(S)은 공정설비(2)로부터 언로딩되어 로드록 챔버(106) 내에 일시적으로 머무른다. 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)는 진공으로 유지되며, 로드록 챔버(106)는 진공 및 대기압으로 전환된다. 로드록 챔버(106)는 외부 오염물질이 이송 챔버(102), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c)로 유입되는 것을 방지한다. 또한, 기판(S)의 이송 동안, 기판(S)이 대기에 노출되지 않으므로, 기판(S) 상에 산화막이 성장하는 것을 방지할 수 있다.
로드록 챔버(106)와 이송 챔버(102) 사이, 그리고 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에는 게이트 밸브(도시안됨)가 설치된다. 설비 전방 단부 모듈(3)과 로드록 챔버(106) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 이송 챔버(102) 사이에 제공된 게이트 밸브가 닫히고, 로드록 챔버(106)와 이송 챔버(102) 간에 기판(S)이 이동하는 경우, 로드록 챔버(106)와 설비 전방 단부 모듈(3) 사이에 제공되는 게이트 밸브가 닫힌다.
이송 챔버(102)는 기판 핸들러(104)를 구비한다. 기판 핸들러(104)는 로드록 챔버(106), 세정 챔버(108a,108b), 버퍼 챔버(110), 그리고 에피택셜 챔버(112a,112b,112c) 사이에서 기판(S)을 이송한다. 이송 챔버(102)는 기판(S)이 이동할 때 진공을 유지하도록 밀봉된다. 진공을 유지하는 것은 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지하기 위함이다.
에피택셜 챔버(112a,112b,112c)는 기판(S) 상에 에피택셜 층을 형성하기 위하여 제공된다. 본 실시예에서는 3개의 에피택셜 챔버(112a,112b,112c)가 제공된다. 에피택셜 공정은 세정 공정에 비해 많은 시간이 소요되므로, 복수의 에피택셜 챔버를 통해 제조수율을 향상시킬 수 있다. 본 실시예와 달리, 4개 이상이나 2개 이하의 에피택셜 챔버가 제공될 수 있다.
세정 챔버(108a,108b)는 에피택셜 챔버(112a,112b,112c) 내에서 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)을 세정하기 위하여 제공된다. 에피택셜 공정이 성공적으로 이루어지기 위해서는 결정성 기판 상에 존재하는 산화물의 양이 최소화되어야 한다. 기판의 표면 산소 함유량이 너무 높은 경우, 산소 원자가 시드 기판 상의 증착재료의 결정학적 배치를 방해하기 때문에, 에피택셜 공정은 유해한 영향을 받는다. 예를 들면, 실리콘 에피택셜 증착시, 결정성 기판 상의 과도한 산소는, 원자 단위의 산소 원자 클러스터에 의해, 실리콘 원자를 그 에피택셜 위치로부터 변위되게 할 수 있다. 이러한 국소적인 원자 변위는 층이 더 두껍게 성장할 때 후속 원자 배열에 오차를 일으킬 수 있다. 이러한 현상은 이른바 적층 결함 또는 힐락(hillock defects)으로 지칭될 수 있다. 기판 표면의 산소화(oxygenatoin)는, 예를 들면 기판이 이송할 때 대기에 노출되는 경우 발생할 수 있다. 따라서, 기판(S) 상에 형성된 자연 산화막(native oxide)(또는 표면 산화물)을 제거하는 세정 공정이 세정 챔버(108a,108b) 내에서 이루어질 수 있다.
세정 공정은 라디칼 상태의 수소(H*)와 NF3 가스를 사용하는 건식 에칭 공정이다. 예를 들어, 기판의 표면에 형성된 실리콘 산화막을 에칭하는 경우, 챔버 내에 기판을 배치하고 챔버 내에 진공 분위기를 형성한 후, 챔버 내에서 실리콘 산화막과 반응하는 중간 생성물을 발생시킨다.
예를 들어, 챔버 내에 수소 가스의 라디칼(H*)과 불화물 가스(예를 들어, 불화질소(NF3))와 같은 반응성 가스를 공급하면, 아래 반응식(1)과 같이 반응성 가스가 환원되어 NHxFy(x,y는 임의의 정수)와 같은 중간 생성물이 생성된다.
Figure 112011059864514-pat00001

중간 생성물은 실리콘 산화막(SiO2)과 반응성이 높기 때문에, 중간 생성물이 실리콘 기판의 표면에 도달하면 실리콘 산화막과 선택적으로 반응하여 아래 반응식(2)와 같이 반응 생성물((NH4)2SiF6)이 생성된다.
Figure 112011059864514-pat00002

이후, 실리콘 기판을 100℃ 이상으로 가열하면 아래 반응식(3)과 같이 반응 생성물이 열분해하여 열분해 가스가 되어 증발되므로, 결과적으로 기판 표면으로부터 실리콘 산화막이 제거될 수 있다. 아래 반응식(3)과 같이, 열분해 가스는 HF 가스나 SiF4 가스와 같이 불소를 함유하는 가스가 포함된다.
Figure 112011059864514-pat00003

위와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함하며, 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
버퍼 챔버(110)는 세정 공정이 완료된 기판(S)이 적재되는 공간과 에피택셜 공정이 이루어진 기판(S)이 적재되는 공간을 제공한다. 세정 공정이 완료되면, 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되기 이전에 버퍼 챔버(110)로 이동하여 버퍼 챔버(110) 내에 적재된다. 에피택셜 챔버(112a,112b,112c)는 복수의 기판들에 대한 단일 공정이 이루어지는 배치 타입(batch type)일 수 있으며, 에피택셜 챔버(112a,112b,112c) 내에서 에피택셜 공정이 완료되면, 에피택셜 공정이 이루어진 기판(S)은 버퍼 챔버(110) 내에 순차적으로 적재되고, 세정 공정이 완료된 기판(S)은 에피택셜 챔버(112a,112b,112c) 내에 순차적으로 적재된다. 이때, 기판(S)은 버퍼 챔버(110) 내에 종방향으로 적재될 수 있다.
도 2는 본 발명의 일 실시예에 따라 처리된 기판을 나타내는 도면이다. 앞서 설명한 바와 같이, 기판(S)에 대한 에피택셜 공정이 이루어지기 이전에 기판(S)에 대한 세정 공정이 세정 챔버(108a,108b) 내에서 이루어지며, 세정 공정을 통해 기판(70)의 표면에 형성된 산화막(72)을 제거할 수 있다. 산화막은 세정 챔버(108a,108b) 내에서 세정 공정을 통해 제거될 수 있다. 세정 공정을 통해 기판(70)의 표면 상에 에피택시 표면(74)이 노출될 수 있으며, 이를 통해 에피택셜 층의 성장을 돕는다.
이후, 기판(S) 상에 에피택셜 공정이 에피택셜 챔버(112a,112b,112c) 내에서 이루어진다. 에피택셜 공정은 화학기상증착에 의해 이루어질 수 있으며, 에피택시 표면(74) 상에 에피택시 층(76)을 형성할 수 있다. 기판(70)의 에피택시 표면(74)은 실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2)를 포함하는 반응가스에 노출될 수 있다. 또한, 에피택시 층(76)이 도펀트를 포함할 것이 요구되는 경우, 실리콘 함유 가스는 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따라 에피택셜 층을 형성하는 방법을 나타내는 흐름도이다. 방법은 단계(S10)로부터 시작한다. 단계(S20)에서, 기판(S)은 에피택셜 공정 전에 세정 챔버(108a,108b)로 이동하며, 기판 핸들러(104)는 기판(S)을 세정 챔버(108a,108b)로 이송한다. 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S30)에서, 기판(S)에 대한 세정 공정이 이루어진다. 앞서 설명한 바와 같이, 세정 공정은 반응 생성물을 생성하는 반응 공정 및 반응 생성물을 열분해하는 히팅 공정을 포함한다. 반응 공정 및 히팅 공정은 세정 챔버(108a,108b) 내에서 함께 이루어지거나, 세정 챔버(108a,108b) 중 어느 하나에서 반응 공정이 이루어지고 세정 챔버(108a,108b) 중 다른 하나에서 히팅 공정이 이루어질 수 있다.
단계(S40)에서, 세정 공정이 완료된 기판(S)은 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 버퍼 챔버(110) 내에서 에피택셜 공정을 대기한다. 단계(S50)에서 기판(S)은 에피택셜 챔버(112a,112b,112c)로 이송되며, 이송은 진공으로 유지되는 이송 챔버(102)를 통해 이루어진다. 단계(S60)에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 이후, 기판(S)은 단계(S70)에서 다시 버퍼 챔버(110)로 이송되어 버퍼 챔버(110) 내에 적재되며, 단계(S80)에서 공정이 종료된다.
도 4는 도 1에 도시한 버퍼 챔버를 나타내는 도면이며, 도 5는 도 4에 도시한 기판 홀더를 나타내는 도면이다. 버퍼 챔버(110)는 상부챔버(110a)와 하부챔버(110b)를 구비한다. 하부 챔버(110b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(110c)를 구비하며, 기판(S)은 통로(110c)를 통해 이송 챔버(102)로부터 버퍼 챔버(110)로 로딩된다. 이송 챔버(102)는 버퍼 챔버(110)에 대응되는 일측에 형성된 버퍼 통로(102a)를 가지며, 버퍼 통로(102a)와 통로(110c) 사이에는 게이트 밸브(103)가 설치된다. 게이트 밸브(103)는 이송 챔버(102)와 버퍼 챔버(110)를 격리할 수 있으며, 버퍼 통로(102a)와 통로(110c)는 게이트 밸브(103)를 통해 개방 및 폐쇄될 수 있다.
버퍼 챔버(110)는 기판(S)이 적재되는 기판 홀더(120)를 구비하며, 기판(S)은 기판 홀더(120) 상에 종방향으로 적재된다. 기판 홀더(120)는 승강축(122)에 연결되며, 승강축(122)은 하부챔버(110b)를 관통하여 지지판(124) 및 구동축(128)에 연결된다. 구동축(128)은 엘리베이터(129)를 통해 승강하며, 구동축(128)에 의해 승강축(122) 및 기판 홀더(120)는 승강할 수 있다.
기판 핸들러(104)는 세정 공정이 완료된 기판(S)을 버퍼 챔버(110)로 순차적으로 이송한다. 이때, 기판 홀더(120)는 엘리베이터(129)에 의해 승강하며, 승강에 의해 기판 홀더(120)의 비어 있는 슬롯을 통로(110c)와 대응되는 위치로 이동한다. 따라서, 버퍼 챔버(110)로 이송된 기판(S)은 기판 홀더(120) 상에 적재되며, 기판 홀더(120)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다.
한편, 도 5에 도시한 바와 같이, 기판 홀더(120)는 상부적재공간(120a)과 하부적재공간(120b)을 구비한다. 앞서 설명한 바와 같이, 세정 공정을 완료한 기판(S) 및 에피택셜 공정을 완료한 기판(S)은 기판 홀더(120) 상에 적재된다. 따라서, 세정 공정을 완료한 기판(S)과 에피택셜 공정을 완료한 기판(S)은 구별될 필요가 있으며, 세정 공정을 완료한 기판(S)은 상부적재공간(120a)에 적재되고, 에피택셜 공정을 완료한 기판(S)은 하부적재공간(120b)에 적재된다. 상부적재공간(120a)은 13장의 기판들(S)을 적재할 수 있으며, 하나의 에피택셜 챔버(112a,112b,112c)는 13장의 기판들(S)에 대한 공정을 처리할 수 있다. 마찬가지로, 하부적재공간(120b)은 13장의 기판들(S)을 적재할 수 있다.
하부챔버(110b)는 배기라인(132)에 연결되며, 배기펌프(132b)를 통해 버퍼 챔버(110)의 내부는 진공 상태를 유지할 수 있다. 밸브(132a)는 배기라인(132)을 개폐한다. 벨로우즈(126)는 하부챔버(110b)의 하부와 지지판(124)을 연결하며, 벨로우즈(126)를 통해 버퍼 챔버(110)의 내부는 밀봉될 수 있다. 즉, 벨로우즈(126)는 승강축(122)의 둘레를 통한 진공 누출을 방지한다.
도 6은 도 1에 도시한 세정 챔버를 나타내는 도면이다. 앞서 설명한 바와 같이, 세정 챔버(108a,108b)는 동일한 공정을 수행하는 챔버일 수 있으며, 이하에서는 하나의 세정 챔버(108a)에 대해서만 설명하기로 한다.
세정 챔버(108a)는 상부챔버(118a)와 하부챔버(118b)를 구비하며, 상부챔버(118a)와 하부챔버(118b)는 상하로 적재될 수 있다. 상부챔버(118a) 및 하부챔버(118b)는 이송 챔버(102)에 대응되는 일측에 형성된 상부통로(128a) 및 하부통로(138a)를 각각 구비하며, 기판(S)은 상부통로(128a) 및 하부통로(138a)를 통해 이송 챔버(102)로부터 상부챔버(118a) 및 하부챔버(118b)로 각각 로딩될 수 있다. 이송 챔버(102)는 상부챔버(118a) 및 하부챔버(118b)에 각각 대응되는 일측에 형성된 상부 통로(102b) 및 하부 통로(102a)를 가지며, 상부 통로(102b)와 상부통로(128a) 사이에는 상부 게이트 밸브(105a)가 설치되고, 하부 통로(102a)와 하부통로(138a) 사이에는 하부 게이트 밸브(105b)가 설치된다. 게이트 밸브(105a,105b)는 상부챔버(118a)와 이송 챔버(102), 그리고 하부챔버(118b)와 이송 챔버(102)를 각각 격리할 수 있다. 상부 통로(102b)와 상부통로(128a)는 상부 게이트 밸브(105a)를 통해 개방 및 폐쇄될 수 있으며, 하부 통로(102a)와 하부통로(138a)는 하부 게이트 밸브(105b)를 통해 개방 및 폐쇄될 수 있다.
상부챔버(118a)는 기판(S)에 대하여 라디칼을 이용한 반응 공정을 진행하며, 상부챔버(118a)는 라디칼 공급라인(116a) 및 가스공급라인(116b)에 연결된다. 라디칼 공급라인은 라디칼 생성가스(예를 들어, H2 또는 NH 3 )가 충전된 가스용기(도시안함)와 캐리어 가스(N2)가 충전된 가스 용기(도시안함)에 연결되며, 각 가스 용기의 밸브를 개방하면 라디칼 생성가스와 캐리어 가스가 상부챔버(118a)의 내부로 공급된다. 또한, 라디칼 공급라인(116a)은 도파관(도시안함)을 통해 마이크로파원(도시안함)에 접속되며, 마이크로파원이 마이크로파를 발생시키면 마이크로파는 도파관을 진행하여 라디칼 공급라인(116a) 내부로 침입한다. 그 상태에서 라디칼 생성가스가 흐르면 마이크로파에 의해 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 미처리된 라디칼 생성가스나 캐리어 가스, 그리고 플라즈마화의 부생성물과 함께 라디칼 공급라인(116a)을 흘러 상부챔버(118a)의 내부로 도입된다. 한편, 본 실시예와 달리, 라디칼은 ICP 방식의 리모트 플라즈마에 의해서도 생성될 수 있다. 즉, ICP 방식의 리모트 플라즈마 소스에 라디칼 생성가스가 공급되면, 라디칼 생성가스는 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 라디칼 공급라인(116a)을 흘러 상부챔버(118a)의 내부로 도입될 수 있다.
라디칼 공급라인(116a)을 통해 상부챔버(118a) 내부에 라디칼(예를 들어, 수소 라디칼)이 공급되고, 가스공급라인(116b)을 통해 상부챔버(118a) 내부에 반응성 가스(예를 들어, NF3와 같은 불화물 가스)가 공급되며, 이들을 혼합시켜 반응시킨다. 이 경우, 반응식은 아래와 같다.
Figure 112011059864514-pat00004
Figure 112011059864514-pat00005

즉, 기판(S)의 표면에 미리 흡착한 반응성 가스와 라디칼이 반응하여 중간 생성물(NHxFy)이 생성되고, 중간생성물(NHxFy)과 기판(S) 표면의 자연 산화막(SiO2)이 반응하여 반응 생성물((NH4F)SiF6)이 형성된다. 한편, 기판(S)은 상부챔버(118a) 내에 설치된 서셉터(128)에 놓여지며, 서셉터(128)는 반응공정 동안 기판(S)을 회전시켜 균일한 반응이 이루어질 수 있도록 돕는다.
상부챔버(118a)는 배기라인(119a)에 연결되며, 배기펌프(119c)를 통해 반응 공정이 이루어지기 전 상부챔버(118a)에 대한 진공 배기를 할 수 있을 뿐만 아니라, 상부챔버(118a) 내부의 라디칼과 반응성 가스, 미반응 라디칼 생성가스, 플라즈마화할 때의 부생성물, 캐리어 가스 등을 외부로 배출할 수 있다. 밸브(119b)는 배기라인(119a)을 개폐한다.
하부챔버(118b)는 기판(S)에 대한 히팅공정을 진행하며, 하부챔버(118b)의 내측 상부에는 히터(148)가 설치된다. 반응 공정이 완료되면, 기판(S)은 기판 핸들러(104)를 통해 하부챔버(118b)로 이송된다. 이때, 기판(S)은 진공 상태를 유지하는 이송 챔버(102)를 통해 이송되므로, 기판(S)이 오염물(예를 들면, O2, 입자상 물질 등)에 노출되는 것을 방지할 수 있다.
히터(148)는 기판(S)을 소정 온도(100℃ 이상의 소정 온도, 예를 들어, 130℃)로 가열하며, 이로 인해 반응 생성물이 열분해하여 기판(S) 표면으로부터 HF나 SiF4와 같은 열분해 가스가 이탈되고, 진공배기됨으로써 기판(S)의 표면으로부터 실리콘 산화물의 박막이 제거될 수 있다. 기판(S)은 히터(148)의 하부에 설치된 서셉터(138)에 놓여지며, 히터(148)는 서셉터(138)에 놓여진 기판(S)을 가열한다.
Figure 112011059864514-pat00006

한편, 하부챔버(118b)는 배기라인(117a)에 연결되며, 배기펌프(117c)를 통해 하부챔버(118b) 내부의 반응부산물(예를 들어, NH3, HF, SiF4)을 외부로 배기할 수 있다. 밸브(117b)는 배기라인(117a)을 개폐한다.
도 7은 도 1에 도시한 세정 챔버의 다른 실시예를 나타내는 도면이다. 세정 챔버(108a)는 상부챔버(218a)와 하부챔버(218b)를 구비하며, 상부챔버(218a)와 하부챔버(218b)는 서로 연통된다. 하부 챔버(218b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(219)를 가지며, 기판(S)은 통로(219)를 통해 이송 챔버(102)로부터 세정 챔버(108a)로 로딩될 수 있다. 이송 챔버(102)는 세정 챔버(108a)에 대응되는 일측에 형성된 이송 통로(102d)를 가지며, 이송 통로(102d)와 통로(219) 사이에는 게이트 밸브(107)가 설치된다. 게이트 밸브(107)는 이송 챔버(102)와 세정 챔버(108a)를 격리할 수 있으며, 이송 통로(102d)와 통로(219)는 게이트 밸브(107)를 통해 개방 및 폐쇄될 수 있다.
세정 챔버(108a)는 기판(S)이 적재되는 기판 홀더(228)를 구비하며, 기판(S)은 기판 홀더(228) 상에 종방향으로 적재된다. 기판 홀더(228)는 회전축(226)에 연결되며, 회전축(226)은 하부챔버(218b)를 관통하여 엘리베이터(232) 및 구동모터(234)에 연결된다. 회전축(226)은 엘리베이터(232)를 통해 승강하며, 기판 홀더(228)는 회전축(226)과 함께 승강할 수 있다. 회전축(226)은 구동모터(234)를 통해 회전하며, 기판 홀더(228)는 에칭 공정이 이루어지는 동안 회전축(226)과 함께 회전할 수 있다.
기판 핸들러(104)는 기판(S)을 세정 챔버(108a)로 순차적으로 이송한다. 이때, 기판 홀더(228)는 엘리베이터(232)에 의해 승강하며, 승강에 의해 기판 홀더(228)의 비어 있는 슬롯을 통로(219)와 대응되는 위치로 이동한다. 따라서, 세정 챔버(108a)로 이송된 기판(S)은 기판 홀더(228) 상에 적재되며, 기판 홀더(228)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다. 기판 홀더(228)는 13장의 기판들(S)을 적재할 수 있다.
기판 홀더(228)가 하부챔버(218b) 내에 위치하는 동안, 기판(S)은 기판 홀더(228) 내에 적재되며, 도 7에 도시한 바와 같이, 기판 홀더(228)가 상부챔버(218a)에 위치하는 동안, 기판(S)에 대한 세정 공정이 이루어진다. 상부챔버(218a)는 세정 공정이 이루어지는 공정공간을 제공한다. 지지판(224)은 회전축(226) 상에 설치되며, 기판 홀더(228)와 함께 상승하여 상부챔버(218a) 내부의 공정공간을 외부로부터 차단한다. 지지판(224)은 하부챔버(218b)의 상단부에 인접하도록 배치되며, 지지판(224)과 하부챔버(218b)의 상단부 사이에는 실링부재(224a)(예를 들어, O-링과 같은)가 개재되어 공정공간을 밀폐한다. 지지판(224)과 회전축(226) 사이에는 베어링부재(224b)가 설치되며, 회전축(226)은 베어링부재(224b)에 의해 지지된 상태에서 회전할 수 있다.
기판(S)에 대한 반응공정 및 히팅공정은 상부챔버(218a) 내부의 공정공간 내에서 이루어진다. 기판 홀더(228)에 기판(S)이 모두 적재되면, 기판 홀더(228)는 엘리베이터(232)에 의해 상승하여 상부챔버(218a) 내부의 공정공간으로 이동한다. 인젝터(216)는 상부챔버(218a) 내부의 일측에 설치되며, 인젝터(216)는 복수의 인젝트홀들(216a)을 가진다.
인젝터(216)는 라디칼 공급라인(215a)에 연결된다. 또한, 상부챔버(218a)는 가스공급라인(215b)에 연결된다. 라디칼 공급라인(215a)은 라디칼 생성가스(예를 들어, H2 또는 NH 3 )가 충전된 가스용기(도시안함)와 캐리어 가스(N2)가 충전된 가스 용기(도시안함)에 연결되며, 각 가스 용기의 밸브를 개방하면 라디칼 생성가스와 캐리어 가스가 인젝터(216)를 통해 공정공간으로 공급된다. 또한, 라디칼 공급라인(215a)은 도파관(도시안함)을 통해 마이크로파원(도시안함)에 접속되며, 마이크로파원이 마이크로파를 발생시키면 마이크로파는 도파관을 진행하여 라디칼 공급라인(215a) 내부로 침입한다. 그 상태에서 라디칼 생성가스가 흐르면 마이크로파에 의해 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 미처리된 라디칼 생성가스나 캐리어 가스, 그리고 플라즈마화의 부생성물과 함께 라디칼 공급라인(215a)을 흘러 인젝터(216)에 공급되며, 인젝터(216)를 통해 공정공간으로 도입된다. 한편, 본 실시예와 달리, 라디칼은 ICP 방식의 리모트 플라즈마에 의해서도 생성될 수 있다. 즉, ICP 방식의 리모트 플라즈마 소스에 라디칼 생성가스가 공급되면, 라디칼 생성가스는 플라즈마화되어 라디칼이 생성된다. 생성된 라디칼은 라디칼 공급라인(215a)을 흘러 상부챔버(218a)의 내부로 도입될 수 있다.
라디칼 공급라인(215a)을 통해 상부챔버(218a) 내부에 라디칼(예를 들어, 수소 라디칼)이 공급되고, 가스공급라인(215b)을 통해 상부챔버(218a) 내부에 반응성 가스(예를 들어, NF3와 같은 불화물 가스)가 공급되며, 이들을 혼합시켜 반응시킨다. 이 경우, 반응식은 아래와 같다.
Figure 112011059864514-pat00007
Figure 112011059864514-pat00008

즉, 기판(S)의 표면에 미리 흡착한 반응성 가스와 라디칼이 반응하여 중간 생성물(NHxFy)이 생성되고, 중간생성물(NHxFy)과 기판(S) 표면의 자연 산화막(SiO2)이 반응하여 반응 생성물((NH4F)SiF6)이 형성된다. 한편, 기판 홀더(228)는 에칭공정 동안 기판(S)을 회전시켜 균일한 에칭이 이루어질 수 있도록 돕는다.
상부챔버(218a)는 배기라인(217)에 연결되며, 배기펌프(217b)를 통해 반응 공정이 이루어지기 전 상부챔버(218a)에 대한 진공 배기를 할 수 있을 뿐만 아니라, 상부챔버(218a) 내부의 라디칼과 반응성 가스, 미반응 라디칼 생성가스, 플라즈마화할 때의 부생성물, 캐리어 가스 등을 외부로 배출할 수 있다. 밸브(217a)는 배기라인(217)을 개폐한다.
히터(248)는 상부챔버(218a)의 타측에 설치되며, 히터(248)는 반응 공정이 완료된 후 기판(S)을 소정 온도(100℃ 이상의 소정 온도, 예를 들어, 130℃)로 가열한다. 이로 인해 반응 생성물이 열분해하여 기판(S) 표면으로부터 HF나 SiF4와 같은 열분해 가스가 이탈되고, 진공배기됨으로써 기판(S)의 표면으로부터 실리콘 산화물의 박막이 제거될 수 있다. 반응부산물(예를 들어, NH3, HF, SiF4)은 배기라인(217)을 통해 외부로 배출될 수 있다.
Figure 112011059864514-pat00009

도 8은 도 1에 도시한 에피택셜 챔버를 나타내는 도면이며, 도 9는 도 1에 도시한 공급관을 나타내는 도면이다. 에피택셜 챔버(112a,112b,112c)는 동일한 공정을 수행하는 챔버일 수 있으며, 이하에서는 하나의 에피택셜 챔버(112a)에 대해서만 설명하기로 한다.
에피택셜 챔버(112a)는 상부챔버(312a)와 하부챔버(312b)를 구비하며, 상부챔버(312a)와 하부챔버(312b)는 서로 연통된다. 하부 챔버(312b)는 이송 챔버(102)에 대응되는 일측에 형성된 통로(319)를 가지며, 기판(S)은 통로(319)를 통해 이송 챔버(102)로부터 에피택셜 챔버(112a)로 로딩될 수 있다. 이송 챔버(102)는 에피택셜 챔버(112a)에 대응되는 일측에 형성된 이송 통로(102e)를 가지며, 이송 통로(102e)와 통로(319) 사이에는 게이트 밸브(109)가 설치된다. 게이트 밸브(109)는 이송 챔버(102)와 에피택셜 챔버(112a)를 격리할 수 있으며, 이송 통로(102e)와 통로(319)는 게이트 밸브(109)를 통해 개방 및 폐쇄될 수 있다.
에피택셜 챔버(112a)는 기판(S)이 적재되는 기판 홀더(328)를 구비하며, 기판(S)은 기판 홀더(328) 상에 종방향으로 적재된다. 기판 홀더(328)는 회전축(318)에 연결되며, 회전축(318)은 하부챔버(312b)를 관통하여 엘리베이터(319a) 및 구동모터(319b)에 연결된다. 회전축(318)은 엘리베이터(319a)를 통해 승강하며, 기판 홀더(328)는 회전축(318)과 함께 승강할 수 있다. 회전축(318)은 구동모터(319b)를 통해 회전하며, 기판 홀더(328)는 에피택셜 공정이 이루어지는 동안 회전축(318)과 함께 회전할 수 있다.
기판 핸들러(104)는 기판(S)을 에피택셜 챔버(112a)로 순차적으로 이송한다. 이때, 기판 홀더(328)는 엘리베이터(319a)에 의해 승강하며, 승강에 의해 기판 홀더(328)의 비어 있는 슬롯을 통로(319)와 대응되는 위치로 이동한다. 따라서, 에피택셜 챔버(112a)로 이송된 기판(S)은 기판 홀더(328) 상에 적재되며, 기판 홀더(328)의 승강에 의해 기판(S)은 종방향으로 적재될 수 있다. 기판 홀더(328)는 13장의 기판들(S)을 적재할 수 있다.
기판 홀더(328)가 하부챔버(312b) 내에 위치하는 동안, 기판(S)은 기판 홀더(328) 내에 적재되며, 도 8에 도시한 바와 같이, 기판 홀더(328)가 반응튜브(314) 내에 위치하는 동안, 기판(S)에 대한 에피택셜 공정이 이루어진다. 반응튜브(314)는 에피택셜 공정이 이루어지는 공정공간을 제공한다. 지지판(316)은 회전축(318) 상에 설치되며, 기판 홀더(328)와 함께 상승하여 반응튜브(314) 내부의 공정공간을 외부로부터 차단한다. 지지판(316)은 반응튜브(314)의 하단부에 인접하도록 배치되며, 지지판(316)과 반응튜브(314)의 하단부 사이에는 실링부재(316a)(예를 들어, O-링과 같은)가 개재되어 공정공간을 밀폐한다. 지지판(316)과 회전축(318) 사이에는 베어링부재(316b)가 설치되며, 회전축(318)은 베어링부재(316b)에 의해 지지된 상태에서 회전할 수 있다.
기판(S)에 대한 에피택셜 공정은 반응튜브(314) 내부의 공정공간 내에서 이루어진다. 공급관(332)은 반응튜브(314) 내부의 일측에 설치되며, 배기관(334)은 반응튜브(314) 내부의 타측에 설치된다. 공급관(332) 및 배기관(334)은 기판(S)을 중심으로 서로 마주보도록 배치될 수 있으며, 기판(S)의 적재방향에 따라 종방향으로 배치될 수 있다. 측부히터(324) 및 상부히터(326)는 반응튜브(314)의 외측에 설치되며, 반응튜브(314) 내부의 공정공간을 가열한다.
공급관(332)은 공급라인(332a)에 연결되며, 공급라인(332a)은 반응가스 소스(332c)에 연결된다. 반응가스는 반응가스 소스(332c)에 저장되며, 공급라인(332a)을 통해 공급관(332)에 공급된다. 도 9에 도시한 바와 같이, 공급관(332)은 제1 및 제2 공급관(332a,332b)을 구비할 수 있으며, 제1 및 제2 공급관(332a,332b)은 길이방향을 따라 이격배치된 복수의 공급홀들(333a,333b)을 가진다. 이때, 공급홀들(333a,333b)은 반응튜브(314)에 로딩된 기판(S)의 개수와 대체로 동일하게 형성되며, 기판(S)의 사이에 대응되도록 위치하거나 기판(S)과 무관하게 위치할 수 있다. 따라서, 공급홀들(333a,333b)을 통해 공급된 반응가스는 기판(S)의 표면을 따라 층류 상태(larminar flow)로 원활하게 흐를 수 있으며, 기판(S)이 가열된 상태에서 기판(S) 상에 에피택셜 층이 형성될 수 있다. 공급라인(332a)은 밸브(332b)를 통해 개폐될 수 있다.
한편, 제1 공급관(332a)은 증착용 가스(실리콘 가스(예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, Si2H6, 또는 SiH4) 및 캐리어 가스(예를 들어, N2 및/또는 H2))를 공급하며, 제2 공급관(332b)은 에칭용 가스를 공급할 수 있다. 선택적 에피택시 프로세스(selective epitaxy process)는 증착 반응 및 에칭 반응을 수반한다. 본 실시예에서는 도시하지 않았지만, 에피택시 층이 도펀트를 포함할 것이 요구되는 경우, 제3 공급관이 추가될 수 있으며, 제3 공급관은 도펀트 함유 가스(예를 들면, 아르신(AsH3), 포스핀(PH3), 및/또는 디보란(B2H6))를 공급할 수 있다.
배기관(334)은 배기라인(335a)에 연결되며, 배기펌프(335)를 통해 반응튜브(314) 내부의 반응부산물을 외부로 배기할 수 있다. 배기관(334)은 복수의 배기홀들을 가지며, 배기홀들은 공급홀들(333a,333b)과 마찬가지로 기판(S)의 사이에 대응되도록 위치하거나 기판(S)과 무관하게 위치할 수 있다. 밸브(335b)는 배기라인(335a)을 개폐한다.
본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
1 : 반도체 제조설비 2 : 공정모듈
3 : 설비 전방 단부 모듈 4 : 경계벽
60 : 로드포트 70 : 기판
72 : 산화막 74 : 에피택시 표면
102 : 이송 챔버 103,105a,105b,107 : 게이트 밸브
104 : 기판 핸들러 108a,108b : 세정 챔버
110 : 버퍼 챔버 112a,112b,112c : 에피택셜 챔버
118a : 에칭 챔버 118b : 히팅 챔버
120,228,328 : 기판 홀더 148,248,324,326 : 히터
216 : 인젝터 224,316 : 지지판
332 : 공급관 334 : 배기관

Claims (7)

  1. 복수의 기판들에 대한 세정 공정이 이루어지는 배치 타입의 세정 챔버;
    상기 기판 상에 에피택셜 층을 형성하는 에피택셜 공정이 이루어지는 에피택셜 챔버;
    상기 기판이 적재되는 적재공간을 구비하는 기판 홀더를 구비하며, 상기 적재공간은 상기 세정 공정이 완료된 상기 기판이 적재되는 제1 적재공간과 상기 에피택셜 층이 형성된 상기 기판이 적재되는 제2 적재공간을 구비하는 버퍼 챔버; 및
    상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버가 측면에 연결되며, 상기 세정 챔버 및 상기 버퍼 챔버, 그리고 상기 에피택셜 챔버 사이에서 상기 기판을 이송하는 기판 핸들러를 구비하는 이송 챔버를 포함하며,
    상기 기판 핸들러는 상기 세정 공정이 완료된 상기 기판을 상기 버퍼 챔버에 순차적으로 이송하고 상기 버퍼 챔버에 적재된 상기 기판을 상기 에피택셜 챔버로 이송하며, 상기 에피택셜 층이 형성된 상기 기판을 상기 버퍼 챔버에 순차적으로 이송하는, 반도체 제조설비.
  2. 제1항에 있어서,
    상기 세정 챔버는,
    상기 세정 공정이 이루어지는 공정공간을 제공하는 상부챔버;
    상기 기판이 출입하는 세정 통로를 가지는 하부챔버;
    상기 기판이 적재되는 기판 홀더;
    상기 기판 홀더에 연결되어 상기 기판 홀더와 함께 승강하며, 상기 기판 홀더를 상기 상부챔버 및 상기 하부챔버로 이동하는 회전축; 및
    상기 기판 홀더와 함께 승강하며, 상기 세정 공정 동안 상기 공정공간을 외부로부터 차단하는 지지판을 구비하는 것을 특징으로 하는 반도체 제조설비.
  3. 제2항에 있어서,
    상기 세정 챔버는 상기 회전축을 승강하는 엘리베이터 및 상기 회전축을 회전시키는 구동모터를 더 구비하는 것을 특징으로 하는 반도체 제조설비.
  4. 제2항에 있어서,
    상기 세정 챔버는,
    상기 상부챔버의 일측에 설치되어 상기 공정공간을 향해 라디칼을 공급하는 인젝터;
    상기 인젝터에 연결되어 상기 인젝터에 상기 라디칼을 공급하는 라디칼 공급라인; 및
    상기 상부챔버에 연결되어 상기 공정공간을 향해 반응성 가스를 공급하는 가스공급라인을 구비하는 것을 특징으로 하는 반도체 제조설비.
  5. 제4항에 있어서,
    상기 반응성 가스는 NF3를 포함하는 불화물 가스인 것을 특징으로 하는 반도체 제조설비.
  6. 제2항에 있어서,
    상기 세정 챔버는 상기 상부챔버의 일측에 설치되어 상기 공정공간을 가열하는 히터를 더 구비하는 것을 특징으로 하는 반도체 제조설비.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 이송 챔버는 상기 세정 챔버를 향해 상기 기판이 출입하는 이송 통로를 가지며,
    상기 반도체 제조설비는 상기 세정 챔버와 상기 이송 챔버를 격리하는 세정측 게이트 밸브를 더 포함하는 것을 특징으로 하는 반도체 제조설비.
KR1020110077102A 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비 KR101271248B1 (ko)

Priority Applications (7)

Application Number Priority Date Filing Date Title
KR1020110077102A KR101271248B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비
TW101126742A TWI474422B (zh) 2011-08-02 2012-07-25 製造半導體之設備
US14/235,313 US20140174357A1 (en) 2011-08-02 2012-07-31 Equipment for manufacturing semiconductor
CN201280037898.9A CN103828024B (zh) 2011-08-02 2012-07-31 用于外延工艺的半导体制造设备
JP2014523843A JP5978301B2 (ja) 2011-08-02 2012-07-31 エピタキシャルプロセスのための半導体製造設備
PCT/KR2012/006107 WO2013019064A2 (ko) 2011-08-02 2012-07-31 에피택셜 공정을 위한 반도체 제조설비
US15/842,099 US20180105951A1 (en) 2011-08-02 2017-12-14 Equipment for manufacturing semiconductor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110077102A KR101271248B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비

Publications (2)

Publication Number Publication Date
KR20130015224A KR20130015224A (ko) 2013-02-13
KR101271248B1 true KR101271248B1 (ko) 2013-06-07

Family

ID=47629799

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110077102A KR101271248B1 (ko) 2011-08-02 2011-08-02 에피택셜 공정을 위한 반도체 제조설비

Country Status (6)

Country Link
US (2) US20140174357A1 (ko)
JP (1) JP5978301B2 (ko)
KR (1) KR101271248B1 (ko)
CN (1) CN103828024B (ko)
TW (1) TWI474422B (ko)
WO (1) WO2013019064A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021257889A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101677560B1 (ko) * 2014-03-18 2016-11-18 주식회사 유진테크 공정공간 높이별 가열온도를 조절할 수 있는 히터를 구비한 기판 처리 장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104766814A (zh) * 2015-03-31 2015-07-08 上海华力微电子有限公司 一种防止湿法清洗工艺中自然氧化膜生长的装置及方法
KR101720620B1 (ko) * 2015-04-21 2017-03-28 주식회사 유진테크 기판처리장치 및 챔버 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20240005999A (ko) * 2017-02-10 2024-01-12 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) * 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP2020532114A (ja) * 2017-08-30 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 一体型エピタキシシステム高温汚染物質除去
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070040846A (ko) * 2004-11-08 2007-04-17 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리장치
US20080050929A1 (en) * 2004-05-10 2008-02-28 Thomas Grabolla Method of and Apparatus for Low-Temperature Epitaxy on a Plurality of Semiconductor Substrates
JP2010165954A (ja) * 2009-01-16 2010-07-29 Ulvac Japan Ltd 真空処理装置及び真空処理方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5963833A (en) * 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
JP3319397B2 (ja) * 1998-07-07 2002-08-26 信越半導体株式会社 半導体製造装置およびこれを用いたエピタキシャルウェーハの製造方法
JP2001176833A (ja) * 1999-12-14 2001-06-29 Tokyo Electron Ltd 基板処理装置
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
CN100375086C (zh) * 2002-07-03 2008-03-12 东京电子株式会社 用于动态传感器配置和运行时间执行的方法和设备
CN100521092C (zh) * 2004-11-08 2009-07-29 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
KR20070089197A (ko) * 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
JP4895256B2 (ja) * 2005-02-23 2012-03-14 東京エレクトロン株式会社 基板の表面処理方法
WO2007117583A2 (en) * 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
CN101484973A (zh) * 2006-07-03 2009-07-15 应用材料股份有限公司 用于先进前段工艺的群集设备
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
KR101252742B1 (ko) * 2011-08-02 2013-04-09 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050929A1 (en) * 2004-05-10 2008-02-28 Thomas Grabolla Method of and Apparatus for Low-Temperature Epitaxy on a Plurality of Semiconductor Substrates
KR20070040846A (ko) * 2004-11-08 2007-04-17 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법 및 기판처리장치
JP2010165954A (ja) * 2009-01-16 2010-07-29 Ulvac Japan Ltd 真空処理装置及び真空処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021257889A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe

Also Published As

Publication number Publication date
KR20130015224A (ko) 2013-02-13
TW201314818A (zh) 2013-04-01
WO2013019064A2 (ko) 2013-02-07
JP2014524659A (ja) 2014-09-22
WO2013019064A3 (ko) 2013-04-11
US20180105951A1 (en) 2018-04-19
CN103828024B (zh) 2016-10-12
US20140174357A1 (en) 2014-06-26
JP5978301B2 (ja) 2016-08-24
CN103828024A (zh) 2014-05-28
TWI474422B (zh) 2015-02-21

Similar Documents

Publication Publication Date Title
KR101271248B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101252742B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101271247B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) 에피택셜 공정을 위한 반도체 제조설비
KR101371435B1 (ko) 처리유닛을 포함하는 기판 처리 장치
KR101364701B1 (ko) 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
KR101408084B1 (ko) 보조가스공급포트를 포함하는 기판 처리 장치
KR101380240B1 (ko) 열차단플레이트를 포함하는 기판 처리 장치
KR101308111B1 (ko) 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
CN109891555B (zh) 低温外延层形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160503

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170502

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180504

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190502

Year of fee payment: 7