US20180230624A1 - Method and apparatus for low temperature selective epitaxy in a deep trench - Google Patents

Method and apparatus for low temperature selective epitaxy in a deep trench Download PDF

Info

Publication number
US20180230624A1
US20180230624A1 US15/889,669 US201815889669A US2018230624A1 US 20180230624 A1 US20180230624 A1 US 20180230624A1 US 201815889669 A US201815889669 A US 201815889669A US 2018230624 A1 US2018230624 A1 US 2018230624A1
Authority
US
United States
Prior art keywords
chamber
coupled
cluster tool
substrate
plasma source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US15/889,669
Inventor
Abhishek Dube
Xuebin Li
Hua Chung
Flora Fong-Song CHANG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/889,669 priority Critical patent/US20180230624A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUNG, HUA, LI, XUEBIN, CHANG, FLORA FONG-SONG, DUBE, ABHISHEK
Publication of US20180230624A1 publication Critical patent/US20180230624A1/en
Priority to US17/961,463 priority patent/US20230036426A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Definitions

  • Implementations of the disclosure generally relate to the field of semiconductor manufacturing processes, more particularly, to a cluster tool and methods of depositing silicon-containing films for forming semiconductor devices.
  • Low temperature epitaxy has a distinct advantage of yielding epitaxial films with very high degree of dopant activation.
  • Epitaxial films with high dopant activation can be useful for contact applications in the CMOS manufacturing process where thermal budget needs to be at or below certain temperature, such as 450 degree Celsius, in order to preserve the high-K metal gate stack in the middle-of-line (MOL) fabrication process.
  • the present disclosure generally relate to a cluster tool and methods for forming an epitaxial layer on a semiconductor device.
  • the cluster tool includes a transfer chamber, a pre-clean chamber coupled to the transfer chamber, a plasma-cleaning chamber coupled to the transfer chamber, a deposition chamber coupled to the transfer chamber, an etch chamber coupled to the transfer chamber, and a thermal process chamber coupled to the transfer chamber.
  • the cluster tool includes a transfer chamber coupled to a load-lock chamber, a first cleaning chamber coupled to the transfer chamber, the first cleaning chamber comprising a capacitively coupled plasma source and a substrate support coupling to a bias RF power supply, a second cleaning chamber coupled to the transfer chamber, the second cleaning chamber comprising an inductively coupled plasma source, an epitaxial deposition chamber coupled to the transfer chamber, the epitaxy chamber comprising a liquid vaporizer in fluid communication with a liquid precursor source, an etch chamber coupled to the transfer chamber, and a thermal process chamber coupled to the transfer chamber.
  • FIG. 1 is a flow chart illustrating a method of forming an epitaxial layer according to one implementation of the present disclosure.
  • FIG. 2 is a cross-sectional view of a processing chamber that may be used to perform a cleaning process found in FIG. 1 .
  • FIG. 3 is a cross-sectional view of a plasma-cleaning chamber that may be used to perform a cleaning process found in FIG. 1 .
  • FIG. 4 is a cross-sectional view of a thermal processing chamber that may be used to perform an epitaxial process found in FIG. 1 .
  • FIG. 5 is a cross-sectional view of an ICP plasma chamber that may be used to perform etch processes found in FIG. 1 .
  • FIG. 6 is a schematic, cross-sectional view of a processing system that may be used for temperature-controlled processing of substrates.
  • FIG. 7 is a schematic top view of a processing system that can be used to complete the flow chart of FIG. 1 .
  • FIG. 1 is a flow chart 100 illustrating a method of forming an epitaxial layer according to one implementation of the present disclosure.
  • the method begins at block 102 where a substrate is pre-cleaned.
  • the substrate may be a wafer or any object having native oxides.
  • the substrate may contain monocrystalline surfaces and/or one secondary surface that is non-monocrystalline, such as polycrystalline or amorphous surfaces.
  • Monocrystalline surfaces may include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, germanium, silicon germanium or silicon carbon.
  • Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
  • Suitable cleaning process that removes oxides from the substrate without significantly damaging the substrate may be used.
  • Suitable cleaning processes include sputter etch processes, plasma-based oxide etch processes, wet etch processes, or combinations thereof.
  • Exemplary plasma-based oxide etch processes include NF 3 /NH 3 inductively coupled plasma processes or NF 3 /NH 3 capacitively coupled plasma processes.
  • the plasma-based oxide etch process is a remote plasma assisted dry etch process which involves the simultaneous exposure of a substrate to NF 3 and NH 3 plasma by-products.
  • the plasma-based oxide etch process may be similar to or may include a SiCoNiTM etch process that is available from Applied Materials, Inc. of Santa Clara, Calif.
  • the SiCoNiTM etch process may be performed in a SiCoNiTM Preclean chamber available from Applied Materials of Santa Clara, Calif.
  • One exemplary SiCoNiTM Preclean chamber is shown in FIG. 2 and will be discussed below.
  • excitation of the gas species allows plasma-damage-free substrate processing.
  • the remote plasma etch can be largely conformal and selective towards silicon oxide layers, and thus does not readily etch silicon regardless of whether the silicon is amorphous, crystalline or polycrystalline.
  • the remote plasma process will generally produce solid by-products which grow on the surface of the substrate as substrate material is removed. The solid by-products can be subsequently removed via sublimation when the temperature of the substrate is raised (e.g., 300° C.).
  • the plasma etch process results in a substrate surface having silicon-hydrogen (Si—H) bonds thereon.
  • the cleaning process may be performed in a processing chamber using a remote plasma source.
  • the processing chamber may be an AKTIV Pre-CleanTM chamber available from Applied Materials of Santa Clara, Calif.
  • AKTIV Pre-CleanTM chamber available from Applied Materials of Santa Clara, Calif.
  • ICP source One exemplary etch chamber using ICP source is shown in FIG. 3 and will be discussed below.
  • the cleaning process may be performed in an etch chamber using an inductively coupled plasma (ICP) source.
  • ICP inductively coupled plasma
  • the etch chamber may be a Centura® AdvantedgeTM MesaTM Etch chamber available from Applied Materials of Santa Clara, Calif.
  • the cleaning process may be performed in an etch chamber employing a radical-based chemistry.
  • ICP source is shown in FIG. 5 below.
  • the block 102 may include additional preparation steps.
  • the block 102 may include pre-baking the substrate to further clean the surface.
  • the pre-bake may be performed in the presence of hydrogen at a temperature of about 330° C.
  • the pre-bake may be done in an epitaxy chamber, such as one shown in FIG. 4 below.
  • the pre-bake may include raising the temperature of the substrate to about 330° C.
  • the block 102 includes an HF clean of the substrate, which may result in —H terminations on the silicon (monocrystalline) surfaces and —OH termination on the oxide (dielectric) surfaces.
  • the substrate is exposed to a processing reagent in, for example, a gas phase epitaxy chamber at a target temperature for epitaxial deposition of a silicon-containing layer.
  • a processing reagent in, for example, a gas phase epitaxy chamber at a target temperature for epitaxial deposition of a silicon-containing layer.
  • An exemplary epitaxy chamber that may be used is a Centura® RP EPI chamber available from Applied Materials, Inc., of Santa Clara, Calif.
  • One exemplary epitaxy chamber is shown below in FIG. 4 . It is contemplated that other chambers, including those available from other manufacturers, may be used to practice epitaxial deposition.
  • the target temperature for epitaxial deposition may be between about 250° C. and about 600° C., such as about 300° C. to about 500° C., for example about 350° C. to about 400° C.
  • the pressure within the epitaxy chamber is kept relatively low, for example less than about 50 Torr, such as about 10 Torr to about 40 Torr.
  • the processing reagent may include one or more deposition gases and at least one dopant gas.
  • the deposition gas may include one or more precursor gases selected from Group III precursor gas, Group V precursor gas, Group VI precursor gas, or Group IV precursor gas. In cases where a silicon-containing epitaxial layer is formed, the deposition gas may contain at least a silicon source.
  • Exemplary silicon sources may include, but are not limited to, silanes, halogenated silanes, silicon tetrachloride (SiCl 4 ), or any combinations thereof.
  • Silanes may include silane (SiH 4 ) and higher silanes with the empirical formula Si x H (2x+2) , such as disilane (Si 2 H 6 ), trisilane (Si 3 H 5 ), tetrasilane (Si 4 H 10 ), pentasilane (Si 5 H 12 ), or hexasilane (Si 6 H 14 ).
  • Other higher silanes such as a silicon hydride expressed as Si n H 2n (n is a natural number equal to or greater than 3), may also be used.
  • Halogenated silanes may include monochlorosilane (MCS), dichlorosilane (DCS), trichlorosilane (TCS), hexachlorodisilane (HCDS), octachlorotrisilane (OCTS), silicon tetrachloride (STC), or a combination thereof.
  • silanes may include higher order silanes with varying degrees of halogenation in the form of —F, Cl, Br or I attached to them in order to enable selectivity.
  • the silicon source comprises tetrasilane. In another exemplary implementation, the silicon source comprises disilane. In yet another exemplary implementation, the silicon source comprises tetrasilane and disilane.
  • the dopant gas may include, but is not limited to phosphorous, boron, arsenic, gallium, or aluminum, depending on the desired conductive characteristic of the deposited epitaxial layer.
  • the deposition gas may optionally contain at least one secondary elemental source, such as a germanium source or a carbon source. Depending on application, other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing layer.
  • the silicon-containing epitaxial layer is phosphorous doped silicon (Si:P), which can be achieved using a dopant such as phosphine (PH 3 ), phosphorus trichloride (PCl 3 ), phosphorous tribromide (PBr 3 ), and phosphanes such as tributyl phosphate (TBP).
  • a dopant such as phosphine (PH 3 ), phosphorus trichloride (PCl 3 ), phosphorous tribromide (PBr 3 ), and phosphanes such as tributyl phosphate (TBP).
  • the processing reagents may optionally include a carrier gas.
  • the carrier gas may be selected based on the precursor(s) used and/or the process temperature during the epitaxial process. Suitable carrier gases include nitrogen, hydrogen, argon, helium, or other gases which are inert with respect to the epitaxial process. Nitrogen may be utilized as a carrier gas in implementations featuring low temperature (e.g., ⁇ 600° C.) processes.
  • the carrier gas may have a flow rate from about 1 SLM (standard liters per minute) to about 100 SLM, such as from about 3 SLM to about 30 SLM.
  • Table 1 below illustrates selective growth rate of a phosphorous-containing epitaxial layer (Si:P) formed using different silicon sources (tetrasilane vs disilane) under given process conditions.
  • tetrasilane was provided at a flow rate of about 11 sccm
  • disilane was provided at a flow rate of about 90 sccm.
  • Phosphine was provided at a flow rate of about 1000 sccm (tetrasilane) and about 300 sccm (disilane).
  • Hydrogen (not shown), which serves as a carrier gas, was provided at a flow rate of about 8000 sccm.
  • the processing chamber was heated and maintained at about 400° C.
  • the silicon source using tetrasilane at 500° C. provides a superior growth rate than the silicon source using disilane, even though tetrasilane is flowed at a much less amount than disilane.
  • the processing reagent may further include a halogen precursor.
  • exemplary halogen precursors may be those containing halogen molecules, such as chlorine gas or hydrogen chloride.
  • the halogen precursor may be flowed simultaneously or concurrently with the deposition gas (i.e., co-flow mode) during the epitaxial process. In such a case, the deposition gas and the halogen precursor may be separately flowed into the epitaxy chamber.
  • the deposition gas and the halogen precursor may be pre-mixed and formed as a gas mixture before flowing into the epitaxy chamber.
  • the flow ratio of the deposition gas and the halogen precursor in the epitaxy chamber may be about 1:1.5 to about 1:3, for example about 1:2. It is contemplated that disilane and chlorine gas mentioned herein can be replaced with any other silicon source and halogen precursor using the flow ratio described herein.
  • the flow of the processing reagent is discontinued and any reaction residues and/or unwanted gases are pumped out of the epitaxy chamber.
  • the pressure within the epitaxy chamber is maintained at about 1 Torr to about 30 Torr, such as about 1.5 Torr to about 15 Torr.
  • a purging gas such as hydrogen or argon, may be introduced into the epitaxy chamber to allow processing reagent and residues to be pumped from the epitaxy chamber while maintaining the epitaxy chamber at a required chamber pressure.
  • the purging time may vary between about 5 seconds to about 45 seconds, for example about 15 seconds to about 20 seconds.
  • the flow of the purging gas is discontinued and the substrate is exposed to an etching gas to selectively remove amorphous material, for example amorphous silicon (a-Si), from dielectric surfaces of the substrate.
  • amorphous material for example amorphous silicon (a-Si)
  • the etching process may be performed in an etching chamber, such as one shown in FIG. 3 or FIG. 5 .
  • the etching gas may include at least one etchant and a carrier gas.
  • the etchant may be a halogen-containing etchant.
  • Exemplary etchant may include, but is not limited to hydrogen chloride (HCl), germanium hydride (GeH 4 ), chlorine (CIO, boron trichloride (BCl 3 ), phosphorus trichloride (PCl 3 ), or any combinations thereof.
  • the etchant includes HCl and GeH 4 .
  • the etchant includes HCl and PCl 3 .
  • the etchant includes Cl 2 and PCl 3 .
  • the etchant includes HCl, GeH 4 and PCl 3 . Any suitable halogenated germanium compounds may also be used.
  • the carrier gas may include hydrogen, nitrogen, argon, helium, and any combinations thereof.
  • a carrier gas may be selected based upon specific etchant(s).
  • the etchant includes HCl and GeH 4 .
  • the etchant includes Cl 2 and GeH 4 .
  • the flow of HCl and GeH 4 may be introduced into the epitaxy chamber at a GeH 4 /HCl ratio of about 1:3 to about 1:7, for example about 1:5.
  • GeH 4 is introduced at a flow rate of about 60 sccm and HCl is introduced at 300 sccm, with the carrier gas (N 2 ) introduced at a flow rate of about 3 SLM.
  • the etching time may be about 250 seconds to about 850 seconds, for example about 300 seconds to about 800 seconds, for example about 360 seconds to about 480 seconds.
  • the etching temperature may be about 600° C. or less, for example 500° C. or less, such as about 200° C. to about 400° C.
  • the chamber pressure during etching may be maintained at about 80 Torr to about 300 Torr, such as about 100 Torr to about 200 Torr.
  • the etch-back process may be performed in the epitaxy chamber. It has been observed that the process conditions described herein can minimize epitaxial layer etch while removing all the amorphous silicon growth on dielectric surfaces. Particularly, the addition of GeH 4 or higher order germanes to HCl provide sufficient etching with desired selectivity at lower temperatures of 500° C. or less, which has been a challenging in the past if HCl alone was used for etching.
  • an amorphous silicon/crystalline epitaxial layer etch selectivity of 30:1 or greater, such as 50:1 or even 80:1 can be achieved at low etching temperatures using the etchants discussed herein.
  • the result is a much thinner amorphous silicon layer on the dielectric surface compared to the epitaxial layer on the semiconductor surface due to the etch-back process performed after the epitaxial deposition.
  • the flow of the etchant such as GeH 4
  • HCl may continue to flow to remove GeH 4 and other reaction residues/byproducts from the substrate.
  • the flow of HCl may be continued for about 5 seconds to about 20 seconds, for example about 10 seconds.
  • the substrate may then be subjected to downstream processing, such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation, which may be performed in a temperature controlled processing chamber such as one shown in FIG. 6 .
  • downstream processing such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation, which may be performed in a temperature controlled processing chamber such as one shown in FIG. 6 .
  • one or more steps of the method 100 may be repeated until a predetermined thickness (e.g., 5-10 nm) of Si:P epitaxial film has been formed on the target surfaces of the substrate.
  • a predetermined thickness e.g., 5-10 nm
  • blocks 104 , 106 , 108 and 110 may be repeated for 2 to 5 cycles to maximize etching of unwanted films from the dielectric surfaces at or near the bottom trench.
  • germanium precursors may include, but are not limited to GeH 4 , Ge 2 H 6 , or halogenated germanium such as GeCl 4 , GeHCl 3 , Ge 2 Cl 6 , Ge 3 Cl 5 , etc.
  • FIG. 2 is a cross-sectional view of a processing chamber 200 that may be used to perform the cleaning process found in block 102 .
  • the processing chamber 200 may be particularly useful for performing a thermal or plasma-based oxidation process and/or a plasma assisted dry etch process.
  • the processing chamber 200 includes a chamber body 212 , a lid assembly 214 , and a support assembly 216 .
  • the lid assembly 214 is disposed at an upper end of the chamber body 212
  • the support assembly 216 is at least partially disposed within the chamber body 212 .
  • a vacuum system can be used to remove gases from processing chamber 200 .
  • the vacuum system includes a vacuum pump 218 coupled to a vacuum port 221 disposed in the chamber body 212 .
  • the processing chamber 200 also includes a controller 202 for controlling processes within the processing chamber 200 .
  • the lid assembly 214 includes at least two stacked components configured to form a plasma volume or cavity there between.
  • a first electrode 220 is disposed vertically above a second electrode 222 confining a plasma volume therebetween.
  • the first electrode 220 is connected to a power source 224 , such as a radio frequency (RF) power supply, and the second electrode 222 is connected to ground or a source return, forming a capacitance between the first electrode 220 and the second electrode 222 .
  • the lid assembly 214 also includes one or more gas inlets 226 for providing a cleaning gas to a substrate surface through a blocker plate 228 and a gas distribution plate 230 , such as a showerhead.
  • the cleaning gas may be an etchant or ionized active radical, such as ionized fluorine, chlorine, or ammonia, or an oxidizing agent, such as ozone.
  • a different cleaning process may be utilized to clean the substrate surface.
  • a remote plasma containing He and NF 3 may be introduced into the processing chamber 200 through the gas distribution plate 230 , while NH 3 may be directly injected into the processing chamber 200 via a separate gas inlet 225 that is disposed at a side of the chamber body 212 .
  • the support assembly 216 may include a substrate support 232 to support a substrate 210 thereon during processing.
  • the substrate support 232 has a flat substrate supporting surface for supporting the substrate to be processed thereon.
  • the substrate support 232 may be coupled to an actuator 234 by a shaft 236 which extends through a centrally-located opening formed in a bottom of the chamber body 212 .
  • the actuator 234 may be flexibly sealed to the chamber body 212 by bellows (not shown) that prevent vacuum leakage from around the shaft 236 .
  • the actuator 234 allows the substrate support 232 to be moved vertically within the chamber body 212 between a process position and a lower, transfer position. The transfer position is slightly below the opening of a slit valve formed in a sidewall of the chamber body 212 .
  • the substrate support 232 may be elevated to a position in close proximity to the lid assembly 214 to control the temperature of the substrate 210 being processed. As such, the substrate 210 may be heated via radiation emitted or convection from
  • a bias RF power supply 280 may be coupled to the substrate support 232 via a cable 282 through a matching network 284 .
  • the bias RF power supply 280 provides a bias to the substrate 210 to direct the ionized cleaning gas toward the substrate 210 .
  • FIG. 3 is a cross-sectional view of a plasma-cleaning chamber 300 that may be used to perform the cleaning process found in block 102 .
  • the processing chamber 300 has a chamber body 310 that includes a chamber adapter 316 , an adapter 318 and a lid 340 .
  • the chamber adapter 316 and the lid 340 may be fabricated from aluminum, stainless steel or other suitable materials.
  • the lid 340 is removably coupled to the chamber adapter 316 to define a process region 330 therein.
  • a heater (or pedestal) 314 is disposed in the process region 330 of the chamber body 310 .
  • the heater 314 is coupled to a bottom of the chamber adapter 316 through a central shaft.
  • the heater 314 has a substrate supporting surface for supporting the substrate 308 thereon during a process, such as cleaning of the surface of the substrate described above with respect to box 104 .
  • the heater 314 may be fabricated from bare aluminum with sapphire contact.
  • the heater 314 is actuated to move vertically between a loading position and a processing position.
  • the heater 314 may be utilized to provide temperature to the substrate 308 , thereby heating or cooling the substrate during process.
  • the heater 314 may use a ring-like substrate support (not shown) to support and lift up the substrate 308 from the edge of the substrate when the heater 314 is lowered down to the loading position. During the process, the heater 314 is raised up to the processing position, which picks up and supports the substrate 308 with its substrate supporting surface at a desired height for processing of the substrate 308 .
  • the heater 314 may support an optional focus ring 338 disposed on its outer periphery.
  • the focus ring 338 circumscribes the substrate 308 during processing.
  • the focus ring 338 is fabricated from quartz.
  • the adapter 318 is disposed between the lid 340 and the chamber adapter 316 and supports a gas distribution plate 326 thereon.
  • the gas distribution plate 326 may be a quartz showerhead.
  • a plenum 348 is defined between the gas distribution plate 326 and the lid 340 .
  • the gas distribution plate 326 includes a plurality of apertures 327 to allow gases flowing into the plenum 348 through a port 342 formed in the lid 340 to be distributed across the substrate 308 disposed in the process region 330 .
  • An opening 315 is formed at the bottom of the chamber adapter 316 and is connected to a pump 317 .
  • the pump 317 may be used to control the pressure inside the chamber body 310 from between about 1 mTorr and about 500 Torr.
  • the pump 317 may be a low pressure pump that maintains the pressure inside the chamber body 310 at an exemplary pressure range of about 10 mTorr to about 500 mTorr.
  • the pump 317 may also be a turbo pump that maintains the pressure inside the chamber body 310 at an exemplary pressure range of about 20 Torr to 300 Torr.
  • a remote plasma source 350 is coupled to the port 342 by a passage 360 .
  • the passage 360 defines a conduit 356 through which the reactive radicals generated in the remote plasma source 350 are filtered before entering the process region 330 .
  • the reactive radicals generated therefrom may include ions, charged species, and other reactive species.
  • the gases flowing through the conduit 356 are filtered by a magnetic field generated by one or more magnets disposed adjacent to the passage 360 . The magnets generate a magnetic field across the passage 360 to filter charged particles entrained with the reactive radicals flowing from the remote plasma source 350 .
  • a first magnet 352 and a second magnet 354 are disposed adjacent the passage 360 .
  • the magnets 352 , 354 may be disposed to oppose to each other across the passage 360 .
  • the magnets 352 , 354 may be adhered or secured on opposite sides of an outer periphery of the passage 360 .
  • the magnets 352 , 354 may be secured to the chamber lid 340 or other components of the chamber body 310 .
  • the relative distance between the opposed magnet and the conduit 356 formed within the passage 360 affects the strength of the magnetic field passing through the conduit 356 , and thereby affects the filtering efficiency.
  • the magnetic field may also be adjusted by using different magnets, i.e., replacing magnets 352 , 354 with different strength.
  • the passing charged particles are drawn in contact with an inner surface 370 of the passage 360 and become electrically neutral, non-ionic species.
  • the filtered, electrically neutral radicals are delivered to the surface of the substrate to react with and clean oxides and/or contaminants thereon.
  • the reactive radicals may be further filtered by providing a quartz surface in the flow path of the process gases (i.e., reactive radicals) passing into the chamber body 310 .
  • the inner surface 370 of the passage 360 defining the conduit 356 connecting the remote plasma source 350 and the chamber body 310 may be entirely or partially coated or fabricated from quartz.
  • the surfaces defining the plenum 348 and/or gas distribution plate 326 may also be entirely or at least partially coated or fabricated from quartz.
  • a quartz ring 324 may circumscribe the outer boundary of the plenum 348 .
  • a quartz liner 344 may be disposed on the bottom surface of the lid 340 defining the upper boundary of the plenum 348 .
  • the inner surface 370 of the passage 360 serves as an ion filter to reduce the recombination of the radicals by providing a quartz surface with which hydrogen-containing radicals can hydrogen bond and absorb onto the quartz surface. Hydrogen-containing radicals that impinge on the inner surface 370 release an absorbed hydrogen-containing radical into the energized gas, thereby regenerating hydrogen radicals. The hydrogen ions are not regenerated by the inner surface 370 , and thus these ions recombine to form electrically neutral, non-ionic species. Thus, by passing the activated cleaning gas over the quartz surface, the reactive radicals are effectively filtered from the energized cleaning gas, while the radical species are preserved. The charged particles from recombined active radical are efficiently reduced.
  • FIG. 4 is a cross-sectional view of a thermal processing chamber 400 that may be used to perform the epitaxial process found in block 104 .
  • the processing chamber 400 includes a chamber body 402 , support systems 404 , and a controller 406 .
  • the chamber body 402 includes an upper portion 412 and a lower portion 414 .
  • the upper portion 412 includes the area within the chamber body 402 between the upper dome 416 and a substrate 210 .
  • the lower portion 414 includes the area within the chamber body 402 between a lower dome 430 and the bottom of the substrate 210 . Deposition processes generally occur on the upper surface of the substrate 210 within the upper portion 412 .
  • the support system 404 includes components used to execute and monitor pre-determined processes, such as the growth of epitaxial films in the processing chamber 400 as discussed above in block 104 .
  • a controller 406 is coupled to the support system 404 and is adapted to control the processing chamber 400 and support system 404 .
  • the controller 406 includes a central processing unit (CPU), a memory, and support circuits.
  • the processing chamber 400 includes a plurality of heat sources, such as lamps 435 , which are adapted to provide thermal energy to components positioned within the process chamber 400 .
  • the lamps 435 may be adapted to provide thermal energy to the substrate 210 , a susceptor 426 , and/or the preheat ring 423 .
  • the lower dome 430 may be formed from an optically transparent material, such as quartz, to facilitate the passage of thermal radiation therethrough. It is contemplated that lamps 435 may be positioned to provide thermal energy through the upper dome 416 as well as the lower dome 430 .
  • the chamber body 402 includes a plurality of plenums formed therein.
  • the plenums are in fluid communication with one or more gas sources 476 , such as a carrier gas, and one or more precursor sources 478 , such as deposition gases and dopant gas discussed above in block 104 .
  • a first plenum 420 may be adapted to provide a deposition gas 450 therethrough into the upper portion 412 of the chamber body 402
  • a second plenum 421 may be adapted to exhaust the deposition gas 450 from the upper portion 412 .
  • the deposition gas 450 may flow parallel to an upper surface of the substrate 210 .
  • the thermal processing chamber 400 may include a liquid vaporizer 480 in fluid communication with a liquid precursor source 482 .
  • the liquid vaporizer 480 is be used for vaporizing liquid precursors to be delivered to the thermal processing chamber 400 .
  • the liquid precursor source 482 may include, for example, one or more ampules of precursor liquid and solvent liquid, a shut-off valve, and a liquid flow meter (LFM).
  • a substrate support assembly 432 is positioned in the lower portion 414 of the chamber body 402 .
  • the substrate support 432 is illustrated supporting a substrate 210 in a processing position.
  • the substrate support assembly 432 includes a susceptor support shaft 427 formed from an optically transparent material and the susceptor 426 supported by the susceptor support shaft 427 .
  • a shaft 460 of the susceptor support shaft 427 is positioned within a shroud 431 to which lift pin contacts 442 are coupled.
  • the susceptor support shaft 427 is rotatable in order to facilitate the rotation of the substrate 210 during processing. Rotation of the susceptor support shaft 427 is facilitated by an actuator 429 coupled to the susceptor support shaft 427 .
  • the shroud 431 is generally fixed in position, and therefore, does not rotate during processing.
  • Support pins 437 couple the susceptor support shaft 427 to the susceptor 426 .
  • Lift pins 433 are disposed through openings (not labeled) formed in the susceptor support shaft 427 .
  • the lift pins 433 are vertically actuatable and are adapted to contact the underside of the substrate 210 to lift the substrate 210 from a processing position (as shown) to a substrate removal position.
  • the preheat ring 423 is removably disposed on a lower liner 440 that is coupled to the chamber body 402 .
  • the preheat ring 423 is disposed around the internal volume of the chamber body 402 and circumscribes the substrate 210 while the substrate 210 is in a processing position.
  • the preheat ring 423 facilitates preheating of a process gas as the process gas enters the chamber body 402 through the plenum 420 adjacent to the preheat ring 423 .
  • the central window portion 415 of the upper dome 416 and the bottom portion 417 of the lower dome 430 may be formed from an optically transparent material such as quartz.
  • the peripheral flange 419 of the upper dome 416 which engages the central window portion 415 around a circumference of the central window portion 415
  • the peripheral flange 421 of the lower dome 430 which engages the bottom portion around a circumference of the bottom portion, may all be formed from an opaque quartz to protect the O-rings 422 proximity to the peripheral flanges from being directly exposed to the heat radiation.
  • the peripheral flange 419 may be formed of an optically transparent material such as quartz.
  • FIG. 5 is a cross-sectional view of an ICP plasma chamber 500 that may be used to perform any of the processes found in blocks 102 , 106 , 108 and 110 .
  • the plasma chamber 500 depicted in FIG. 5 includes an upper portion 528 and a lower portion 530 .
  • the plasma chamber 500 has a sidewall 505 and a lid assembly 510 .
  • the sidewall 505 has an axially symmetrical shape, such as a cylinder.
  • the sidewall 505 includes an axially symmetrical (e.g., cylindrical) dielectric side window 506 and a chamber liner 507 , which may be formed of metal.
  • a substrate support 515 inside the plasma chamber 500 includes a pedestal 520 having a substrate support surface 521 facing the lid assembly 510 for holding a substrate 210 , and a post 525 supporting the pedestal 520 .
  • a processing region 501 of the plasma chamber 500 is confined by the lid assembly 510 , the pedestal 520 and the sidewall 505 .
  • the pedestal 520 may include an insulated internal electrode 524 .
  • an electrostatic chucking (ESC) voltage and/or RF plasma bias power may be supplied to the insulated internal electrode 524 via a cable 532 extending through the post 525 .
  • the cable 532 may be coupled to an RF bias power source (such as an RF impedance matching network and/or an RF power generator) as an RF bias feed to the insulated internal electrode 524 .
  • the plasma source power is inductively coupled into the processing region 501 by a set of coil antennas, including an inner coil antenna 540 , a middle coil antenna 550 and optionally an outer or side coil antenna 560 , all of which are concentrically disposed with respect to each other and are coaxial with the axis of symmetry of the sidewall 505 .
  • the lid assembly 510 includes a disk-shaped dielectric window 512 through which the inner coil antenna 540 and the middle coil antenna 550 inductively couple RF plasma source power into the processing region 501 .
  • the disk-shaped dielectric window 512 is supported at its periphery by an annular top gas plate 523 .
  • the annular top gas plate 523 surrounds an opening 504 .
  • the disk-shaped dielectric window 512 is coaxial with the sidewall 505 and has a disk-plane parallel with the plane of the substrate support surface 521 .
  • the side coil antenna 560 inductively couples RF plasma source power into the processing region 501 through the cylindrical dielectric side window 506 .
  • a gas injector 514 is located at the center of the disk-shaped dielectric window 512 and surrounded by an annular gas flow plate 516 .
  • the gas flow plate 516 may have a plurality of gas input ports (not shown) configured to provide gas flow path to the gas injector 514 .
  • Cleaning gas or etching gas is injected into the processing region 501 by the gas injector 514 .
  • the chamber liner 507 is enclosed within a lower chamber body 570 including a cylindrical lower chamber body sidewall 575 and a lower chamber body floor 580 .
  • the lower chamber body sidewall 575 and the lower chamber body floor 580 enclose an evacuation region 581 .
  • a vacuum pump 590 is disposed in a vacuum pump opening 595 in the lower chamber body floor 580 and is centered relative to the axis of symmetry of the lower chamber body sidewall 575 .
  • a containment wall 596 coaxial with the substrate support 515 and a flexible bellows 597 extending between the pedestal 520 and the containment wall 596 enclose the substrate support 515 in an internal central space 598 .
  • the internal central space 598 is isolated from the volume evacuated by the vacuum pump 590 , including the evacuation region 581 and the processing region 501 .
  • the power may be supplied from a common RF source or from different RF sources such as RF matches (RF impedance matching networks) 542 and 544 .
  • An RF impedance matching network may be employed having dual outputs in order to drive two of the coil antennas with a first RF generator, while a second RF generator and a second RF impedance matching network drives the third coil antenna.
  • a single RF power generator may drive all three-coil antennas through an RF impedance matching network having three outputs.
  • three RF generators may separately drive the three coil antennas through three respective RF impedance matching networks.
  • the RF power level applied to the different coil antennas may be separately adjusted in order to control radial distribution of plasma ion density. While described implementations include the three coil antennas 540 , 550 and 560 , other implementations may include only one or two of the three described coil antennas 540 , 550 and 560 .
  • FIG. 6 is a schematic, cross-sectional view of a processing system 600 that may be used for temperature-controlled processing of substrates, such as silicon substrates.
  • the processing system 600 includes a processing unit 624 and a first heat unit 608 .
  • the processing unit 624 may be a VANTAGE® RADOXTM RTP chamber available from Applied Materials, Inc., Santa Clara, Calif.
  • the processing unit 624 is capable of providing a controlled thermal cycle that heats a substrate 201 for processes such as, for example, thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation.
  • the processing unit 624 includes a chamber 636 enclosing a process zone 602 .
  • the chamber 636 may be made of stainless steel, aluminum or other suitable materials.
  • the chamber 636 may also include a gas outlet 618 and a first gas inlet 616 opposing the gas outlet 618 .
  • the chamber 636 may include a substrate support 642 disposed therein for supporting the substrate 201 thereupon during processing in the process zone 602 .
  • the substrate support 642 may include a magnetically levitated rotor 620 and a quartz support cylinder 622 that rotates the substrate 201 during processing.
  • a radiation source 646 directs radiation onto the substrate 201 , and may be positioned below the substrate 201 adjacent a bottom surface 638 of the chamber 636 below a radiation permeable window 644 .
  • the radiation source 646 may include a plurality of heat elements 606 .
  • the plurality of heat elements 606 may include one or more approximately radial heating zones that can be independently modulated to control temperatures across the substrate 201 .
  • the heat elements 606 may be a plurality of tungsten-halogen lamps for providing a tailored infrared heating means to the substrate 201 .
  • the radiation source 646 is capable of rapidly heating the substrate 201 for thermal processing, for example at a rate of from about 50° C./s to about 280° C./s. Temperature tuning may be performed to change the temperature of the substrate 201 at certain locations while not affecting the rest of the substrate temperature. In another implementation, the radiation source 646 may be located within the chamber 636 .
  • the first heat unit 608 may be coupled to a first conduit 612 .
  • the first conduit 612 may be coupled to a first gas source 628 and provide a connection between the first gas source 628 and the first gas inlet 616 .
  • the first heat unit 608 may be operably coupled to the processing unit 624 .
  • the first heat unit 608 may heat a first gas to a first temperature before entering the process zone 602 in the chamber 636 .
  • the gas sources may provide process gases into the process zone 602 .
  • the second process gas may be hydrogen.
  • the second process gas may be nitrogen.
  • the first conduit 612 may be insulated by insulator 634 in the portion 614 that extends between the first heat unit 608 and the first gas inlet 616 . Insulating the first conduit 612 advantageously decreases heat loss as the first gas flows from the first heat unit 608 to the process zone 602 . Heating the first gas prior to entering the process zone 602 advantageously improves uniformity by decreasing the temperature gradient across the surface of the substrate 201 . Additionally, because the second gas is added to the first gas after the first gas has been heated, the two gases may react near the process zone 602 .
  • the first gas is the gas that has a lower thermal conductivity and thus controls the combustion reaction. In cases where a reaction using H 2 O 2 , the first gas may be oxygen. In cases where a reaction involving N 2 O, the first gas may be oxygen.
  • a coupling unit 648 may couple the portion 614 of the first conduit 612 with the chamber 636 .
  • the coupling unit 648 may be silica. While the temperature of the substrate 201 may reach about 1000° C., the walls of the chamber 636 are maintained close to about 30° C. to maintain the integrity of the seals of the chamber 636 . As such, the coupling unit 648 advantageously maintains the integrity of the chamber 636 during processing of the substrate 201 .
  • FIG. 7 is a schematic top view of a processing system 700 that can be used to complete the flow chart 100 illustrated in FIG. 1 according to implementations described herein.
  • One example of the processing system 700 is the CENTURA® system available from Applied Materials, Inc., of Santa Clara, Calif.
  • a transfer robot 704 of any convenient type is disposed in a transfer chamber 702 of the processing system 700 .
  • a load-lock 706 with two load-lock chambers 706 A, 706 B is coupled to the transfer chamber 702 .
  • a plurality of processing chambers 708 , 710 , 712 , 714 , and 716 are also coupled to the transfer chamber 702 .
  • the plurality of processing chamber 708 , 710 , 712 , 714 , and 716 may include at least one of the chambers described above with respect to FIGS. 2 to 6 , such as a cleaning chamber, an etching chamber, an epitaxial chamber, or an oxidization chamber, etc.
  • Processing chamber 708 may be a cleaning chamber configured to clean a substrate prior to deposition.
  • the processing chamber 708 may be a capacitively coupled processing chamber similar to the processing chamber 200 depicted in FIG. 2 .
  • the processing chamber 708 is a SICONITM Preclean chamber available from Applied Materials of Santa Clara, Calif. The processing chamber 708 may be used to perform the cleaning process as discussed above in block 102 .
  • Processing chamber 710 may also be a cleaning chamber configured to clean a substrate prior to deposition.
  • the processing chamber 710 may be a pre-clean chamber using remote plasma source similar to the plasma-cleaning chamber 300 depicted in FIG. 3 .
  • the processing chamber 710 is an AKTIV Pre-CleanTM chamber available from Applied Materials of Santa Clara, Calif.
  • the processing chamber 710 uses electrically neutral radicals (e.g., hydrogen radicals) to react with and clean oxides and/or contaminants on a substrate as discussed above in block 102 and/or block 108 .
  • Processing chamber 712 may be a thermal processing chamber configured to deposit material on a substrate.
  • the processing chamber 712 may be a material deposition chamber such as an epitaxy chamber similar to the processing chamber 400 depicted in FIG. 4 .
  • the processing chamber 712 is a Centura® RP EPI chamber available from Applied Materials of Santa Clara, Calif.
  • the processing chamber 712 may be used to perform an epitaxial growth process as discussed above in block 104 and a purge process as discussed above in block 106 .
  • Processing chamber 714 may be an etching chamber configured to etch material from a substrate.
  • the processing chamber 714 may be a plasma chamber such as an ICP plasma chamber similar to the plasma chamber 500 depicted in FIG. 5 .
  • the processing chamber 714 is a Centura® AdvantedgeTM MesaTM Etch chamber available from Applied Materials of Santa Clara, Calif.
  • the processing chamber 714 may be used to perform etch-related process as discussed above in block 108 .
  • Processing chamber 716 may be a thermal process chamber configured to provide a controlled thermal cycle that heats a substrate.
  • the processing chamber 716 may be a thermal process chamber similar to the processing system 600 depicted in FIG. 6 .
  • the processing chamber 716 is a VANTAGE® RADOXTM RTP chamber available from Applied Materials, Inc., Santa Clara, Calif.
  • the processing chamber 716 may be used to perform downstream processing after deposition, such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation as discussed above in block 110 .
  • a substrate that is to be processed may arrive to the processing system 700 in a pod (not shown).
  • the substrate is transferred from the pod to the vacuum compatible load-lock 706 A, 706 B by the factory interface robot (not shown).
  • the substrate is then handled by the transfer robot 704 in the transfer chamber 702 , which is generally kept in a vacuum state.
  • the transfer robot 704 then loads the substrate into either processing chamber 708 or processing chamber 710 for cleaning of the substrate, as described in block 102 .
  • the transfer robot 704 picks up the substrate from the processing chamber 708 or 710 and loads the substrate into the processing chamber 712 for epitaxial growth of material on the substrate and chamber purging, as described in blocks 104 and 106 .
  • the transfer robot 704 then picks up the substrate from the processing chamber 712 and loads the substrate into the processing chamber 714 for etching materials from the substrate, as described in block 108 . This sequence is repeated until a predetermined thickness of the epitaxial film is reached. Thereafter, the transfer robot 704 picks up the substrate from the processing chamber 714 and load it into the processing chamber 716 for any downstream processing, such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation, as discussed above in block 110 .
  • the substrate is not exposed to atmosphere (i.e., vacuum is not broken) as the substrate is transferred to various processing chambers, which decreases the chance of contamination and improves the quality of the deposited epitaxial film.
  • the transfer chamber 702 may remain under vacuum and/or at a pressure below atmosphere during the process.
  • the vacuum level of the transfer chamber 702 may be adjusted to match the vacuum level of corresponding processing chambers. For example, when transferring a substrate from a transfer chamber 702 into a processing chamber (or vice versa), the transfer chamber 702 and the processing chamber may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber to the load lock chamber or batch load lock chamber (or vice versa), the transfer chamber vacuum level may match the vacuum level of the load-lock chamber 706 A, 706 B even through the vacuum level of the load-lock chamber and the processing chamber may be different.
  • benefits of the present disclosure provide an integrated system and method for pre-cleaning a silicon-containing substrate prior to epitaxial deposition and a cyclic deposition-etch process including an epitaxial deposition step using disilane or tetrasilane (or higher order silanes) and an etch-back step using GeH 4 and HCl, which results in an improved device quality and etch selectivity (at least 50:1) of an epitaxy process.
  • the etch-back step using GeH 4 and HCl allows for effective removal of possible silicon nuclei from dielectric surfaces and formation of a silicon epitaxial film with much lower loss of active dopant at reduced etch temperatures (below 500° C.).
  • a phosphorous-containing silicon layer having a phosphorus concentration of 5.77 ⁇ 10 20 atoms per cubic centimeter or greater, for example 9.49 ⁇ 10 20 atoms per cubic centimeter can be achieved without sacrificing the throughput.
  • the high phosphorus concentration induces stress within the deposited epitaxial film, thereby increasing tensile strain, leading to increased carrier mobility and improved device performance.
  • clustering process chambers through vacuum transfer reduces exposure to atmosphere and correspondingly reduces exposure to oxygen contaminants. Clustering the native oxide removal chambers along with the etching of silicon and epitaxial deposition also leads to a reduction in oxygen contaminants.
  • the integrated system advantageously provides for an improved semiconductor device.

Abstract

The present disclosure generally relate to a cluster tool and methods for forming an epitaxial layer on a semiconductor device. In one implementation, the cluster tool includes a transfer chamber, a pre-clean chamber coupled to the transfer chamber, a plasma-cleaning chamber coupled to the transfer chamber, a deposition chamber coupled to the transfer chamber, an etch chamber coupled to the transfer chamber, and a thermal process chamber coupled to the transfer chamber.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 62/457,572 filed Feb. 10, 2017, and incorporated herein by reference.
  • FIELD
  • Implementations of the disclosure generally relate to the field of semiconductor manufacturing processes, more particularly, to a cluster tool and methods of depositing silicon-containing films for forming semiconductor devices.
  • BACKGROUND
  • Low temperature epitaxy has a distinct advantage of yielding epitaxial films with very high degree of dopant activation. Epitaxial films with high dopant activation can be useful for contact applications in the CMOS manufacturing process where thermal budget needs to be at or below certain temperature, such as 450 degree Celsius, in order to preserve the high-K metal gate stack in the middle-of-line (MOL) fabrication process.
  • There is a need in the art to integrate low temperature epitaxy for growing phosphorous-containing silicon layer in order to enable orders of magnitude reduction in contact resistance of nMOS transistors.
  • SUMMARY
  • The present disclosure generally relate to a cluster tool and methods for forming an epitaxial layer on a semiconductor device. In one implementation, the cluster tool includes a transfer chamber, a pre-clean chamber coupled to the transfer chamber, a plasma-cleaning chamber coupled to the transfer chamber, a deposition chamber coupled to the transfer chamber, an etch chamber coupled to the transfer chamber, and a thermal process chamber coupled to the transfer chamber.
  • In another implementation, the cluster tool includes a transfer chamber coupled to a load-lock chamber, a first cleaning chamber coupled to the transfer chamber, the first cleaning chamber comprising a capacitively coupled plasma source and a substrate support coupling to a bias RF power supply, a second cleaning chamber coupled to the transfer chamber, the second cleaning chamber comprising an inductively coupled plasma source, an epitaxial deposition chamber coupled to the transfer chamber, the epitaxy chamber comprising a liquid vaporizer in fluid communication with a liquid precursor source, an etch chamber coupled to the transfer chamber, and a thermal process chamber coupled to the transfer chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Implementations of the present disclosure, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative implementations of the disclosure depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical implementations of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective implementations.
  • FIG. 1 is a flow chart illustrating a method of forming an epitaxial layer according to one implementation of the present disclosure.
  • FIG. 2 is a cross-sectional view of a processing chamber that may be used to perform a cleaning process found in FIG. 1.
  • FIG. 3 is a cross-sectional view of a plasma-cleaning chamber that may be used to perform a cleaning process found in FIG. 1.
  • FIG. 4 is a cross-sectional view of a thermal processing chamber that may be used to perform an epitaxial process found in FIG. 1.
  • FIG. 5 is a cross-sectional view of an ICP plasma chamber that may be used to perform etch processes found in FIG. 1.
  • FIG. 6 is a schematic, cross-sectional view of a processing system that may be used for temperature-controlled processing of substrates.
  • FIG. 7 is a schematic top view of a processing system that can be used to complete the flow chart of FIG. 1.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one implementation may be beneficially incorporated in other implementations without further recitation.
  • DETAILED DESCRIPTION
  • FIG. 1 is a flow chart 100 illustrating a method of forming an epitaxial layer according to one implementation of the present disclosure. The method begins at block 102 where a substrate is pre-cleaned. The substrate may be a wafer or any object having native oxides. The substrate may contain monocrystalline surfaces and/or one secondary surface that is non-monocrystalline, such as polycrystalline or amorphous surfaces. Monocrystalline surfaces may include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, germanium, silicon germanium or silicon carbon. Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
  • Any suitable cleaning process that removes oxides from the substrate without significantly damaging the substrate may be used. Suitable cleaning processes include sputter etch processes, plasma-based oxide etch processes, wet etch processes, or combinations thereof. Exemplary plasma-based oxide etch processes include NF3/NH3 inductively coupled plasma processes or NF3/NH3 capacitively coupled plasma processes. In one implementation, the plasma-based oxide etch process is a remote plasma assisted dry etch process which involves the simultaneous exposure of a substrate to NF3 and NH3 plasma by-products. In one example, the plasma-based oxide etch process may be similar to or may include a SiCoNi™ etch process that is available from Applied Materials, Inc. of Santa Clara, Calif. The SiCoNi™ etch process may be performed in a SiCoNi™ Preclean chamber available from Applied Materials of Santa Clara, Calif. One exemplary SiCoNi™ Preclean chamber is shown in FIG. 2 and will be discussed below.
  • In some implementations that use remote plasma, excitation of the gas species allows plasma-damage-free substrate processing. The remote plasma etch can be largely conformal and selective towards silicon oxide layers, and thus does not readily etch silicon regardless of whether the silicon is amorphous, crystalline or polycrystalline. The remote plasma process will generally produce solid by-products which grow on the surface of the substrate as substrate material is removed. The solid by-products can be subsequently removed via sublimation when the temperature of the substrate is raised (e.g., 300° C.). The plasma etch process results in a substrate surface having silicon-hydrogen (Si—H) bonds thereon.
  • In some implementations, the cleaning process may be performed in a processing chamber using a remote plasma source. For example, the processing chamber may be an AKTIV Pre-Clean™ chamber available from Applied Materials of Santa Clara, Calif. One exemplary etch chamber using ICP source is shown in FIG. 3 and will be discussed below.
  • In some implementations, the cleaning process may be performed in an etch chamber using an inductively coupled plasma (ICP) source. For example, the etch chamber may be a Centura® Advantedge™ Mesa™ Etch chamber available from Applied Materials of Santa Clara, Calif. Alternatively, the cleaning process may be performed in an etch chamber employing a radical-based chemistry. One exemplary etch chamber using ICP source is shown in FIG. 5 below.
  • If desired, the block 102 may include additional preparation steps. For example, the block 102 may include pre-baking the substrate to further clean the surface. The pre-bake may be performed in the presence of hydrogen at a temperature of about 330° C. In such a case, the pre-bake may be done in an epitaxy chamber, such as one shown in FIG. 4 below. The pre-bake may include raising the temperature of the substrate to about 330° C. In some implementations, the block 102 includes an HF clean of the substrate, which may result in —H terminations on the silicon (monocrystalline) surfaces and —OH termination on the oxide (dielectric) surfaces.
  • At block 104, the substrate is exposed to a processing reagent in, for example, a gas phase epitaxy chamber at a target temperature for epitaxial deposition of a silicon-containing layer. An exemplary epitaxy chamber that may be used is a Centura® RP EPI chamber available from Applied Materials, Inc., of Santa Clara, Calif. One exemplary epitaxy chamber is shown below in FIG. 4. It is contemplated that other chambers, including those available from other manufacturers, may be used to practice epitaxial deposition.
  • The target temperature for epitaxial deposition may be between about 250° C. and about 600° C., such as about 300° C. to about 500° C., for example about 350° C. to about 400° C. The pressure within the epitaxy chamber is kept relatively low, for example less than about 50 Torr, such as about 10 Torr to about 40 Torr. The processing reagent may include one or more deposition gases and at least one dopant gas. The deposition gas may include one or more precursor gases selected from Group III precursor gas, Group V precursor gas, Group VI precursor gas, or Group IV precursor gas. In cases where a silicon-containing epitaxial layer is formed, the deposition gas may contain at least a silicon source. Exemplary silicon sources may include, but are not limited to, silanes, halogenated silanes, silicon tetrachloride (SiCl4), or any combinations thereof. Silanes may include silane (SiH4) and higher silanes with the empirical formula SixH(2x+2), such as disilane (Si2H6), trisilane (Si3H5), tetrasilane (Si4H10), pentasilane (Si5H12), or hexasilane (Si6H14). Other higher silanes, such as a silicon hydride expressed as SinH2n (n is a natural number equal to or greater than 3), may also be used. For example, cyclotrisilane (Si3H6), cyclotetrasilane (Si4H8), cyclopentasilane (Si6H10), cyclohexasilane (Si6H12), or cycloheptasilane (Si7H14). Halogenated silanes may include monochlorosilane (MCS), dichlorosilane (DCS), trichlorosilane (TCS), hexachlorodisilane (HCDS), octachlorotrisilane (OCTS), silicon tetrachloride (STC), or a combination thereof. In some implementations, silanes may include higher order silanes with varying degrees of halogenation in the form of —F, Cl, Br or I attached to them in order to enable selectivity. For example, Si2H4Cl2 or Si3H5Cl3 etc.
  • In one exemplary implementation, the silicon source comprises tetrasilane. In another exemplary implementation, the silicon source comprises disilane. In yet another exemplary implementation, the silicon source comprises tetrasilane and disilane.
  • The dopant gas may include, but is not limited to phosphorous, boron, arsenic, gallium, or aluminum, depending on the desired conductive characteristic of the deposited epitaxial layer. The deposition gas may optionally contain at least one secondary elemental source, such as a germanium source or a carbon source. Depending on application, other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing layer. In one exemplary implementation, the silicon-containing epitaxial layer is phosphorous doped silicon (Si:P), which can be achieved using a dopant such as phosphine (PH3), phosphorus trichloride (PCl3), phosphorous tribromide (PBr3), and phosphanes such as tributyl phosphate (TBP).
  • The processing reagents may optionally include a carrier gas. The carrier gas may be selected based on the precursor(s) used and/or the process temperature during the epitaxial process. Suitable carrier gases include nitrogen, hydrogen, argon, helium, or other gases which are inert with respect to the epitaxial process. Nitrogen may be utilized as a carrier gas in implementations featuring low temperature (e.g., <600° C.) processes. The carrier gas may have a flow rate from about 1 SLM (standard liters per minute) to about 100 SLM, such as from about 3 SLM to about 30 SLM.
  • Table 1 below illustrates selective growth rate of a phosphorous-containing epitaxial layer (Si:P) formed using different silicon sources (tetrasilane vs disilane) under given process conditions. In all examples shown in Table 1, tetrasilane was provided at a flow rate of about 11 sccm, and disilane was provided at a flow rate of about 90 sccm. Phosphine was provided at a flow rate of about 1000 sccm (tetrasilane) and about 300 sccm (disilane). Hydrogen (not shown), which serves as a carrier gas, was provided at a flow rate of about 8000 sccm. In all examples, the processing chamber was heated and maintained at about 400° C. to about 500° C., with a chamber pressure of about 40 Torr. The process conditions described herein and throughout this disclosure are based on a 300 mm diameter substrate. As can be seen in Table 1 below, the silicon source using tetrasilane at 500° C. provides a superior growth rate than the silicon source using disilane, even though tetrasilane is flowed at a much less amount than disilane.
  • TABLE 1
    Silicon Thickness/ Growth
    Source Condition Flows/Time Strain %-XRD Rate
    Tetra- 400° C./40 T Si4H10: 270 Å/1.4% 5 Å/min
    silane 11 sccm/PH3:
    (Si4H10) 1000 sccm/
    Time: 3000 s
    Tetra- 450° C./40 T Si4H10: 450 Å/1.3% 37 Å/min
    silane 11 sccm/PH3:
    (Si4H10) 1000 sccm/
    Time: 712 s
    Tetra- 500° C./40 T Si4H10: 796 Å/1.3% 239 Å/min
    silane 11 sccm/PH3:
    (Si4H10) 1000 sccm/
    Time: 200 s
    Disilane
    450° C./40 T Si2H6: 265 Å/1.4% 9 Å/min
    (Si2H6) 90 sccm/PH3:
    300 sccm/
    Time: 1800 s
    Disilane 475° C./40 T Si2H6: 540 Å/1.1% 18 Å/min
    (Si2H6) 90 sccm/PH3:
    300 sccm/
    Time: 1800 s
    Disilane
    500° C./40 T Si2H6: 550 Å/1.0% 165 Å/min
    (Si2H6) 90 sccm/PH3:
    300 sccm/
    Time: 200 s
  • In some implementations where disilane is used as a silicon source, the processing reagent may further include a halogen precursor. Exemplary halogen precursors may be those containing halogen molecules, such as chlorine gas or hydrogen chloride. The halogen precursor may be flowed simultaneously or concurrently with the deposition gas (i.e., co-flow mode) during the epitaxial process. In such a case, the deposition gas and the halogen precursor may be separately flowed into the epitaxy chamber. The deposition gas and the halogen precursor may be pre-mixed and formed as a gas mixture before flowing into the epitaxy chamber. In either case, the flow ratio of the deposition gas and the halogen precursor in the epitaxy chamber may be about 1:1.5 to about 1:3, for example about 1:2. It is contemplated that disilane and chlorine gas mentioned herein can be replaced with any other silicon source and halogen precursor using the flow ratio described herein.
  • At block 106, once a desired thickness of the silicon-containing epitaxial layer has been formed on the substrate, the flow of the processing reagent is discontinued and any reaction residues and/or unwanted gases are pumped out of the epitaxy chamber. During block 106, the pressure within the epitaxy chamber is maintained at about 1 Torr to about 30 Torr, such as about 1.5 Torr to about 15 Torr. A purging gas, such as hydrogen or argon, may be introduced into the epitaxy chamber to allow processing reagent and residues to be pumped from the epitaxy chamber while maintaining the epitaxy chamber at a required chamber pressure. The purging time may vary between about 5 seconds to about 45 seconds, for example about 15 seconds to about 20 seconds.
  • At block 108, the flow of the purging gas is discontinued and the substrate is exposed to an etching gas to selectively remove amorphous material, for example amorphous silicon (a-Si), from dielectric surfaces of the substrate. The etching process may be performed in an etching chamber, such as one shown in FIG. 3 or FIG. 5.
  • The etching gas may include at least one etchant and a carrier gas. The etchant may be a halogen-containing etchant. Exemplary etchant may include, but is not limited to hydrogen chloride (HCl), germanium hydride (GeH4), chlorine (CIO, boron trichloride (BCl3), phosphorus trichloride (PCl3), or any combinations thereof. Higher order germanes such as digermane (Ge2H6) or trigermane (Ge3H8), or chlorinated germane gas such as germanium tetrachloride (GeCl4), dichlorogermane (GeH2Cl2), trichlorogermane (GeHCl3), hexachlorodigermane (Ge2Cl6), or a combination of any two or more thereof, may also be used. In one implementation, the etchant includes HCl and GeH4. In another implementation, the etchant includes HCl and PCl3. In yet another implementation, the etchant includes Cl2 and PCl3. In yet one another implementation, the etchant includes HCl, GeH4 and PCl3. Any suitable halogenated germanium compounds may also be used.
  • The carrier gas may include hydrogen, nitrogen, argon, helium, and any combinations thereof. A carrier gas may be selected based upon specific etchant(s). In one exemplary implementation, the etchant includes HCl and GeH4. In another implementation, the etchant includes Cl2 and GeH4. In cases where HCl and GeH4 are used during etching, the flow of HCl and GeH4 may be introduced into the epitaxy chamber at a GeH4/HCl ratio of about 1:3 to about 1:7, for example about 1:5. In one exemplary example, GeH4 is introduced at a flow rate of about 60 sccm and HCl is introduced at 300 sccm, with the carrier gas (N2) introduced at a flow rate of about 3 SLM.
  • The etching time may be about 250 seconds to about 850 seconds, for example about 300 seconds to about 800 seconds, for example about 360 seconds to about 480 seconds. During the etch-back, the etching temperature may be about 600° C. or less, for example 500° C. or less, such as about 200° C. to about 400° C. The chamber pressure during etching may be maintained at about 80 Torr to about 300 Torr, such as about 100 Torr to about 200 Torr. The etch-back process may be performed in the epitaxy chamber. It has been observed that the process conditions described herein can minimize epitaxial layer etch while removing all the amorphous silicon growth on dielectric surfaces. Particularly, the addition of GeH4 or higher order germanes to HCl provide sufficient etching with desired selectivity at lower temperatures of 500° C. or less, which has been a challenging in the past if HCl alone was used for etching.
  • Depending on the epitaxial thickness, it has been observed that an amorphous silicon/crystalline epitaxial layer etch selectivity of 30:1 or greater, such as 50:1 or even 80:1 can be achieved at low etching temperatures using the etchants discussed herein. Thus, the result is a much thinner amorphous silicon layer on the dielectric surface compared to the epitaxial layer on the semiconductor surface due to the etch-back process performed after the epitaxial deposition.
  • At block 110, the flow of the etchant, such as GeH4, may be discontinued and HCl may continue to flow to remove GeH4 and other reaction residues/byproducts from the substrate. The flow of HCl may be continued for about 5 seconds to about 20 seconds, for example about 10 seconds.
  • After block 110, the substrate may then be subjected to downstream processing, such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation, which may be performed in a temperature controlled processing chamber such as one shown in FIG. 6. Alternatively, one or more steps of the method 100 may be repeated until a predetermined thickness (e.g., 5-10 nm) of Si:P epitaxial film has been formed on the target surfaces of the substrate. For example, if the contact trenches on the substrate has a high aspect ratio (10:1 or higher), blocks 104, 106, 108 and 110 may be repeated for 2 to 5 cycles to maximize etching of unwanted films from the dielectric surfaces at or near the bottom trench.
  • It should be noted that the concept described in implementations of the present disclosure is also applicable to epitaxy process for forming other materials. Some possible examples may include undoped silicon, SiGe/SiGe:B, Si:CP, pure Ge, GeSn, GeP, GeB, or GeSnB, etc., which may be used in logic and memory applications. In such cases, possible silicon precursors or dopant gases may be the same as those described above, and possible germanium precursors may include, but are not limited to GeH4, Ge2H6, or halogenated germanium such as GeCl4, GeHCl3, Ge2Cl6, Ge3Cl5, etc.
  • FIG. 2 is a cross-sectional view of a processing chamber 200 that may be used to perform the cleaning process found in block 102. The processing chamber 200 may be particularly useful for performing a thermal or plasma-based oxidation process and/or a plasma assisted dry etch process. The processing chamber 200 includes a chamber body 212, a lid assembly 214, and a support assembly 216. The lid assembly 214 is disposed at an upper end of the chamber body 212, and the support assembly 216 is at least partially disposed within the chamber body 212. A vacuum system can be used to remove gases from processing chamber 200. The vacuum system includes a vacuum pump 218 coupled to a vacuum port 221 disposed in the chamber body 212. The processing chamber 200 also includes a controller 202 for controlling processes within the processing chamber 200.
  • The lid assembly 214 includes at least two stacked components configured to form a plasma volume or cavity there between. A first electrode 220 is disposed vertically above a second electrode 222 confining a plasma volume therebetween. The first electrode 220 is connected to a power source 224, such as a radio frequency (RF) power supply, and the second electrode 222 is connected to ground or a source return, forming a capacitance between the first electrode 220 and the second electrode 222. The lid assembly 214 also includes one or more gas inlets 226 for providing a cleaning gas to a substrate surface through a blocker plate 228 and a gas distribution plate 230, such as a showerhead. The cleaning gas may be an etchant or ionized active radical, such as ionized fluorine, chlorine, or ammonia, or an oxidizing agent, such as ozone.
  • Alternatively, a different cleaning process may be utilized to clean the substrate surface. For example, a remote plasma containing He and NF3 may be introduced into the processing chamber 200 through the gas distribution plate 230, while NH3 may be directly injected into the processing chamber 200 via a separate gas inlet 225 that is disposed at a side of the chamber body 212.
  • The support assembly 216 may include a substrate support 232 to support a substrate 210 thereon during processing. The substrate support 232 has a flat substrate supporting surface for supporting the substrate to be processed thereon. The substrate support 232 may be coupled to an actuator 234 by a shaft 236 which extends through a centrally-located opening formed in a bottom of the chamber body 212. The actuator 234 may be flexibly sealed to the chamber body 212 by bellows (not shown) that prevent vacuum leakage from around the shaft 236. The actuator 234 allows the substrate support 232 to be moved vertically within the chamber body 212 between a process position and a lower, transfer position. The transfer position is slightly below the opening of a slit valve formed in a sidewall of the chamber body 212. In operation, the substrate support 232 may be elevated to a position in close proximity to the lid assembly 214 to control the temperature of the substrate 210 being processed. As such, the substrate 210 may be heated via radiation emitted or convection from the distribution plate 230.
  • A bias RF power supply 280 may be coupled to the substrate support 232 via a cable 282 through a matching network 284. The bias RF power supply 280 provides a bias to the substrate 210 to direct the ionized cleaning gas toward the substrate 210.
  • FIG. 3 is a cross-sectional view of a plasma-cleaning chamber 300 that may be used to perform the cleaning process found in block 102. The processing chamber 300 has a chamber body 310 that includes a chamber adapter 316, an adapter 318 and a lid 340. The chamber adapter 316 and the lid 340 may be fabricated from aluminum, stainless steel or other suitable materials. The lid 340 is removably coupled to the chamber adapter 316 to define a process region 330 therein.
  • A heater (or pedestal) 314 is disposed in the process region 330 of the chamber body 310. The heater 314 is coupled to a bottom of the chamber adapter 316 through a central shaft. The heater 314 has a substrate supporting surface for supporting the substrate 308 thereon during a process, such as cleaning of the surface of the substrate described above with respect to box 104. The heater 314 may be fabricated from bare aluminum with sapphire contact. The heater 314 is actuated to move vertically between a loading position and a processing position. The heater 314 may be utilized to provide temperature to the substrate 308, thereby heating or cooling the substrate during process. In some implementations, the heater 314 may use a ring-like substrate support (not shown) to support and lift up the substrate 308 from the edge of the substrate when the heater 314 is lowered down to the loading position. During the process, the heater 314 is raised up to the processing position, which picks up and supports the substrate 308 with its substrate supporting surface at a desired height for processing of the substrate 308.
  • In some implementations, the heater 314 may support an optional focus ring 338 disposed on its outer periphery. The focus ring 338 circumscribes the substrate 308 during processing. In one example, the focus ring 338 is fabricated from quartz.
  • The adapter 318 is disposed between the lid 340 and the chamber adapter 316 and supports a gas distribution plate 326 thereon. The gas distribution plate 326 may be a quartz showerhead. A plenum 348 is defined between the gas distribution plate 326 and the lid 340. The gas distribution plate 326 includes a plurality of apertures 327 to allow gases flowing into the plenum 348 through a port 342 formed in the lid 340 to be distributed across the substrate 308 disposed in the process region 330.
  • An opening 315 is formed at the bottom of the chamber adapter 316 and is connected to a pump 317. The pump 317 may be used to control the pressure inside the chamber body 310 from between about 1 mTorr and about 500 Torr. For example, the pump 317 may be a low pressure pump that maintains the pressure inside the chamber body 310 at an exemplary pressure range of about 10 mTorr to about 500 mTorr. The pump 317 may also be a turbo pump that maintains the pressure inside the chamber body 310 at an exemplary pressure range of about 20 Torr to 300 Torr.
  • A remote plasma source 350 is coupled to the port 342 by a passage 360. The passage 360 defines a conduit 356 through which the reactive radicals generated in the remote plasma source 350 are filtered before entering the process region 330. The reactive radicals generated therefrom may include ions, charged species, and other reactive species. In one implementation, the gases flowing through the conduit 356 are filtered by a magnetic field generated by one or more magnets disposed adjacent to the passage 360. The magnets generate a magnetic field across the passage 360 to filter charged particles entrained with the reactive radicals flowing from the remote plasma source 350.
  • In the implementation depicted in FIG. 3, a first magnet 352 and a second magnet 354 are disposed adjacent the passage 360. The magnets 352, 354 may be disposed to oppose to each other across the passage 360. For example, the magnets 352, 354 may be adhered or secured on opposite sides of an outer periphery of the passage 360. It is also contemplated that the magnets 352, 354 may be secured to the chamber lid 340 or other components of the chamber body 310. The relative distance between the opposed magnet and the conduit 356 formed within the passage 360 affects the strength of the magnetic field passing through the conduit 356, and thereby affects the filtering efficiency. The magnetic field may also be adjusted by using different magnets, i.e., replacing magnets 352, 354 with different strength. The passing charged particles are drawn in contact with an inner surface 370 of the passage 360 and become electrically neutral, non-ionic species. As such, the filtered, electrically neutral radicals are delivered to the surface of the substrate to react with and clean oxides and/or contaminants thereon.
  • In some implementations, the reactive radicals may be further filtered by providing a quartz surface in the flow path of the process gases (i.e., reactive radicals) passing into the chamber body 310. For example, the inner surface 370 of the passage 360 defining the conduit 356 connecting the remote plasma source 350 and the chamber body 310 may be entirely or partially coated or fabricated from quartz. Additionally, the surfaces defining the plenum 348 and/or gas distribution plate 326 may also be entirely or at least partially coated or fabricated from quartz. For example, in the implementation of FIG. 3, a quartz ring 324 may circumscribe the outer boundary of the plenum 348. Additionally, a quartz liner 344 may be disposed on the bottom surface of the lid 340 defining the upper boundary of the plenum 348.
  • The inner surface 370 of the passage 360 serves as an ion filter to reduce the recombination of the radicals by providing a quartz surface with which hydrogen-containing radicals can hydrogen bond and absorb onto the quartz surface. Hydrogen-containing radicals that impinge on the inner surface 370 release an absorbed hydrogen-containing radical into the energized gas, thereby regenerating hydrogen radicals. The hydrogen ions are not regenerated by the inner surface 370, and thus these ions recombine to form electrically neutral, non-ionic species. Thus, by passing the activated cleaning gas over the quartz surface, the reactive radicals are effectively filtered from the energized cleaning gas, while the radical species are preserved. The charged particles from recombined active radical are efficiently reduced.
  • FIG. 4 is a cross-sectional view of a thermal processing chamber 400 that may be used to perform the epitaxial process found in block 104. The processing chamber 400 includes a chamber body 402, support systems 404, and a controller 406. The chamber body 402 includes an upper portion 412 and a lower portion 414. The upper portion 412 includes the area within the chamber body 402 between the upper dome 416 and a substrate 210. The lower portion 414 includes the area within the chamber body 402 between a lower dome 430 and the bottom of the substrate 210. Deposition processes generally occur on the upper surface of the substrate 210 within the upper portion 412.
  • The support system 404 includes components used to execute and monitor pre-determined processes, such as the growth of epitaxial films in the processing chamber 400 as discussed above in block 104. A controller 406 is coupled to the support system 404 and is adapted to control the processing chamber 400 and support system 404. The controller 406 includes a central processing unit (CPU), a memory, and support circuits.
  • The processing chamber 400 includes a plurality of heat sources, such as lamps 435, which are adapted to provide thermal energy to components positioned within the process chamber 400. For example, the lamps 435 may be adapted to provide thermal energy to the substrate 210, a susceptor 426, and/or the preheat ring 423. The lower dome 430 may be formed from an optically transparent material, such as quartz, to facilitate the passage of thermal radiation therethrough. It is contemplated that lamps 435 may be positioned to provide thermal energy through the upper dome 416 as well as the lower dome 430.
  • The chamber body 402 includes a plurality of plenums formed therein. The plenums are in fluid communication with one or more gas sources 476, such as a carrier gas, and one or more precursor sources 478, such as deposition gases and dopant gas discussed above in block 104. For example, a first plenum 420 may be adapted to provide a deposition gas 450 therethrough into the upper portion 412 of the chamber body 402, while a second plenum 421 may be adapted to exhaust the deposition gas 450 from the upper portion 412. In such a manner, the deposition gas 450 may flow parallel to an upper surface of the substrate 210.
  • In cases where a liquid precursor (e.g., tetrasilane) is used, the thermal processing chamber 400 may include a liquid vaporizer 480 in fluid communication with a liquid precursor source 482. The liquid vaporizer 480 is be used for vaporizing liquid precursors to be delivered to the thermal processing chamber 400. While not shown, it is contemplated that the liquid precursor source 482 may include, for example, one or more ampules of precursor liquid and solvent liquid, a shut-off valve, and a liquid flow meter (LFM).
  • A substrate support assembly 432 is positioned in the lower portion 414 of the chamber body 402. The substrate support 432 is illustrated supporting a substrate 210 in a processing position. The substrate support assembly 432 includes a susceptor support shaft 427 formed from an optically transparent material and the susceptor 426 supported by the susceptor support shaft 427. A shaft 460 of the susceptor support shaft 427 is positioned within a shroud 431 to which lift pin contacts 442 are coupled. The susceptor support shaft 427 is rotatable in order to facilitate the rotation of the substrate 210 during processing. Rotation of the susceptor support shaft 427 is facilitated by an actuator 429 coupled to the susceptor support shaft 427. The shroud 431 is generally fixed in position, and therefore, does not rotate during processing. Support pins 437 couple the susceptor support shaft 427 to the susceptor 426.
  • Lift pins 433 are disposed through openings (not labeled) formed in the susceptor support shaft 427. The lift pins 433 are vertically actuatable and are adapted to contact the underside of the substrate 210 to lift the substrate 210 from a processing position (as shown) to a substrate removal position.
  • The preheat ring 423 is removably disposed on a lower liner 440 that is coupled to the chamber body 402. The preheat ring 423 is disposed around the internal volume of the chamber body 402 and circumscribes the substrate 210 while the substrate 210 is in a processing position. The preheat ring 423 facilitates preheating of a process gas as the process gas enters the chamber body 402 through the plenum 420 adjacent to the preheat ring 423.
  • The central window portion 415 of the upper dome 416 and the bottom portion 417 of the lower dome 430 may be formed from an optically transparent material such as quartz. The peripheral flange 419 of the upper dome 416, which engages the central window portion 415 around a circumference of the central window portion 415, the peripheral flange 421 of the lower dome 430, which engages the bottom portion around a circumference of the bottom portion, may all be formed from an opaque quartz to protect the O-rings 422 proximity to the peripheral flanges from being directly exposed to the heat radiation. The peripheral flange 419 may be formed of an optically transparent material such as quartz.
  • FIG. 5 is a cross-sectional view of an ICP plasma chamber 500 that may be used to perform any of the processes found in blocks 102, 106, 108 and 110. The plasma chamber 500 depicted in FIG. 5 includes an upper portion 528 and a lower portion 530. The plasma chamber 500 has a sidewall 505 and a lid assembly 510. The sidewall 505 has an axially symmetrical shape, such as a cylinder. The sidewall 505 includes an axially symmetrical (e.g., cylindrical) dielectric side window 506 and a chamber liner 507, which may be formed of metal. A substrate support 515 inside the plasma chamber 500 includes a pedestal 520 having a substrate support surface 521 facing the lid assembly 510 for holding a substrate 210, and a post 525 supporting the pedestal 520. A processing region 501 of the plasma chamber 500 is confined by the lid assembly 510, the pedestal 520 and the sidewall 505.
  • The pedestal 520 may include an insulated internal electrode 524. Optionally, an electrostatic chucking (ESC) voltage and/or RF plasma bias power may be supplied to the insulated internal electrode 524 via a cable 532 extending through the post 525. The cable 532 may be coupled to an RF bias power source (such as an RF impedance matching network and/or an RF power generator) as an RF bias feed to the insulated internal electrode 524.
  • The plasma source power is inductively coupled into the processing region 501 by a set of coil antennas, including an inner coil antenna 540, a middle coil antenna 550 and optionally an outer or side coil antenna 560, all of which are concentrically disposed with respect to each other and are coaxial with the axis of symmetry of the sidewall 505. The lid assembly 510 includes a disk-shaped dielectric window 512 through which the inner coil antenna 540 and the middle coil antenna 550 inductively couple RF plasma source power into the processing region 501. The disk-shaped dielectric window 512 is supported at its periphery by an annular top gas plate 523. The annular top gas plate 523 surrounds an opening 504. The disk-shaped dielectric window 512 is coaxial with the sidewall 505 and has a disk-plane parallel with the plane of the substrate support surface 521. The side coil antenna 560 inductively couples RF plasma source power into the processing region 501 through the cylindrical dielectric side window 506.
  • A gas injector 514 is located at the center of the disk-shaped dielectric window 512 and surrounded by an annular gas flow plate 516. The gas flow plate 516 may have a plurality of gas input ports (not shown) configured to provide gas flow path to the gas injector 514. Cleaning gas or etching gas is injected into the processing region 501 by the gas injector 514.
  • The chamber liner 507 is enclosed within a lower chamber body 570 including a cylindrical lower chamber body sidewall 575 and a lower chamber body floor 580. The lower chamber body sidewall 575 and the lower chamber body floor 580 enclose an evacuation region 581. A vacuum pump 590 is disposed in a vacuum pump opening 595 in the lower chamber body floor 580 and is centered relative to the axis of symmetry of the lower chamber body sidewall 575. A containment wall 596 coaxial with the substrate support 515 and a flexible bellows 597 extending between the pedestal 520 and the containment wall 596 enclose the substrate support 515 in an internal central space 598. The internal central space 598 is isolated from the volume evacuated by the vacuum pump 590, including the evacuation region 581 and the processing region 501.
  • The power may be supplied from a common RF source or from different RF sources such as RF matches (RF impedance matching networks) 542 and 544. An RF impedance matching network may be employed having dual outputs in order to drive two of the coil antennas with a first RF generator, while a second RF generator and a second RF impedance matching network drives the third coil antenna. In one implementation, a single RF power generator may drive all three-coil antennas through an RF impedance matching network having three outputs. Alternatively, three RF generators may separately drive the three coil antennas through three respective RF impedance matching networks. The RF power level applied to the different coil antennas may be separately adjusted in order to control radial distribution of plasma ion density. While described implementations include the three coil antennas 540, 550 and 560, other implementations may include only one or two of the three described coil antennas 540, 550 and 560.
  • FIG. 6 is a schematic, cross-sectional view of a processing system 600 that may be used for temperature-controlled processing of substrates, such as silicon substrates. The processing system 600 includes a processing unit 624 and a first heat unit 608. The processing unit 624 may be a VANTAGE® RADOX™ RTP chamber available from Applied Materials, Inc., Santa Clara, Calif. The processing unit 624 is capable of providing a controlled thermal cycle that heats a substrate 201 for processes such as, for example, thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation.
  • The processing unit 624 includes a chamber 636 enclosing a process zone 602. The chamber 636 may be made of stainless steel, aluminum or other suitable materials. The chamber 636 may also include a gas outlet 618 and a first gas inlet 616 opposing the gas outlet 618. The chamber 636 may include a substrate support 642 disposed therein for supporting the substrate 201 thereupon during processing in the process zone 602. The substrate support 642 may include a magnetically levitated rotor 620 and a quartz support cylinder 622 that rotates the substrate 201 during processing. A radiation source 646 directs radiation onto the substrate 201, and may be positioned below the substrate 201 adjacent a bottom surface 638 of the chamber 636 below a radiation permeable window 644. In one implementation, the radiation source 646 may include a plurality of heat elements 606. The plurality of heat elements 606 may include one or more approximately radial heating zones that can be independently modulated to control temperatures across the substrate 201.
  • In one implementation, the heat elements 606 may be a plurality of tungsten-halogen lamps for providing a tailored infrared heating means to the substrate 201. The radiation source 646 is capable of rapidly heating the substrate 201 for thermal processing, for example at a rate of from about 50° C./s to about 280° C./s. Temperature tuning may be performed to change the temperature of the substrate 201 at certain locations while not affecting the rest of the substrate temperature. In another implementation, the radiation source 646 may be located within the chamber 636.
  • The first heat unit 608 may be coupled to a first conduit 612. The first conduit 612 may be coupled to a first gas source 628 and provide a connection between the first gas source 628 and the first gas inlet 616. Thus, the first heat unit 608 may be operably coupled to the processing unit 624. The first heat unit 608 may heat a first gas to a first temperature before entering the process zone 602 in the chamber 636. The gas sources may provide process gases into the process zone 602. In cases where a reaction using H2O2, the second process gas may be hydrogen. In cases where a reaction involving N2O, the second process gas may be nitrogen. The first conduit 612 may be insulated by insulator 634 in the portion 614 that extends between the first heat unit 608 and the first gas inlet 616. Insulating the first conduit 612 advantageously decreases heat loss as the first gas flows from the first heat unit 608 to the process zone 602. Heating the first gas prior to entering the process zone 602 advantageously improves uniformity by decreasing the temperature gradient across the surface of the substrate 201. Additionally, because the second gas is added to the first gas after the first gas has been heated, the two gases may react near the process zone 602. In one implementation, the first gas is the gas that has a lower thermal conductivity and thus controls the combustion reaction. In cases where a reaction using H2O2, the first gas may be oxygen. In cases where a reaction involving N2O, the first gas may be oxygen.
  • A coupling unit 648 may couple the portion 614 of the first conduit 612 with the chamber 636. In one implementation, the coupling unit 648 may be silica. While the temperature of the substrate 201 may reach about 1000° C., the walls of the chamber 636 are maintained close to about 30° C. to maintain the integrity of the seals of the chamber 636. As such, the coupling unit 648 advantageously maintains the integrity of the chamber 636 during processing of the substrate 201.
  • FIG. 7 is a schematic top view of a processing system 700 that can be used to complete the flow chart 100 illustrated in FIG. 1 according to implementations described herein. One example of the processing system 700 is the CENTURA® system available from Applied Materials, Inc., of Santa Clara, Calif. A transfer robot 704 of any convenient type is disposed in a transfer chamber 702 of the processing system 700. A load-lock 706, with two load- lock chambers 706A, 706B is coupled to the transfer chamber 702. A plurality of processing chambers 708, 710, 712, 714, and 716 are also coupled to the transfer chamber 702. The plurality of processing chamber 708, 710, 712, 714, and 716 may include at least one of the chambers described above with respect to FIGS. 2 to 6, such as a cleaning chamber, an etching chamber, an epitaxial chamber, or an oxidization chamber, etc.
  • Processing chamber 708 may be a cleaning chamber configured to clean a substrate prior to deposition. For example, the processing chamber 708 may be a capacitively coupled processing chamber similar to the processing chamber 200 depicted in FIG. 2. In one implementation, the processing chamber 708 is a SICONI™ Preclean chamber available from Applied Materials of Santa Clara, Calif. The processing chamber 708 may be used to perform the cleaning process as discussed above in block 102.
  • Processing chamber 710 may also be a cleaning chamber configured to clean a substrate prior to deposition. For example, the processing chamber 710 may be a pre-clean chamber using remote plasma source similar to the plasma-cleaning chamber 300 depicted in FIG. 3. In one implementation, the processing chamber 710 is an AKTIV Pre-Clean™ chamber available from Applied Materials of Santa Clara, Calif. The processing chamber 710 uses electrically neutral radicals (e.g., hydrogen radicals) to react with and clean oxides and/or contaminants on a substrate as discussed above in block 102 and/or block 108.
  • Processing chamber 712 may be a thermal processing chamber configured to deposit material on a substrate. For example, the processing chamber 712 may be a material deposition chamber such as an epitaxy chamber similar to the processing chamber 400 depicted in FIG. 4. In one implementation, the processing chamber 712 is a Centura® RP EPI chamber available from Applied Materials of Santa Clara, Calif. The processing chamber 712 may be used to perform an epitaxial growth process as discussed above in block 104 and a purge process as discussed above in block 106.
  • Processing chamber 714 may be an etching chamber configured to etch material from a substrate. For example, the processing chamber 714 may be a plasma chamber such as an ICP plasma chamber similar to the plasma chamber 500 depicted in FIG. 5. In one implementation, the processing chamber 714 is a Centura® Advantedge™ Mesa™ Etch chamber available from Applied Materials of Santa Clara, Calif. The processing chamber 714 may be used to perform etch-related process as discussed above in block 108.
  • Processing chamber 716 may be a thermal process chamber configured to provide a controlled thermal cycle that heats a substrate. For example, the processing chamber 716 may be a thermal process chamber similar to the processing system 600 depicted in FIG. 6. In one implementation, the processing chamber 716 is a VANTAGE® RADOX™ RTP chamber available from Applied Materials, Inc., Santa Clara, Calif. The processing chamber 716 may be used to perform downstream processing after deposition, such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation as discussed above in block 110.
  • During processing, a substrate that is to be processed may arrive to the processing system 700 in a pod (not shown). The substrate is transferred from the pod to the vacuum compatible load- lock 706A, 706B by the factory interface robot (not shown). The substrate is then handled by the transfer robot 704 in the transfer chamber 702, which is generally kept in a vacuum state. The transfer robot 704 then loads the substrate into either processing chamber 708 or processing chamber 710 for cleaning of the substrate, as described in block 102. Upon completion of the cleaning, the transfer robot 704 then picks up the substrate from the processing chamber 708 or 710 and loads the substrate into the processing chamber 712 for epitaxial growth of material on the substrate and chamber purging, as described in blocks 104 and 106. The transfer robot 704 then picks up the substrate from the processing chamber 712 and loads the substrate into the processing chamber 714 for etching materials from the substrate, as described in block 108. This sequence is repeated until a predetermined thickness of the epitaxial film is reached. Thereafter, the transfer robot 704 picks up the substrate from the processing chamber 714 and load it into the processing chamber 716 for any downstream processing, such as thermal annealing, thermal cleaning, thermal chemical vapor deposition, thermal oxidation or thermal nitridation, as discussed above in block 110. Because all operations ( blocks 102, 104, 106, 108, and 110) are performed within the same processing system, the substrate is not exposed to atmosphere (i.e., vacuum is not broken) as the substrate is transferred to various processing chambers, which decreases the chance of contamination and improves the quality of the deposited epitaxial film.
  • The transfer chamber 702 may remain under vacuum and/or at a pressure below atmosphere during the process. The vacuum level of the transfer chamber 702 may be adjusted to match the vacuum level of corresponding processing chambers. For example, when transferring a substrate from a transfer chamber 702 into a processing chamber (or vice versa), the transfer chamber 702 and the processing chamber may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber to the load lock chamber or batch load lock chamber (or vice versa), the transfer chamber vacuum level may match the vacuum level of the load- lock chamber 706A, 706B even through the vacuum level of the load-lock chamber and the processing chamber may be different.
  • In summary, benefits of the present disclosure provide an integrated system and method for pre-cleaning a silicon-containing substrate prior to epitaxial deposition and a cyclic deposition-etch process including an epitaxial deposition step using disilane or tetrasilane (or higher order silanes) and an etch-back step using GeH4 and HCl, which results in an improved device quality and etch selectivity (at least 50:1) of an epitaxy process. The etch-back step using GeH4 and HCl allows for effective removal of possible silicon nuclei from dielectric surfaces and formation of a silicon epitaxial film with much lower loss of active dopant at reduced etch temperatures (below 500° C.). With the inventive deposition-etch process, a phosphorous-containing silicon layer having a phosphorus concentration of 5.77×1020 atoms per cubic centimeter or greater, for example 9.49×1020 atoms per cubic centimeter, can be achieved without sacrificing the throughput. The high phosphorus concentration induces stress within the deposited epitaxial film, thereby increasing tensile strain, leading to increased carrier mobility and improved device performance. In addition, clustering process chambers through vacuum transfer reduces exposure to atmosphere and correspondingly reduces exposure to oxygen contaminants. Clustering the native oxide removal chambers along with the etching of silicon and epitaxial deposition also leads to a reduction in oxygen contaminants. Thus, the integrated system advantageously provides for an improved semiconductor device.
  • While the foregoing is directed to implementations of the present disclosure, other and further implementations of the disclosure may be devised without departing from the basic scope thereof

Claims (20)

1. A cluster tool for processing a semiconductor substrate, comprising:
a transfer chamber;
a pre-clean chamber coupled to the transfer chamber;
a plasma cleaning chamber coupled to the transfer chamber;
a deposition chamber coupled to the transfer chamber; and
a thermal process chamber coupled to the transfer chamber.
2. The cluster tool of claim 1, wherein the pre-clean chamber is operable to remove a native oxide from the substrate.
3. The cluster tool of claim 2, wherein the pre-clean chamber has an inductively coupled plasma source operable to produce electrically neutral radicals.
4. The cluster tool of claim 3, wherein the inductively coupled plasma source is a remote plasma source physically separated from the pre-clean chamber.
5. The cluster tool of claim 2, wherein the pre-clean chamber has a capacitively coupled plasma source using He and NF3.
6. The cluster tool of claim 5, wherein the pre-clean chamber has a substrate support coupling to a bias RF power supply.
7. The cluster tool of claim 1, wherein the plasma cleaning chamber has an inductively coupled plasma source operable to produce electrically neutral radicals.
8. The cluster tool of claim 1, wherein the deposition chamber is an epitaxy chamber operable to perform a selective epitaxial deposition process.
9. The cluster tool of claim 8, wherein the epitaxy chamber comprises a liquid precursor vaporizer.
10. The cluster tool of claim 1, further comprising a plasma etch chamber coupled to the transfer chamber.
11. The cluster tool of claim 1, wherein the thermal process chamber comprises a radiation source having a plurality of heat elements.
12. The cluster tool of claim 7, wherein the inductively coupled plasma source includes a set of coil antennas.
13. The cluster tool of claim 1, where the deposition chamber is an epitaxy chamber comprising a liquid precursor vaporizer, the pre-clean chamber is a remote plasma chamber, and the plasma cleaning chamber comprises an inductively coupled plasma source that includes a set of coil antennas.
14. A cluster tool for processing a semiconductor substrate, comprising:
a transfer chamber coupled to a load-lock chamber;
a first cleaning chamber coupled to the transfer chamber, the first cleaning chamber comprising a capacitively coupled plasma source and a substrate support coupling to a bias RF power supply;
a second cleaning chamber coupled to the transfer chamber, the second cleaning chamber comprising an inductively coupled plasma source;
an epitaxy chamber coupled to the transfer chamber, the epitaxy chamber comprising a liquid precursor vaporizer; and
a thermal process chamber coupled to the transfer chamber.
15. The cluster tool of claim 14, wherein the capacitively coupled plasma source is operable to remove a native oxide from the substrate using a plasma containing He and NF3.
16. The cluster tool of claim 14, wherein the inductively coupled plasma source is operable to produce hydrogen-containing radicals.
17. The cluster tool of claim 14, further comprising an etch chamber coupled to the transfer chamber.
18. The cluster tool of claim 14, wherein the inductively coupled plasma source comprises a set of coil antennas.
19. A cluster tool for processing a semiconductor substrate, comprising:
a transfer chamber coupled to a load-lock chamber;
a first cleaning chamber coupled to the transfer chamber, the first cleaning chamber comprising a remote plasma source and a heated gas distribution plate;
a second cleaning chamber coupled to the transfer chamber, the second cleaning chamber comprising an inductively coupled plasma source having a set of antenna coils;
an epitaxy chamber coupled to the transfer chamber, the epitaxy chamber comprising a liquid precursor vaporizer; and
a thermal process chamber coupled to the transfer chamber.
20. The cluster tool of claim 19, wherein the thermal process chamber is a thermal oxidation chamber.
US15/889,669 2017-02-10 2018-02-06 Method and apparatus for low temperature selective epitaxy in a deep trench Pending US20180230624A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/889,669 US20180230624A1 (en) 2017-02-10 2018-02-06 Method and apparatus for low temperature selective epitaxy in a deep trench
US17/961,463 US20230036426A1 (en) 2017-02-10 2022-10-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762457572P 2017-02-10 2017-02-10
US15/889,669 US20180230624A1 (en) 2017-02-10 2018-02-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/961,463 Continuation US20230036426A1 (en) 2017-02-10 2022-10-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Publications (1)

Publication Number Publication Date
US20180230624A1 true US20180230624A1 (en) 2018-08-16

Family

ID=63106193

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/889,669 Pending US20180230624A1 (en) 2017-02-10 2018-02-06 Method and apparatus for low temperature selective epitaxy in a deep trench
US17/961,463 Pending US20230036426A1 (en) 2017-02-10 2022-10-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/961,463 Pending US20230036426A1 (en) 2017-02-10 2022-10-06 Method and apparatus for low temperature selective epitaxy in a deep trench

Country Status (5)

Country Link
US (2) US20180230624A1 (en)
KR (3) KR102511483B1 (en)
CN (2) CN110249417B (en)
TW (2) TW202333281A (en)
WO (1) WO2018148189A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110981172A (en) * 2019-12-21 2020-04-10 张忠恕 Epitaxial process quartz weldment assembly and processing process thereof
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US10871325B2 (en) * 2018-11-15 2020-12-22 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Vaccum drying apparatus
US10971366B2 (en) 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
WO2022103626A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
US11355318B2 (en) 2018-06-13 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
JP2023097397A (en) * 2021-12-27 2023-07-07 セメス カンパニー,リミテッド Gas supply unit and substrate processing apparatus including same
WO2023183181A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112802782B (en) * 2021-03-29 2022-04-05 西安奕斯伟硅片技术有限公司 Pretreatment system and method for testing minority carrier lifetime of monocrystalline silicon wafer by charge passivation
CN114855270B (en) * 2022-04-21 2023-07-28 南昌大学 Molecular beam-like epitaxy equipment and film preparation method
US20230402268A1 (en) * 2022-06-09 2023-12-14 Applied Materials, Inc. Plasma preclean system for cluster tool

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113868A1 (en) * 2005-11-22 2007-05-24 Applied Materials,Inc. Apparatus and a method for cleaning a dielectric film
US20190027404A1 (en) * 2014-03-04 2019-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
GB2343550A (en) * 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
EP1147544A2 (en) * 1998-09-22 2001-10-24 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR100777151B1 (en) * 2006-03-21 2007-11-16 주식회사 디엠에스 Hybrid coupled plasma reactor with icp and ccp functions
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
EP2224469A3 (en) * 2009-02-25 2015-03-25 Imec Method for etching 3d structures in a semiconductor substrate, including surface preparation
JP5267361B2 (en) * 2009-07-03 2013-08-21 株式会社Sumco Epitaxial growth method
US8920599B2 (en) * 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
KR101271248B1 (en) * 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113868A1 (en) * 2005-11-22 2007-05-24 Applied Materials,Inc. Apparatus and a method for cleaning a dielectric film
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20190027404A1 (en) * 2014-03-04 2019-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355318B2 (en) 2018-06-13 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11854769B2 (en) 2018-06-13 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11361943B2 (en) * 2018-06-13 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11901182B2 (en) * 2018-07-05 2024-02-13 Applied Materials, Inc. Silicide film nucleation
US11081358B2 (en) 2018-07-05 2021-08-03 Applied Materials, Inc. Silicide film nucleation
US20220033970A1 (en) * 2018-07-05 2022-02-03 Applied Materials, Inc. Silicide film nucleation
US10971366B2 (en) 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US10871325B2 (en) * 2018-11-15 2020-12-22 Wuhan China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Vaccum drying apparatus
CN110981172A (en) * 2019-12-21 2020-04-10 张忠恕 Epitaxial process quartz weldment assembly and processing process thereof
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
WO2022103626A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Apparatus, systems, and methods of using atomic hydrogen radicals with selective epitaxial deposition
JP2023097397A (en) * 2021-12-27 2023-07-07 セメス カンパニー,リミテッド Gas supply unit and substrate processing apparatus including same
WO2023183181A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation

Also Published As

Publication number Publication date
CN110249417B (en) 2023-10-24
KR102511483B1 (en) 2023-03-17
WO2018148189A1 (en) 2018-08-16
US20230036426A1 (en) 2023-02-02
CN110249417A (en) 2019-09-17
TW201839891A (en) 2018-11-01
KR102619574B1 (en) 2023-12-28
KR20240005999A (en) 2024-01-12
TW202333281A (en) 2023-08-16
KR20190108176A (en) 2019-09-23
KR20220147159A (en) 2022-11-02
CN117558649A (en) 2024-02-13

Similar Documents

Publication Publication Date Title
US20230036426A1 (en) Method and apparatus for low temperature selective epitaxy in a deep trench
JP7046162B2 (en) Epitaxy system integrated with highly selective oxide removal and high temperature pollutant removal
US11087979B2 (en) Cleaning method
US10480095B2 (en) System for rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
JP2020532114A (en) Integrated epitaxy system High temperature pollutant removal
JP2016528734A (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
WO2004082003A2 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US20170350038A1 (en) Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US11615986B2 (en) Methods and apparatus for metal silicide deposition
JP2020532142A (en) Integrated epitaxy and pre-cleaning system
KR102196746B1 (en) Vacuum platform with process chambers for removing carbon contaminants and surface oxides from semiconductor substrates
US20240088222A1 (en) Uniform epitaxial growth over crystalline template
WO2017209900A1 (en) A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DUBE, ABHISHEK;LI, XUEBIN;CHUNG, HUA;AND OTHERS;SIGNING DATES FROM 20180308 TO 20180320;REEL/FRAME:045321/0080

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: TC RETURN OF APPEAL

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCV Information on status: appeal procedure

Free format text: APPEAL READY FOR REVIEW

STCV Information on status: appeal procedure

Free format text: ON APPEAL -- AWAITING DECISION BY THE BOARD OF APPEALS

STCV Information on status: appeal procedure

Free format text: BOARD OF APPEALS DECISION RENDERED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED