US20190027404A1 - Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects - Google Patents

Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects Download PDF

Info

Publication number
US20190027404A1
US20190027404A1 US16/130,183 US201816130183A US2019027404A1 US 20190027404 A1 US20190027404 A1 US 20190027404A1 US 201816130183 A US201816130183 A US 201816130183A US 2019027404 A1 US2019027404 A1 US 2019027404A1
Authority
US
United States
Prior art keywords
copper
tool
wafer
layer
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/130,183
Inventor
Ya-Lien Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/130,183 priority Critical patent/US20190027404A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, YA-LIEN
Publication of US20190027404A1 publication Critical patent/US20190027404A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Definitions

  • the present disclosure relates to integrated circuit (IC) device manufacturing processes and processing equipment.
  • RC delay can be reduced by forming metal interconnect structures using copper (Cu) in place of aluminum and low-k or extremely low-k dielectrics in place of silicon dioxide.
  • Cu metal interconnect structures are typically formed by damascene processes.
  • a “damascene process” can be a dual damascene process.
  • a desired pattern of holes and trenches is etched into a dielectric.
  • the holes and trenches are then filled with copper to form conductive vias and lines.
  • Filling with copper typically includes the steps of cleaning the trenches, depositing a diffusion barrier layer, and depositing a copper seed layer. Copper barrier-seed tools adapted to perform these steps in situ are now commercially available.
  • FIG. 1 is a flow chart of a method in accordance with some embodiments of the present disclosure.
  • FIG. 2 illustrates a barrier-seed tool in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a flow chart showing additional details of one of the actions in forming the method of FIG. 1 .
  • FIG. 4 illustrates a clean chamber that is in accordance with some other embodiments of the present disclosure and is part of the barrier-seed tool of FIG. 2 .
  • FIGS. 5-14 illustrate a device 300 at various intermediate stages of manufacture as it goes through processing by the method of FIG. 1 .
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the copper seed layer formed by a typical copper barrier layer and copper seed layer deposition (barrier-seed) tool tends to form overhangs proximate the entrances (openings) of trenches and holes. When critical dimensions are 32 nm or less, these overhangs tend to be significant in the sense of materially diminishing the quality of gap fill from subsequent electroplating.
  • a typical barrier-seed tool will have a copper seed layer deposition chamber that can perform an ion bombardment with Ar or Cu that is effective for reducing these overhangs. But it has been observed that ion bombardment of this type can damage low-k dielectrics.
  • the present disclosure provides an alternative method for reducing the overhang in a barrier-seed tool and provides a barrier-seed tool adapted to implement that method.
  • a cleaning chamber of the tool is supplied with process gases and an ion filter enabling the overhang to be etched quickly and in a selective manner using low energy halogen ions.
  • FIG. 1 is a flow chart of a process 100 that provides an example according to one embodiment of the present disclosure.
  • the process 100 includes a series of actions 116 that are performed in a barrier-seed tool 200 such as the one illustrated in FIG. 2 .
  • Action 116 are the focus of this example.
  • Actions of process 100 that are performed before or after actions 116 can be varied widely without departing from the scope of the present disclosure.
  • FIGS. 5-14 illustrate an example integrated circuit device 300 being manufactured using the process 100 .
  • Process 100 begins with action 101 , providing a wafer 229 that includes a substrate 301 for device 300 .
  • Process 100 continues with action 103 , putting wafer 229 including substrate 301 through front-end-of-line (FEOL) processing.
  • Substrate 301 can be any type of substrate suitable for integrated circuit devices.
  • Substrate 301 includes a semiconductor.
  • FEOL processing 103 can modify substrate 301 to include various structures and integrated circuit component devices.
  • Process 100 continues with action 105 , modifying substrate 301 to include a first metal-interconnect layer 325 as shown in FIG. 5 .
  • First metal-interconnect layer 325 includes a cap layer 403 , a dielectric layer 405 , conductive lines 421 , and vias 423 . Holes 418 and trenches 410 within dielectric layer 405 are lined with a copper diffusion barrier layer 407 and filled with copper to form conductive lines 421 and vias 423 .
  • Action 105 is optional. When included, action 105 typically includes the actions 116 . In most embodiments, the actions 116 are repeated through the formation of each of several metal-interconnect layers. Action 105 is shown at this stage in this example because it may provide a source of impurities relevant to subsequent actions 116 .
  • Process 100 continues with action 107 , forming an etch stop layer 303 , and action 109 , forming a dielectric matrix layer 305 providing a structure as shown in FIG. 6 .
  • dielectric matrix layer 305 is an inter-level dielectric structure.
  • all or part of dielectric matrix layer 305 is a sacrificial material that is replaced by dielectric to form the inter-level dielectric structure after a copper interconnect has been formed within the dielectric matrix layer 305 .
  • An inter-level dielectric structure is one or more layers of dielectric optionally including one or more etch stop layers.
  • dielectric matrix layer 305 is one or more layers of low-k dielectric layers, optionally including an etch stop layer.
  • one or more of the low-k dielectric layers is an extremely low-k dielectric layer.
  • An extremely low-k dielectric material is generally a low-k dielectric material formed into a porous structure in which porosity reduces the effective dielectric constant of the structure.
  • Process 100 continues with action 111 , patterning matrix layer 305 to form trenches 310 and holes 318 as shown in FIG. 6 .
  • trenches 310 and holes 318 can be damascene structures, dual damascene structures, or other patterns etched into dielectric matrix layer 305 .
  • Trenches 310 have openings 308 proximate surface 306 of dielectric matrix layer 305 .
  • trenches 310 or holes 318 have a width 312 of 32 nm or less.
  • trenches 310 or holes 318 have a pitch 314 of 64 nm or less.
  • Action 111 patterning matrix layer 305 exposes contacts 302 within interlecel dielectric layer 325 or other structure underlying dielectric matrix layer 305 .
  • action 111 leaves contaminants 309 within trenches 310 or holes 318 as shown in FIG. 7 .
  • Contaminates 309 can include CuO and etch byproduct which may included compounds of carbon (C) or fluorine (F). CuO and other copper compounds can form from reactions with Cu contained in metal interconnect layer 325 .
  • Action 115 is transferring wafer 229 into a barrier-seed tool 200 where actions 116 can are performed in situ.
  • FIG. 2 illustrates a barrier-seed tool 200 , which is an example according to another embodiment of the present disclosure.
  • In situ means that actions 116 are all performed without exposing wafer 229 to ambient conditions such as the atmosphere of a manufacturing facility in which barrier-seed layer tool 200 is located.
  • barrier-seed tool 200 includes a loading device 201 , a degas chamber 203 , a clean chamber 205 , a barrier layer deposition chamber 209 , and a copper seed layer deposition chamber 211 .
  • loading device 201 is configured to load wafers 229 from a wafer cassette (not shown) into barrier-seed tool 200 .
  • Central area 207 of barrier-seed tool 200 can be equipped to transport the loaded wafers 229 among the various chambers.
  • Degas chamber 203 is optional, but included in most embodiments.
  • Degas chamber 203 can be a simple chamber in which wafer 229 can be heated.
  • Barrier layer deposition chamber 209 as a chamber distinct from copper seed layer deposition chamber 211 is also optional, but included in most embodiments.
  • Barrier layer deposition chamber 209 is equipped to deposit a copper diffusion barrier layer by a suitable process.
  • a suitable process can be a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, or a physical vapor deposition (PVD) process.
  • barrier layer deposition chamber 209 is equipped to deposit a copper diffusion barrier layer by PVD.
  • Copper seed layer deposition chamber 211 is equipped to deposit a copper seed layer. In some embodiments, copper seed layer deposition chamber 211 is equipped to deposit copper by a PVD process. In some of these embodiments, copper seed layer deposition chamber 211 is supplied with a source of Ar as well as a source of copper. In some embodiments copper seed layer deposition chamber 211 is suitable for etching a copper seed layer.
  • FIG. 4 illustrates a clean chamber 205 , which is an example according to another embodiment of the present disclosure.
  • Clean chamber 205 includes an upper chamber 221 equipped to generate plasma, a lower chamber 227 in which cleaning takes place, and an ion filter 225 separating the volume enclosed by upper chamber 221 from the volume enclosed by lower chamber 227 .
  • Upper chamber 221 includes a plasma generator.
  • the plasma generator includes a radio frequency (RF) power source 223 .
  • the RF power source 223 can be, for example, a 13.56 MHz source with a capacity up to 500 W.
  • cleaning chamber 205 is equipped with flow controllers (not shown) for each of the process gases 220 .
  • Process gases 220 include all the gases required for the processes performed in cleaning chamber 205 .
  • barrier-seed tool 200 includes a controller for regulating the pressure in upper chamber 221 and throughout clean chamber 205 .
  • Ion filter 225 can be any suitable device that is designed to exclude certain types of ions in upper chamber 221 from entering lower chamber 227 . The exclusion is selective according to ion type. Ion filter 225 can operate through electrical or magnetic fields. In most embodiments, ion filter 225 includes a DC power supply having a variable voltage. In some embodiments, the voltage range is a range between ⁇ 200V. Ion filter 225 can be set to largely exclude positive ions while permitting the passage of negative ions.
  • a wafer support 231 is disposed within lower chamber 227 of clean chamber 205 .
  • wafer support 231 is a pedestal.
  • wafer support 231 includes a heater 237 .
  • the heater 237 is functional to heat a wafer 229 mounted on wafer support 231 to a temperature in a range between 200° C. and 300° C.
  • a controller is configured to operate the heater 237 .
  • the controller is programmed to maintain the temperature of a wafer 229 mounted on wafer support 231 at a temperature in a range between 200° C. and 300° C.
  • the wafer support 231 is connected to an RF coupling that can be used to apply a bias to wafer 229 .
  • the bias can be set to attract negative ions to wafer 229 . Attracting negative ions to wafer 229 accelerates the ions and increases their energy. Increasing the energy of ions used for bombardment increases the etch rate.
  • a heater 233 is positioned adjacent walls 235 of lower chamber 227 . In some embodiments, the heater 233 is functional to heat walls 235 to a temperature in a range between 50° C. and 100° C. In some embodiments, a controller is configured to operate the heater 233 . In some embodiments, the controller is programmed to maintain the temperature of walls 235 at a temperature in the range between 50° C. and 100° C.
  • process 100 continues with a series of actions 116 that can be performed within the barrier-seed tool 200 .
  • the first two of these actions are optional. These are action 117 , transferring wafer 229 to degas chamber 203 and action 119 , performing a degas operation within degas chamber 203 .
  • a degas operation includes heating wafer 229 to a temperature at which water adsorbed on the surface of wafer 229 desorbs.
  • degas chamber 203 puts wafer 229 under vacuum, reducing the temperatures at which gases desorb.
  • Process 100 continues with action 121 , transferring wafer 229 into clean chamber 205 .
  • Action 123 is then preformed within clean chamber 205 .
  • Action 123 is a cleaning process that is effective for removing contaminants 309 shown in FIG. 7 to provide cleaned trenches 310 and holes 318 as shown in FIG. 8 .
  • the cleaning process of action 123 is a process effective for removing contaminants 309 that include CuO.
  • the cleaning process is a plasma etch.
  • action 123 includes supplying upper chamber 221 with gases 220 comprising hydrogen. The cleaning process 123 can proceed through the reaction:
  • Process 100 continues with action 125 , transferring wafer 229 to barrier deposition chamber 209 .
  • Action 127 is then performed within barrier deposition chamber 209 .
  • Action 127 is depositing a diffusion barrier layer 307 on an upper surface of wafer 229 , including surfaces of trenches 310 and holes 318 .
  • Diffusion barrier layer 307 lines trenches 310 and holes 318 as shown in FIG. 9 .
  • Diffusion barrier layer 307 can include one of more layers having any suitable compositions.
  • Diffusion barrier layer 307 can be for example a layer of Ta, a layer TaN, or a layer of Ta and a layer TaN.
  • Diffusion barrier layer 307 can be deposited by any suitable process.
  • a suitable process can be a CVD process, an ALD process, or a PVD process.
  • action 127 uses a PVD process.
  • Process 100 continues with action 129 , transferring wafer 229 to copper seed deposition chamber 211 .
  • Action 131 is then performed within copper seed deposition chamber 211 .
  • Action 131 is depositing a copper seed layer 315 over diffusion barrier layer 307 including areas on the surfaces of trenches 310 and holes 318 as shown in FIG. 10 .
  • Copper seed layer 315 forms with overhang 311 proximate the openings (mouths) of trenches 310 and of holes 318 .
  • Copper seed layer 315 can be deposited by any suitable process.
  • a suitable process can be, for example, a PVD process.
  • a PVD process can be any type of PVD process. Examples of PVD processes include, without limitation, sputtering and ionized vaport physical deposition (iPVD).
  • Process 100 continues with action 133 , transferring wafer 229 to clean chamber 205 .
  • Action 135 is then performed within clean chamber 205 .
  • Action 135 is an etch process that selectively removes a portion of copper seed layer 315 .
  • the etch is selective for removing portions of copper seed layer 315 forming overhangs 311 over other portions of copper seed layer 315 within trenches 310 .
  • the effect of action 135 is to substantially reduce or eliminate overhangs 311 while leaving copper seed layer 315 largely intact within trenches 310 and holes 318 as shown in FIG. 11 .
  • FIG. 10 identifies a thickness 324 , which is the amount by which copper seed layer 315 is thicker adjacent the entrances of trenches 310 (or holes 318 ) as compared to the thickness of copper seed layer 315 lower down in trenches 310 .
  • thickness 314 is reduced by 30% or more.
  • a thickness 322 of overhangs 311 is reduced by 50% or more.
  • the etch process of action 135 includes action 135 A, heating wafer 229 on wafer support 231 , action 135 B, heating walls 235 of lower chamber 227 , action 135 C, supplying upper chamber 221 of clean chamber 205 with process gases 220 , action 135 D, controlling the pressure within clean chamber 205 , action 135 E, generating a plasma from process gases 220 in upper chamber 221 , action 135 F, passing plasma generated in upper chamber 221 and process gases 220 that have not been converted to plasma in upper chamber 221 to lower chamber 227 while filtering to remove some ions from the plasma, and action 135 G, bombarding wafer 229 with ions of the filtered plasma.
  • heating wafer 129 is optional, but most embodiments of process 100 include heating wafer 129 to a temperature within the range between 200° C. and 300° C. In some embodiments, wafer 129 is heated by a heater 237 incorporated with wafer support 231 . In some embodiments, wafer 129 is heated before the plasma generation of action 135 D begins.
  • Action 135 B heating walls 235 of lower chamber 227 is also optional.
  • Action 135 B can be useful to reduce or eliminate deposition on walls 235 during action 135 , etching to reduce overhangs 311 .
  • walls 235 are heated to temperatures in the range between 50° C. and 100° C.
  • walls 235 are heated by heaters 233 located outside chamber 227 .
  • Action 135 C is supplying the process gases 220 used during the etch process of action 135 .
  • Process gases 200 include gases for producing a plasma that provides ions that etch overhangs 311 .
  • these gases include halogen compounds.
  • halogen compounds that can provide source gases for copper-overhang etchants include Cl 2 , SiCl 4 , HCl, BCl 3 , and HBr.
  • process gases 220 include one or more gases that provide an oxygen scavenging function (oxygen getters).
  • oxygen getters oxygen scavenging function
  • N2 is supplied with process gases 200 and functions as an oxygen getter.
  • process gases 220 include one or more gases that are functional to passivate surfaces of copper seed layer 315 . These passivating compounds can improve selectivity for removing portions of the copper seed layer 315 that form overhang 311 over other portions of copper seed layer 315 within trenches 310 and holes 318 .
  • NH 3 is supplied with process gases 220 and functions to passivate surfaces of copper seed layer 315 .
  • Action 135 D is controlling the pressure within clean chamber 205 .
  • the pressure is controlled to between 20 mTorr and 300 mTorr.
  • Action 135 D can be performed in conjunction with action 135 C, supplying process gases 120 .
  • Clean chamber 205 includes an exhaust port (not shown).
  • pressure in clean chamber 205 is measured and the rate of exhaust from chamber 205 is adjusted by a controller in response to the measured pressure in order to maintain the desired pressure.
  • Action 135 E is generating a plasma from process gases 220 in upper chamber 221 .
  • the plasma is generated using RF power source 223 .
  • power source 223 is operated with a power level in the range between 50 and 500 W.
  • the plasma includes halogen ions, such as Cl ⁇ and Br ⁇ .
  • the plasma include Cl ions.
  • Action 135 F is filtering the plasma using ion filter 225 and allowing a filtered portion of the plasma to pass from upper chamber 221 to lower chamber 227 .
  • action 135 F includes applying a bias in the range from ⁇ 10V to ⁇ 200V to exclude positive ions.
  • action 135 F includes applying a bias in the range from 10V to 200V is used to exclude some of the negative ions.
  • ion filter 225 is set to selectively exclude positive ions over negative ions.
  • ion filter 225 substantially prevents positive ions generated in upper chamber 221 from passing to lower chamber 227 during the overhang etch of action 135 .
  • ion filter 225 also regulates the flux of negative ions to lower chamber 227 .
  • Action 135 G is bombarding wafer 229 with halogen ions to etch and reduce overhangs 311 .
  • the ions are Cr.
  • the halogen ions have a low energy which is controlled by power source 223 .
  • a bias in the range from 10V to 200V is used to exclude some of the halogen ions. The higher the bias, the more ions are filtered and the lower the flux density of the ions bombarding wafer 229 .
  • controlling the ion flux density and controlling the ion energy are used to increase the likelihood that bombarding ions will contact and react with overhangs 311 in comparison to of the likelihood they will contact and react with copper seed layer 315 deeper within trenches 310 or holes 318 .
  • Action 135 removes a significant portion of copper seed layer 315 as shown in FIG. 11 , but action 135 concludes and wafer 229 is removed from cleaning chamber 205 before copper seed layer 315 is removed entirely.
  • copper seed layer 315 remains sufficiently intact that electroplating 141 can begin immediately.
  • wafer 229 is returned to copper seed deposition chamber 211 for additional copper seed layer deposition as shown if FIG. 12 .
  • FIG. 1 shows that process 100 includes optional action 137 , transferring wafer 129 back to copper seed deposition chamber 211 and optional action 139 , depositing a second coating of copper seed layer 315 .
  • Process 100 continues with action 141 , electroplating. Electroplating fills trenches 310 by depositing copper 327 on copper seed layer 315 to fill trenches 310 and holes 318 as shown in FIG. 13 .
  • Action 143 chemical mechanical polishing (CMP), removes excess copper 327 to produce conductive lines 321 and vias 323 within inter-level dielectric matrix layer 305 as shown in FIG. 14 . Gap fill during electroplating 141 is improved by the overhang etch of action 135 .
  • Process 100 concludes with additional process 145 to complete the manufacture of the device 300 .
  • additional processing 145 includes repetition of action 105 through action 141 of process 100 .
  • additional processing 145 includes dicing to form a plurality of devices 300 from a single wafer 229 .
  • the present disclosure provides an integrated circuit device manufacturing process that include forming a dielectric matrix layer over a substrate, forming trenches in the dielectric matrix layer, cleaning the trenches in a first chamber using a plasma comprising ions effective for reducing copper oxide, lining the trenches with a diffusion barrier layer, and forming a copper seed layer over the diffusion barrier layer in a second chamber.
  • the copper seed layer has an overhang proximate the trench openings.
  • the substrate is returned to the first chamber where the overhang is reduced by producing a plasma comprising positively and negatively charged ions including halogen ions, filtering the plasma to selectively exclude positively charged ions, and bombarding the substrate with ions of the filtered plasma. This method can be used to reduce overhangs and improve subsequent gap fill while avoiding excessive damage to the dielectric matrix.
  • the present disclosure also provides an integrated circuit device manufacturing process that includes loading a wafer into a copper barrier-seed tool including a cleaning chamber and a deposition chamber distinct from the cleaning chamber. Within the cleaning chamber, CuO on a surface of the wafer is reduced. A diffusion barrier layer is then deposited on the surface. Within the deposition chamber, a copper layer is deposited on the diffusion barrier layer. Then, within the cleaning chamber, the copper is etched to remove a significant portion of the copper layer. The wafer is transferred out of the cleaning chamber before the entire first copper layer is removed. This process can be effective for selectively reducing copper overhangs.
  • the present disclosure provides a copper barrier-seed tool that includes a copper diffusion barrier deposition chamber, a copper seed layer deposition chamber, and a cleaning chamber.
  • the cleaning chamber includes a first volume equipped with a plasma generator, a second volume equipped with a wafer support, and an ion filter separating the first volume from the second volume.
  • the clean chamber can be used to reduce copper overhangs with advantages in comparison to etching to reduce the overhangs in the copper seed deposition chamber.

Abstract

A barrier seed tool is configured to clean trenches in a first chamber, line the trenches with a diffusion barrier layer, and form a copper seed layer over the diffusion barrier layer in a second chamber. The clean chamber is configured to reduce overhangs in the copper seed layer by producing a plasma comprising positively and negatively charged ions including halogen ions, filtering the plasma to selectively exclude positively charged ions, and bombarding with the filtered plasma. The tool and related method can be used to reduce overhangs and improve subsequent gap fill while avoiding excessive damage to the dielectric matrix.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This Application is a Continuation of U.S. application Ser. No. 15/212,306 filed on Jul. 18, 2016, which is a Continuation of U.S. application Ser. No. 14/196,268 filed on Mar. 4, 2014 (now U.S. Pat. No. 9,396,992 issued on Jul. 19, 2016). The contents of the above-referenced Patent Applications are hereby incorporated by reference in their entirety.
  • BACKGROUND
  • The present disclosure relates to integrated circuit (IC) device manufacturing processes and processing equipment.
  • Many years of research have been devoted to reducing the critical dimensions (CDs) and structure densities of ICs. As densities have increased, the resistance capacitance (RC) delay time has become a limiting factor in circuit performance. RC delay can be reduced by forming metal interconnect structures using copper (Cu) in place of aluminum and low-k or extremely low-k dielectrics in place of silicon dioxide.
  • Cu metal interconnect structures are typically formed by damascene processes. As the term is used in the present disclosure, a “damascene process” can be a dual damascene process. In a typical damascene process, a desired pattern of holes and trenches is etched into a dielectric. The holes and trenches are then filled with copper to form conductive vias and lines. Filling with copper typically includes the steps of cleaning the trenches, depositing a diffusion barrier layer, and depositing a copper seed layer. Copper barrier-seed tools adapted to perform these steps in situ are now commercially available.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flow chart of a method in accordance with some embodiments of the present disclosure.
  • FIG. 2 illustrates a barrier-seed tool in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a flow chart showing additional details of one of the actions in forming the method of FIG. 1.
  • FIG. 4 illustrates a clean chamber that is in accordance with some other embodiments of the present disclosure and is part of the barrier-seed tool of FIG. 2.
  • FIGS. 5-14 illustrate a device 300 at various intermediate stages of manufacture as it goes through processing by the method of FIG. 1.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • It has been observed that the copper seed layer formed by a typical copper barrier layer and copper seed layer deposition (barrier-seed) tool tends to form overhangs proximate the entrances (openings) of trenches and holes. When critical dimensions are 32 nm or less, these overhangs tend to be significant in the sense of materially diminishing the quality of gap fill from subsequent electroplating. A typical barrier-seed tool will have a copper seed layer deposition chamber that can perform an ion bombardment with Ar or Cu that is effective for reducing these overhangs. But it has been observed that ion bombardment of this type can damage low-k dielectrics.
  • The present disclosure provides an alternative method for reducing the overhang in a barrier-seed tool and provides a barrier-seed tool adapted to implement that method. A cleaning chamber of the tool is supplied with process gases and an ion filter enabling the overhang to be etched quickly and in a selective manner using low energy halogen ions.
  • FIG. 1 is a flow chart of a process 100 that provides an example according to one embodiment of the present disclosure. The process 100 includes a series of actions 116 that are performed in a barrier-seed tool 200 such as the one illustrated in FIG. 2. Action 116 are the focus of this example. Actions of process 100 that are performed before or after actions 116 can be varied widely without departing from the scope of the present disclosure. FIGS. 5-14 illustrate an example integrated circuit device 300 being manufactured using the process 100.
  • Process 100 begins with action 101, providing a wafer 229 that includes a substrate 301 for device 300. Process 100 continues with action 103, putting wafer 229 including substrate 301 through front-end-of-line (FEOL) processing. Substrate 301 can be any type of substrate suitable for integrated circuit devices. Substrate 301 includes a semiconductor. FEOL processing 103 can modify substrate 301 to include various structures and integrated circuit component devices.
  • Process 100 continues with action 105, modifying substrate 301 to include a first metal-interconnect layer 325 as shown in FIG. 5. First metal-interconnect layer 325 includes a cap layer 403, a dielectric layer 405, conductive lines 421, and vias 423. Holes 418 and trenches 410 within dielectric layer 405 are lined with a copper diffusion barrier layer 407 and filled with copper to form conductive lines 421 and vias 423. Action 105 is optional. When included, action 105 typically includes the actions 116. In most embodiments, the actions 116 are repeated through the formation of each of several metal-interconnect layers. Action 105 is shown at this stage in this example because it may provide a source of impurities relevant to subsequent actions 116.
  • Process 100 continues with action 107, forming an etch stop layer 303, and action 109, forming a dielectric matrix layer 305 providing a structure as shown in FIG. 6. In most embodiments dielectric matrix layer 305 is an inter-level dielectric structure. In other embodiments, all or part of dielectric matrix layer 305 is a sacrificial material that is replaced by dielectric to form the inter-level dielectric structure after a copper interconnect has been formed within the dielectric matrix layer 305. An inter-level dielectric structure is one or more layers of dielectric optionally including one or more etch stop layers.
  • In most embodiments, dielectric matrix layer 305 is one or more layers of low-k dielectric layers, optionally including an etch stop layer. In some embodiments, one or more of the low-k dielectric layers is an extremely low-k dielectric layer. An extremely low-k dielectric material is generally a low-k dielectric material formed into a porous structure in which porosity reduces the effective dielectric constant of the structure.
  • Process 100 continues with action 111, patterning matrix layer 305 to form trenches 310 and holes 318 as shown in FIG. 6. Although described and illustrated simply as trenches and holes, trenches 310 and holes 318 can be damascene structures, dual damascene structures, or other patterns etched into dielectric matrix layer 305. Trenches 310 have openings 308 proximate surface 306 of dielectric matrix layer 305. In some embodiments, trenches 310 or holes 318 have a width 312 of 32 nm or less. In some embodiments trenches 310 or holes 318 have a pitch 314 of 64 nm or less.
  • Action 111, patterning matrix layer 305 exposes contacts 302 within interlecel dielectric layer 325 or other structure underlying dielectric matrix layer 305. In most embodiments, action 111 leaves contaminants 309 within trenches 310 or holes 318 as shown in FIG. 7. Contaminates 309 can include CuO and etch byproduct which may included compounds of carbon (C) or fluorine (F). CuO and other copper compounds can form from reactions with Cu contained in metal interconnect layer 325.
  • Action 115 is transferring wafer 229 into a barrier-seed tool 200 where actions 116 can are performed in situ. FIG. 2 illustrates a barrier-seed tool 200, which is an example according to another embodiment of the present disclosure. In situ means that actions 116 are all performed without exposing wafer 229 to ambient conditions such as the atmosphere of a manufacturing facility in which barrier-seed layer tool 200 is located.
  • As illustrated in FIG. 2, barrier-seed tool 200 includes a loading device 201, a degas chamber 203, a clean chamber 205, a barrier layer deposition chamber 209, and a copper seed layer deposition chamber 211. In most embodiments, loading device 201 is configured to load wafers 229 from a wafer cassette (not shown) into barrier-seed tool 200. Central area 207 of barrier-seed tool 200 can be equipped to transport the loaded wafers 229 among the various chambers.
  • Degas chamber 203 is optional, but included in most embodiments. Degas chamber 203 can be a simple chamber in which wafer 229 can be heated. Barrier layer deposition chamber 209 as a chamber distinct from copper seed layer deposition chamber 211 is also optional, but included in most embodiments. Barrier layer deposition chamber 209 is equipped to deposit a copper diffusion barrier layer by a suitable process. A suitable process can be a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, or a physical vapor deposition (PVD) process. In some embodiments, barrier layer deposition chamber 209 is equipped to deposit a copper diffusion barrier layer by PVD.
  • Copper seed layer deposition chamber 211 is equipped to deposit a copper seed layer. In some embodiments, copper seed layer deposition chamber 211 is equipped to deposit copper by a PVD process. In some of these embodiments, copper seed layer deposition chamber 211 is supplied with a source of Ar as well as a source of copper. In some embodiments copper seed layer deposition chamber 211 is suitable for etching a copper seed layer.
  • FIG. 4 illustrates a clean chamber 205, which is an example according to another embodiment of the present disclosure. Clean chamber 205 includes an upper chamber 221 equipped to generate plasma, a lower chamber 227 in which cleaning takes place, and an ion filter 225 separating the volume enclosed by upper chamber 221 from the volume enclosed by lower chamber 227.
  • Upper chamber 221 includes a plasma generator. In most embodiments, the plasma generator includes a radio frequency (RF) power source 223. The RF power source 223 can be, for example, a 13.56 MHz source with a capacity up to 500 W. In most embodiments, cleaning chamber 205 is equipped with flow controllers (not shown) for each of the process gases 220. Process gases 220 include all the gases required for the processes performed in cleaning chamber 205. In most embodiments, barrier-seed tool 200 includes a controller for regulating the pressure in upper chamber 221 and throughout clean chamber 205.
  • Ion filter 225 can be any suitable device that is designed to exclude certain types of ions in upper chamber 221 from entering lower chamber 227. The exclusion is selective according to ion type. Ion filter 225 can operate through electrical or magnetic fields. In most embodiments, ion filter 225 includes a DC power supply having a variable voltage. In some embodiments, the voltage range is a range between ±200V. Ion filter 225 can be set to largely exclude positive ions while permitting the passage of negative ions.
  • A wafer support 231 is disposed within lower chamber 227 of clean chamber 205. In some embodiments, wafer support 231 is a pedestal. In some embodiments, wafer support 231 includes a heater 237. In some embodiments, the heater 237 is functional to heat a wafer 229 mounted on wafer support 231 to a temperature in a range between 200° C. and 300° C. In some embodiments, a controller is configured to operate the heater 237. In some embodiments, the controller is programmed to maintain the temperature of a wafer 229 mounted on wafer support 231 at a temperature in a range between 200° C. and 300° C.
  • In some embodiments, the wafer support 231 is connected to an RF coupling that can be used to apply a bias to wafer 229. The bias can be set to attract negative ions to wafer 229. Attracting negative ions to wafer 229 accelerates the ions and increases their energy. Increasing the energy of ions used for bombardment increases the etch rate.
  • In some embodiments, a heater 233 is positioned adjacent walls 235 of lower chamber 227. In some embodiments, the heater 233 is functional to heat walls 235 to a temperature in a range between 50° C. and 100° C. In some embodiments, a controller is configured to operate the heater 233. In some embodiments, the controller is programmed to maintain the temperature of walls 235 at a temperature in the range between 50° C. and 100° C.
  • Referring again to FIG. 1, after action 115, process 100 continues with a series of actions 116 that can be performed within the barrier-seed tool 200. The first two of these actions are optional. These are action 117, transferring wafer 229 to degas chamber 203 and action 119, performing a degas operation within degas chamber 203. In most embodiments, a degas operation includes heating wafer 229 to a temperature at which water adsorbed on the surface of wafer 229 desorbs. In some embodiments, degas chamber 203 puts wafer 229 under vacuum, reducing the temperatures at which gases desorb.
  • Process 100 continues with action 121, transferring wafer 229 into clean chamber 205. Action 123 is then preformed within clean chamber 205. Action 123 is a cleaning process that is effective for removing contaminants 309 shown in FIG. 7 to provide cleaned trenches 310 and holes 318 as shown in FIG. 8.
  • The cleaning process of action 123 is a process effective for removing contaminants 309 that include CuO. In most embodiments, the cleaning process is a plasma etch. In some embodiments, action 123 includes supplying upper chamber 221 with gases 220 comprising hydrogen. The cleaning process 123 can proceed through the reaction:

  • CuO+H2→Cu+H2O
  • Process 100 continues with action 125, transferring wafer 229 to barrier deposition chamber 209. Action 127 is then performed within barrier deposition chamber 209. Action 127 is depositing a diffusion barrier layer 307 on an upper surface of wafer 229, including surfaces of trenches 310 and holes 318. Diffusion barrier layer 307 lines trenches 310 and holes 318 as shown in FIG. 9. Diffusion barrier layer 307 can include one of more layers having any suitable compositions. Diffusion barrier layer 307 can be for example a layer of Ta, a layer TaN, or a layer of Ta and a layer TaN. Diffusion barrier layer 307 can be deposited by any suitable process. A suitable process can be a CVD process, an ALD process, or a PVD process. In some embodiments, action 127 uses a PVD process.
  • Process 100 continues with action 129, transferring wafer 229 to copper seed deposition chamber 211. Action 131 is then performed within copper seed deposition chamber 211. Action 131 is depositing a copper seed layer 315 over diffusion barrier layer 307 including areas on the surfaces of trenches 310 and holes 318 as shown in FIG. 10. Copper seed layer 315 forms with overhang 311 proximate the openings (mouths) of trenches 310 and of holes 318. Copper seed layer 315 can be deposited by any suitable process. A suitable process can be, for example, a PVD process. In the present disclosure, a PVD process can be any type of PVD process. Examples of PVD processes include, without limitation, sputtering and ionized vaport physical deposition (iPVD).
  • Process 100 continues with action 133, transferring wafer 229 to clean chamber 205. Action 135 is then performed within clean chamber 205. Action 135 is an etch process that selectively removes a portion of copper seed layer 315. The etch is selective for removing portions of copper seed layer 315 forming overhangs 311 over other portions of copper seed layer 315 within trenches 310. The effect of action 135 is to substantially reduce or eliminate overhangs 311 while leaving copper seed layer 315 largely intact within trenches 310 and holes 318 as shown in FIG. 11.
  • A substantial reduction in overhangs 311 is one sufficient to substantially improve gap fill during subsequent electroplating 141. FIG. 10 identifies a thickness 324, which is the amount by which copper seed layer 315 is thicker adjacent the entrances of trenches 310 (or holes 318) as compared to the thickness of copper seed layer 315 lower down in trenches 310. In some embodiments, thickness 314 is reduced by 30% or more. In some embodiments, a thickness 322 of overhangs 311 is reduced by 50% or more.
  • As shown in FIG. 3, the etch process of action 135 includes action 135A, heating wafer 229 on wafer support 231, action 135B, heating walls 235 of lower chamber 227, action 135C, supplying upper chamber 221 of clean chamber 205 with process gases 220, action 135D, controlling the pressure within clean chamber 205, action 135E, generating a plasma from process gases 220 in upper chamber 221, action 135F, passing plasma generated in upper chamber 221 and process gases 220 that have not been converted to plasma in upper chamber 221 to lower chamber 227 while filtering to remove some ions from the plasma, and action 135G, bombarding wafer 229 with ions of the filtered plasma.
  • Action 135A, heating wafer 129 is optional, but most embodiments of process 100 include heating wafer 129 to a temperature within the range between 200° C. and 300° C. In some embodiments, wafer 129 is heated by a heater 237 incorporated with wafer support 231. In some embodiments, wafer 129 is heated before the plasma generation of action 135D begins.
  • Action 135B, heating walls 235 of lower chamber 227 is also optional. Action 135B can be useful to reduce or eliminate deposition on walls 235 during action 135, etching to reduce overhangs 311. In some embodiments, walls 235 are heated to temperatures in the range between 50° C. and 100° C. In some embodiments, walls 235 are heated by heaters 233 located outside chamber 227.
  • Action 135C is supplying the process gases 220 used during the etch process of action 135. Process gases 200 include gases for producing a plasma that provides ions that etch overhangs 311. In most embodiments, these gases include halogen compounds. Examples of halogen compounds that can provide source gases for copper-overhang etchants include Cl2, SiCl4, HCl, BCl3, and HBr.
  • In some embodiments, process gases 220 include one or more gases that provide an oxygen scavenging function (oxygen getters). In some embodiments, N2 is supplied with process gases 200 and functions as an oxygen getter.
  • In some embodiments, process gases 220 include one or more gases that are functional to passivate surfaces of copper seed layer 315. These passivating compounds can improve selectivity for removing portions of the copper seed layer 315 that form overhang 311 over other portions of copper seed layer 315 within trenches 310 and holes 318. In some embodiments, NH3 is supplied with process gases 220 and functions to passivate surfaces of copper seed layer 315.
  • Action 135D is controlling the pressure within clean chamber 205. In some embodiments, the pressure is controlled to between 20 mTorr and 300 mTorr. Action 135D can be performed in conjunction with action 135C, supplying process gases 120. Clean chamber 205 includes an exhaust port (not shown). In some embodiments, pressure in clean chamber 205 is measured and the rate of exhaust from chamber 205 is adjusted by a controller in response to the measured pressure in order to maintain the desired pressure.
  • Action 135E is generating a plasma from process gases 220 in upper chamber 221. In some embodiments, the plasma is generated using RF power source 223. In some embodiments, power source 223 is operated with a power level in the range between 50 and 500 W. The plasma includes halogen ions, such as Cl and Br. In some embodiments the plasma include Cl ions.
  • Action 135F is filtering the plasma using ion filter 225 and allowing a filtered portion of the plasma to pass from upper chamber 221 to lower chamber 227. In some embodiments, action 135F includes applying a bias in the range from −10V to −200V to exclude positive ions. In some embodiments, action 135F includes applying a bias in the range from 10V to 200V is used to exclude some of the negative ions. For action 135F, ion filter 225 is set to selectively exclude positive ions over negative ions. In some embodiments, ion filter 225 substantially prevents positive ions generated in upper chamber 221 from passing to lower chamber 227 during the overhang etch of action 135. In some embodiments, ion filter 225 also regulates the flux of negative ions to lower chamber 227.
  • Action 135G is bombarding wafer 229 with halogen ions to etch and reduce overhangs 311. In some embodiments, the ions are Cr. In some embodiments, the halogen ions have a low energy which is controlled by power source 223. In some of these embodiments, a bias in the range from 10V to 200V is used to exclude some of the halogen ions. The higher the bias, the more ions are filtered and the lower the flux density of the ions bombarding wafer 229. In some embodiments, controlling the ion flux density and controlling the ion energy are used to increase the likelihood that bombarding ions will contact and react with overhangs 311 in comparison to of the likelihood they will contact and react with copper seed layer 315 deeper within trenches 310 or holes 318.
  • Action 135 removes a significant portion of copper seed layer 315 as shown in FIG. 11, but action 135 concludes and wafer 229 is removed from cleaning chamber 205 before copper seed layer 315 is removed entirely. In some embodiments, copper seed layer 315 remains sufficiently intact that electroplating 141 can begin immediately. In some embodiments, however, wafer 229 is returned to copper seed deposition chamber 211 for additional copper seed layer deposition as shown if FIG. 12. Accordingly, FIG. 1 shows that process 100 includes optional action 137, transferring wafer 129 back to copper seed deposition chamber 211 and optional action 139, depositing a second coating of copper seed layer 315.
  • Process 100 continues with action 141, electroplating. Electroplating fills trenches 310 by depositing copper 327 on copper seed layer 315 to fill trenches 310 and holes 318 as shown in FIG. 13. Action 143, chemical mechanical polishing (CMP), removes excess copper 327 to produce conductive lines 321 and vias 323 within inter-level dielectric matrix layer 305 as shown in FIG. 14. Gap fill during electroplating 141 is improved by the overhang etch of action 135. Process 100 concludes with additional process 145 to complete the manufacture of the device 300. In some embodiments, additional processing 145 includes repetition of action 105 through action 141 of process 100. In most embodiments, additional processing 145 includes dicing to form a plurality of devices 300 from a single wafer 229.
  • The present disclosure provides an integrated circuit device manufacturing process that include forming a dielectric matrix layer over a substrate, forming trenches in the dielectric matrix layer, cleaning the trenches in a first chamber using a plasma comprising ions effective for reducing copper oxide, lining the trenches with a diffusion barrier layer, and forming a copper seed layer over the diffusion barrier layer in a second chamber. The copper seed layer has an overhang proximate the trench openings. The substrate is returned to the first chamber where the overhang is reduced by producing a plasma comprising positively and negatively charged ions including halogen ions, filtering the plasma to selectively exclude positively charged ions, and bombarding the substrate with ions of the filtered plasma. This method can be used to reduce overhangs and improve subsequent gap fill while avoiding excessive damage to the dielectric matrix.
  • The present disclosure also provides an integrated circuit device manufacturing process that includes loading a wafer into a copper barrier-seed tool including a cleaning chamber and a deposition chamber distinct from the cleaning chamber. Within the cleaning chamber, CuO on a surface of the wafer is reduced. A diffusion barrier layer is then deposited on the surface. Within the deposition chamber, a copper layer is deposited on the diffusion barrier layer. Then, within the cleaning chamber, the copper is etched to remove a significant portion of the copper layer. The wafer is transferred out of the cleaning chamber before the entire first copper layer is removed. This process can be effective for selectively reducing copper overhangs.
  • The present disclosure provides a copper barrier-seed tool that includes a copper diffusion barrier deposition chamber, a copper seed layer deposition chamber, and a cleaning chamber. The cleaning chamber includes a first volume equipped with a plasma generator, a second volume equipped with a wafer support, and an ion filter separating the first volume from the second volume. The clean chamber can be used to reduce copper overhangs with advantages in comparison to etching to reduce the overhangs in the copper seed deposition chamber.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A copper barrier-seed tool, comprising:
a copper diffusion barrier deposition chamber;
a copper seed layer deposition chamber; and
a cleaning chamber that comprises:
a first volume equipped with a plasma generator;
a second volume equipped with a wafer support; and
an ion filter separating the first volume from the second volume.
2. The tool of claim 1, wherein the wafer support is a heated pedestal coupled to a voltage supply.
3. The tool of claim 2, further comprising a first heater configured to heat a wall enclosing the second volume.
4. The tool of claim 3, wherein the first heater is positioned adjacent to the wall enclosing the second volume.
5. The tool of claim 4, wherein the first heater is functional to heat the wall to a first temperature in a range between 50° C. and 100° C.
6. The tool of claim 5, wherein the wafer support includes a second heater to heat a wafer mounted on the heated pedestal to a second temperature that is at least two times as large as the first temperature.
7. The tool of claim 6, wherein the second temperature is in a range between 200° C. and 300° C.
8. The tool of claim 1, wherein the ion filter is set to exclude positive ions while permitting the passage of negative ions.
9. The tool of claim 6, further comprising a degas chamber configured to perform a degas operation by heating the wafer to a third temperature at which water adsorbed on the surface of the wafer desorbs.
10. The tool of claim 9, wherein the degas chamber puts the wafer under vacuum to reduce temperatures at which gases desorb.
11. The tool of claim 9, further comprising:
a loading device configured to load wafers from a wafer cassette into a central area of the copper barrier seed tool, the central area of the copper barrier seed tool including equipment to transport wafers between the copper diffusion barrier deposition chamber, the copper seed layer deposition chamber, the cleaning chamber, and the degas chamber.
12. A copper barrier-seed tool, comprising:
a loading device configured to load a wafer from a wafer cassette into a central area of the copper barrier seed tool, the wafer including a dielectric matrix layer with patterned trenches in the dielectric matrix layer;
a copper diffusion barrier deposition chamber adjacent to the central area of the copper barrier seed tool and configured to receive the wafer including the dielectric matrix layer with the patterned trenches in the dielectric matrix layer, the copper diffusion barrier deposition chamber configure to form a copper diffusion barrier layer along lower surfaces and sidewalls of the patterned trenches;
a copper seed layer deposition chamber adjacent to the central area of the copper barrier seed tool and configured to receive the wafer including the copper diffusion barrier layer, the copper seed layer deposition chamber configured to form a copper seed layer over the copper diffusion barrier layer in the patterned trenches, the copper seed layer having an overhang proximate uppermost openings of the patterned trenches; and
a cleaning chamber configured to generate a plasma comprising ions, filter the ions of the plasma to generate a filtered plasma, and bombard the copper seed layer with the filtered plasma to reduce the overhang.
13. The copper barrier-seed tool of claim 12, wherein the cleaning chamber comprises:
a first volume equipped with a plasma generator to generate the plasma comprises the ions;
a second volume equipped with a wafer support to retain the wafer; and
an ion filter separating the first volume from the second volume, the ion filter configured to filter the ions of the plasm to generate the filtered plasma.
14. The copper barrier-seed tool of claim 13, further comprising a degas chamber configured to perform a degas operation by putting the wafer under vacuum to reduce temperatures at which gases desorb and then heating the wafer to a first temperature at which water adsorbed on the surface of the wafer desorbs.
15. The tool of claim 14, wherein the wafer support is a heated pedestal coupled to a voltage supply.
16. The tool of claim 15, further comprising a heater positioned adjacent to the wall enclosing the second volume, the heater configured to heat a wall enclosing the second volume.
17. The tool of claim 4, wherein the heater is functional to heat the wall to a first temperature in a range between 50° C. and 100° C., and wherein the wafer support is configured to heat the wafer to a second temperature in a range between 200° C. and 300° C.
18. A device, comprising:
a semiconductor substrate;
a dielectric layer disposed over the semiconductor substrate;
a diffusion barrier layer lining trenches which are disposed in the dielectric layer, the trenches each having a width of 32 nanometers or less and having a pitch of 64 nanometers or less, and the diffusion barrier lining continuing downward from lower extents of the trenches to line sidewalls and lower surfaces of vias which extend downward from bottom surfaces of the trenches; and
a copper seed layer lining the trenches and the vias over the diffusion barrier layer, wherein the copper seed layer includes a first overhang region that protrudes outwardly from a shoulder region when a via meets a trench and a second overhang region the protrudes outwardly from an uppermost sidewall of the copper seed at the top of the trench.
19. The device of claim 18, wherein the first overhang region lines opposite sides of the via and the second overhang region lines opposite sides of the trench such that nearest inner sidewalls of the first overhang region are more closely spaced than nearest inner sidewalls of the second overhang region.
20. The device of claim 18, wherein the diffusion barrier layer completely separates the copper seed layer from the dielectric layer.
US16/130,183 2014-03-04 2018-09-13 Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects Abandoned US20190027404A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/130,183 US20190027404A1 (en) 2014-03-04 2018-09-13 Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/196,268 US9396992B2 (en) 2014-03-04 2014-03-04 Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US15/212,306 US10079176B2 (en) 2014-03-04 2016-07-18 Method of using a barrier-seed tool for forming fine pitched metal interconnects
US16/130,183 US20190027404A1 (en) 2014-03-04 2018-09-13 Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/212,306 Continuation US10079176B2 (en) 2014-03-04 2016-07-18 Method of using a barrier-seed tool for forming fine pitched metal interconnects

Publications (1)

Publication Number Publication Date
US20190027404A1 true US20190027404A1 (en) 2019-01-24

Family

ID=54018086

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/196,268 Active 2034-03-13 US9396992B2 (en) 2014-03-04 2014-03-04 Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US15/212,306 Active US10079176B2 (en) 2014-03-04 2016-07-18 Method of using a barrier-seed tool for forming fine pitched metal interconnects
US16/130,183 Abandoned US20190027404A1 (en) 2014-03-04 2018-09-13 Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/196,268 Active 2034-03-13 US9396992B2 (en) 2014-03-04 2014-03-04 Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US15/212,306 Active US10079176B2 (en) 2014-03-04 2016-07-18 Method of using a barrier-seed tool for forming fine pitched metal interconnects

Country Status (1)

Country Link
US (3) US9396992B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180230624A1 (en) * 2017-02-10 2018-08-16 Applied Materials, Inc. Method and apparatus for low temperature selective epitaxy in a deep trench

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017075162A1 (en) * 2015-10-27 2017-05-04 Applied Materials, Inc. Methods for reducing copper overhang in a feature of a substrate
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same
CN107731739B (en) * 2016-08-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
US9899258B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Metal liner overhang reduction and manufacturing method thereof
US10157842B1 (en) 2017-05-31 2018-12-18 International Business Machines Corporation Semiconductor device including superconducting metal through-silicon-vias and method of manufacturing the same
US10677855B2 (en) * 2017-09-08 2020-06-09 Globalfoundries Inc. Structure, method and system for measuring RIE lag depth
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
US10867905B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US11011413B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
CN110880477A (en) * 2018-09-06 2020-03-13 长鑫存储技术有限公司 Method for manufacturing semiconductor device
KR20210109640A (en) * 2019-01-23 2021-09-06 램 리써치 코포레이션 Substrate Processing System Including Dual Ion Filters for Downstream Plasma

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6800494B1 (en) * 2002-05-17 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for controlling copper barrier/seed deposition processes
US20040219789A1 (en) * 2003-02-14 2004-11-04 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395642B1 (en) * 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
US8470390B2 (en) * 2008-01-11 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6800494B1 (en) * 2002-05-17 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for controlling copper barrier/seed deposition processes
US20040219789A1 (en) * 2003-02-14 2004-11-04 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180230624A1 (en) * 2017-02-10 2018-08-16 Applied Materials, Inc. Method and apparatus for low temperature selective epitaxy in a deep trench

Also Published As

Publication number Publication date
US10079176B2 (en) 2018-09-18
US20160358814A1 (en) 2016-12-08
US9396992B2 (en) 2016-07-19
US20150255330A1 (en) 2015-09-10

Similar Documents

Publication Publication Date Title
US20190027404A1 (en) Method of Using a Barrier-Seed Tool for Forming Fine Pitched Metal Interconnects
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US10049891B1 (en) Selective in situ cobalt residue removal
TWI657535B (en) Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
TWI603395B (en) Method of etching the copper layer
US6946401B2 (en) Plasma treatment for copper oxide reduction
KR100661194B1 (en) Removing oxides or other reducible contaminants from a substrate by plasma treatment
US11742212B2 (en) Directional deposition in etch chamber
TWI621181B (en) Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
KR101739613B1 (en) Method for forming copper wiring
TW201448024A (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
KR20180068328A (en) METHOD OF MANUFACTURING Cu WIRING
US11024537B2 (en) Methods and apparatus for hybrid feature metallization
WO2021150280A1 (en) Methods and devices for subtractive self-alignment
KR102118580B1 (en) Chemical vapor deposition (cvd) of ruthenium films and applications for same
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
US9275894B2 (en) Method for forming semiconductor device structure
JP2006024668A (en) Process for fabricating semiconductor device
TW202314800A (en) Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal
JP2007221147A (en) Interconnection structure of semiconductor device and its method
CN110752184A (en) Method for manufacturing semiconductor device
JP2006147895A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LEE, YA-LIEN;REEL/FRAME:046866/0467

Effective date: 20140226

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: TC RETURN OF APPEAL

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCV Information on status: appeal procedure

Free format text: ON APPEAL -- AWAITING DECISION BY THE BOARD OF APPEALS

STCV Information on status: appeal procedure

Free format text: BOARD OF APPEALS DECISION RENDERED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION