JP2001511608A - プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置 - Google Patents

プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置

Info

Publication number
JP2001511608A
JP2001511608A JP2000504917A JP2000504917A JP2001511608A JP 2001511608 A JP2001511608 A JP 2001511608A JP 2000504917 A JP2000504917 A JP 2000504917A JP 2000504917 A JP2000504917 A JP 2000504917A JP 2001511608 A JP2001511608 A JP 2001511608A
Authority
JP
Japan
Prior art keywords
chamber
substrate
source
particles
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000504917A
Other languages
English (en)
Inventor
フランソワ ジェイ ヘンリー
ネイサン チェウン
Original Assignee
シリコン ジェネシス コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シリコン ジェネシス コーポレイション filed Critical シリコン ジェネシス コーポレイション
Publication of JP2001511608A publication Critical patent/JP2001511608A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

(57)【要約】 プラズマ侵入型イオン注入チャンバ(12)を使用するクラスタツール(10)。若干の実施の形態においては、クラスタツールは制御されたへき開プロセスチャンバ(22)を更に含む。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 本発明は、基板(サブストレート)の製造に関する。詳述すれば、本発明は、
プラズマ侵入型イオン注入( plasma immersion ion implantaion :“PIII
”)を用いるクラスタツール装置を使用して基板を処理する装置及び方法を含む
技術を提供する。PIIIを用いる本クラスタツール装置は、例えば、半導体集
積回路のための絶縁体上のシリコン基板のようないろいろな基板の製造に使用す
ることができる。更に、本クラスタツール装置は、一般に集積回路の製造にも使
用することができる。しかしながら、本発明が広範な可用性を有しており、多層
集積回路デバイスのための他の基板、半導体デバイスの三次元パッケージング、
フォトニックデバイス、圧電デバイス、マイクロ電子機械システム(“MEMS
”)、センサ、アクチュエータ、類似の、または類似していない材料を使用する
エピタキシャル状基板、太陽電池、フラットパネルディスプレイ(例えば、LC
D、AMLCD)、生物及び生化学デバイス等にも適用可能であることを理解さ
れたい。
【0002】 デバイスのサイズが小さくなり、ウェーハのサイズが大きくなるにつれて、普
通の“バルク”シリコンウェーハではなく、絶縁体上のシリコン(シリコン・オ
ン・インシュレータ:“SOI”)基板のような多層にされた基板上に集積回路
を製造することが望まれてきている。SOIウェーハを製造するために、いろい
ろな技術が提唱、または使用されてきている。これらの技術は、いろいろな技術
の中でも特に、バルク基板を覆って形成されている絶縁層の上に、シリコン材料
の厚膜を結合することを含んでいる。一般にこのシリコン材料の厚膜は、化学機
械的平坦化のような研削及び研磨技術によって“薄く”される。この技術はSO
Iウェーハの製造に使用することが極めて容易であるが、この技術は長い時間を
消費する。更にこの技術は、長時間を要し且つ高価な処理薬品を使用することが
多い研削または研磨技術を使用するために、極めて高価である。また研削は、デ
バイスの性能を劣化させることも分かっている。従って、普通の結合及び研削技
術を使用して作られるSOIウェーハは極めてコスト高であり、多くの限界を有
している。
【0003】 SIMOXと一般的に呼ばれている“酸素の注入による分離”と呼ばれる技術
も提唱されている。このプロセスの詳細な説明に関しては、本明細書が参照して
いる哲学博士Stanley Wolf, SILICON PROCESSING FOR THE VLSI ERA(第2巻) の66−79頁を参照されたい。要するにこの技術は、普通のビーム・ラインイオン
注入を使用してシリコンウェーハ内に酸素を導入する。不幸にも、普通のSIM
OXプロセスでは、一般にSOIウェーハを生産するコストが高くなる。このコ
スト高は、シリコンウェーハ内に十分な線量の酸素を注入するのに必要な時間の
長さに由来することが多い。一般に、イオン注入は製造ファシリティにおける最
大のキャピタルコストの1つを表しているから、他のさまざまな集積回路処理動
作のために使用されることが多い普通のSIMOX内に使用するために注入装置
を割当てるのは困難であることが屡々である。更に、多くの製造設備(例えば、
集積回路及びウェーハ)は、そのコストが過大なイオン注入装置を追加する購入
費用を簡単に負担することはできない。従って、普通のSIMOXプロセスを使
用して作られた絶縁体上のシリコンウェーハは高コストであることが多く、一般
には製造に長時間を要する。
【0004】 以上の説明から、費用有効で、且つ効率的な基板製造のための技術が屡々望ま
れていることが理解されよう。
【0005】 (発明の概要) 本発明によれば、SOI基板を生産するための装置及び方法を含む技術が提供
される。詳述すれば、本発明は、プラズマ侵入型イオン注入システムと共に構成
されたクラスタツールを使用して基板を処理するための方法、及びそれによって
得られるデバイスを含むさまざまな技術を提供する。PIIIを有する本クラス
タツール装置は、例えば、半導体集積回路のための絶縁体上のシリコン基板のよ
うないろいろな基板を製造するために使用することができる。更に、本クラスタ
ツール装置は、一般に、集積回路並びに他のデバイスの製造に使用することがで
きる。
【0006】 特定の実施の形態においては、本発明は、新しいクラスタツール装置を使用し
て基板を処理するための技術を提供する。本装置は、特に、ロボットを内蔵して
いる転送チャンバを含む。プラズマ侵入型イオン注入チャンバが転送チャンバに
結合され、また第2のチャンバも転送チャンバに結合されている。第2のチャン
バは、少なくともCVDチャンバ、エッチングチャンバ、PVDチャンバ、熱焼
鈍チャンバ、結合チャンバ、CMPチャンバ、熱処理チャンバ、プラズマ処理チ
ャンバ、エピタキシャル成長チャンバ、その他から選択することができる。本装
置は真空を破らずに複数の基板を処理することができ、それにより、いろいろな
要因の中でも特に、プロセスの品質及びデバイスの歩留まりが高まる。
【0007】 特定の実施の形態においては、本発明は、新しいクラスタツール装置を使用し
て基板を処理するための方法を提供する。本方法は、いろいろな構成要素の中で
も特に、ロボットを内蔵する転送チャンバを使用する。プラズマ侵入型イオン注
入チャンバが転送チャンバに結合され、また第2のチャンバも転送チャンバに結
合されている。第2のチャンバは、少なくともCVDチャンバ、エッチングチャ
ンバ、PVDチャンバ、熱焼鈍チャンバ、結合チャンバ、CMPチャンバ、熱処
理チャンバ、プラズマ処理チャンバ、エピタキシャルシリコン(または他の材料
)堆積チャンバ、その他から選択することができる。本方法は真空を破らずに複
数の基板を処理することができ、それにより、いろいろな要因の中でも特に、プ
ロセスの品質及びデバイスの歩留まりが高まる。
【0008】 代替の特定の実施の形態においては、本発明は、新しいチャンバ構成並びに他
の特色を使用する絶縁体上のシリコン基板を形成するための技術を提供する。本
方法は、例えばシリコンウェーハのようなドナー基板を準備するステップを使用
する。ドナー基板はPIIIチャンバのような第1のチャンバ内に配置される。
第1のチャンバにおいては、イオン注入またはPIIIによって、ドナー基板の
表面を通して該表面の下の選択された深さまで粒子が導入される。選択された深
さにおける粒子の濃度が、その選択された深さから上の基板材料が除去されるこ
とを限定する。次に、ドナー基板は第2のチャンバ(第1のチャンバと同一であ
ることもできる)に配置される。結合チャンバである第2のチャンバにおいて、
ドナー基板はレセプタまたはターゲット基板に結合され、多層にされた基板が形
成される。多層にされた基板はCCPチャンバのような第3のチャンバ内に配置
され、基板の選択された領域にエネルギが供給されて基板の選択された深さにお
いて、制御されたへき開(劈開:クリービング)動作が開始される。次いで、伝
播へき開前端を使用してこのへき開動作が遂行され、基板材料の一部分を基板か
ら除去する。好ましい実施の形態においては、結合ステップの前に、ドナー及び
レセプタ基板の表面のプラズマクリーニングステップが遂行され、結合プロセス
を強化する。若干の実施の形態においては、例えば、製造のコストを節約するた
めにドナー基板が再使用される。この場合、再使用されるドナー基板は材料とし
て再度使用される。
【0009】 本発明を使用することにより、先在する技術より多くの便益が得られる。詳述
すれば、本発明は単一の(または、複数の)クラスタツール装置内において、例
えばSOIウェーハを形成するための単一の解決方法、または装置を提供する。
更に本発明は、周囲状態に曝すことなくチャンバ設計内において遂行され、それ
によって例えば結合された基板が粒子に汚染されるのを防ぐことができる新しい
クリーニング及び結合技術を使用する。本発明は、例えば不適正格子基板上の“
シード”層から膜を成長させるエピタキシャルチャンバ、または分子ビームエピ
タキシー(“MBE”)をも提供する。更に本発明は、基板のスループットを高
め、SOIウェーハ等のような基板の製造に伴う総合コストを減少させるために
、クラスタツール配列内に結合されている高スループットPIIIツールを使用
する。これらの、及び他の恩恵に関して、以下に説明する。
【0010】 本発明は、既知のプロセス技術に関連してこれらの、及び他の恩恵を達成する
。しかしながら、本発明の本質及び長所は以下の説明及び添付図面を参照するこ
とによって実現することができる。
【0011】 (特定実施例の説明) 本発明は、クラスタツール配列に構成されている高容量PIIIツールを使用
して基板を製造する技術を提供する。詳述すれば、本発明は、絶縁体上のシリコ
ン基板を製造することができる単一の(または複数の)ツールを提供する。殆ど
の製造ステップが単一のツール上で行われるので、基板製造はより費用有効であ
り、また粒子汚染等も受けにくい。本発明についての特定の詳細は、以下のセク
ションにおいて説明する。読み易くするために、セクションを (1) PIIIを 使用するクラスタツール、(2) 絶縁体上のシリコン基板に類別する。
【0012】 1.PIIIを使用するクラスタツール 図1は、本発明によるPIIIを使用するクラスタツール10の簡易図である
。本図は単なる例示に過ぎず、特許請求の範囲を限定するものではない。当業者
には他の変形、変更、及び代替が明白であろう。クラスタツール10は、円形、
または丸くした環状形態に構成されている。即ち、ロボット20を含む転送チャ
ンバ14が中央領域内に配置され、それを複数のチャンバ(少なくとも、番号1
2、22、24、26、28、及び32によって示されている)が取り巻いてい
る。従ってロボット20は、1つまたは複数のウェーハ16を所望のアプリケー
ションに依存するチャンバの何れか1つへ挿入し、それから取り出すことができ
る。ロボット20は、ウェーハ16を保持するウェーハハンドラー18を含んで
いる。
【0013】 アプリケーションに依存して、チャンバは特定のプロセスレシピのために選択
される。詳述すれば、本クラスタツールは、例えば、SOIウェーハ並びに他の
基板及び集積回路を形成するために組合わせて使用することができるプラズマ侵
入型注入チャンバ12、制御されたへき開プロセスチャンバ22、プラズマクリ
ーンチャンバ24、及び結合チャンバ26を含むチャンバの新しい配列を使用す
る。クラスタツール10は、処理中のウェーハをロードし、処理済みのウェーハ
をアンロードするために使用される入力/出力チャンバ28を更に含む。付加的
なチャンバ32は、熱処理、化学蒸着(“CVD”)、物理蒸着(“PVD”)
、プラズマまたは反応性イオンエッチング、その他のようなさまざまな他の処理
を遂行することができる。勿論、本クラスタツールに使用されるチャンバの正確
な構成はアプリケーションに依存する。
【0014】 図2は、本発明の代替の実施の形態によるPIIIを使用するクラスタツール
10の簡易図である。本図は単なる例示に過ぎず、特許請求の範囲を限定するも
のではない。当業者には他の変形、変更、及び代替が明白であろう。理解し易く
するために、図1の参照番号の若干が図2の対応構成要素に使用されている。ク
ラスタツール200はインラインまたは線形形態に構成されている。即ち、ロボ
ット201を含む転送チャンバ201は、複数のチャンバ(番号12、22、2
4、26、28、32、及び203によって示されている)と平行整列されてい
る。従ってロボット20は、ハンドラー18上に載っている1つまたは複数のウ
ェーハ16を、所望のアプリケーションに依存するチャンバの何れか1つへ挿入
し、それから取り出すことができる。
【0015】 アプリケーションに依存して、チャンバは特定のプロセスレシピのために選択
される。詳述すれば、本クラスタツールは、例えばSOIウェーハその他の基板
及び集積回路を形成するために組合わせて使用することができるPIIIチャン
バ12、CCPチャンバ22、プラズマクリーンチャンバ24、及び結合チャン
バ26を含むチャンバの代替の、新しい配列を使用する。クラスタツール200
は、処理中のウェーハをロードし、処理済みのウェーハをアンロードするために
使用され、他のチャンバの反対側の中央に配置されている入力/出力チャンバ2
8を更に含む。付加的なチャンバ32及び203は、熱処理、CVD、PVD、
プラズマまたは反応性イオンエッチング、その他のようなさまざまな他の処理を
遂行することができる。勿論、本クラスタツールに使用されるチャンバの正確な
構成はアプリケーションに依存する。
【0016】 図3は、本発明のさらなる代替の実施の形態によるPIIIを使用するクラス
タツール300の簡易図である。本図は単なる例示に過ぎず、特許請求の範囲を
限定するものではない。当業者には他の変形、変更、及び代替が明白であろう。
クラスタツール300は、例えばSOIウェーハを製造するように構成されてい
る。理解し易くするために、先行図面の参照番号の若干が図3の対応構成要素に
使用されている。クラスタツール300は丸くされた、または円形配列に構成さ
れているが、インラインまたは線形形態に構成することもできる。
【0017】 この実施の形態においては、チャンバは、例えばSOIウェーハを形成するた
めの特定プロセスレシピのために選択されている。詳述すれば、このクラスタツ
ールは、PIIIチャンバ12、CCPチャンバ22、プラズマクリーンチャン
バ24、及び結合チャンバ26を使用している。クラスタツール300は、処理
中のウェーハをロードし、処理済みのウェーハをアンロードする入力/出力チャ
ンバ28も含んでいる。熱処理チャンバ303、CVDまたはPVDチャンバ3
07、プラズマまたは反応性イオンエッチングチャンバ301、その他のチャン
バも含んでいる。
【0018】 各クラスタツールは、電源、真空ポンプ、及び所望アプリケーションのための
薬品源に結合されている。好ましい実施の形態においては、チャンバを含む全ク
ラスタツールを真空にするために真空ポンプが使用されている。プラズマにエネ
ルギを供給する高周波電位を供給するために電源が使用されている。各クラスタ
ツールは、制御及びプログラムレシピに使用される主制御パネルも含んでいる。
詳述すれば、レシピがメモリ内に配置され、マイクロプロセッサ型のコンピュー
タアーキテクチャを使用して制御される。本発明によれば、コンピュータソフト
ウェアまたはプログラムの形状のいろいろなレシピを格納し、使用することがで
きる。以下に、これらの、及び他の特色に関して詳細に説明する。
【0019】 上述したクラスタツールはある数のチャンバ及びいろいろな型のチャンバを使
用するものとしたが、もし望むならば、どのような数のチャンバも、そして異な
る型でさえも使用することが可能である。例えば、チャンバの数は6またはそれ
以下まで、そして4またはそれ以下までさえ減らすことができる。更に、CVD
、PVD、及びエッチングのためのチャンバは、アプリケーションに依存して使
用しないこともできる。プロセスに依存して、例えばPIIIチャンバ及び結合
チャンバだけを含んでいることが望ましいこともあり得る。勿論、使用されるチ
ャンバの型及びそれらの構成はアプリケーションに依存する。しかしながら、各
チャンバの若干の詳細に関して以下に説明する。
【0020】 A.プラズマ侵入型イオン注入(“PIII”)チャンバ PIIIチャンバは、プラズマ侵入型イオン注入プロセスを行うアセンブリで
ある。単なる例としての図4は、クラスタツール内に統合することができる本発
明によるPIIIシステム400の簡易図である。PIIIシステム400は、
真空ポンプ(図示してない)に接続されている真空ポート418を有する真空チ
ャンバ414を含んでいる。システム400は、一連の誘電体窓426を含んで
おり、これらの窓はOリングによって真空封じされ、また取り外し可能なクラン プによって真空チャンバ414の上面422に取付けられている。これらの誘電
体窓426の若干には、高周波プラズマ源440が、外側シールド/接地内に配
置されているヘリカルまたはパンケーキアンテナ446を有するシステム内に取
り外し可能なように取付けられている。各アンテナの冷却は、アンテナを通して
冷却流体を通過させることによって達成される。典型的には、より高い電力の場
合にだけ冷却が要求される。高周波プラズマ源440が取付けられていない窓4
26は、チャンバ414内を覗くポートとして使用できる。各プラズマ源440
が取り外し可能になっているために、システム内の真空を破らずに組合わされて
いる誘電体窓426を掃除することも、またはプラズマ源440を取り外すこと
もできる。ガラス窓が使用されているが、石英またはポリエチレンのような他の
誘電体材料も窓材料として使用することができる。
【0021】 各アンテナ446は、マッチング回路網及び結合用コンデンサを通して高周波
発生器466に接続されている。各アンテナ446は、それぞれのアンテナ44
6と並列に接続されている同調用コンデンサ458をも含んでいる。各同調用コ
ンデンサ458は、コントローラ462からの信号によって制御される。同調用
コンデンサ458を個々に調整することによって、発生するプラズマの均一性を
維持するように各高周波アンテナ446からの出力電力を調整することができる
。アンテナへの電力を調整するために、ゼロ反射電力同調のような他の同調法も
使用することができる。高周波発生器466は、コントローラ462からの信号
Eによって制御される。コントローラ462は、マッチング回路網への信号Fに
よってアンテナ446への電力を制御する。システムは、ウェーハ467を保持
するサセプタ465をも含んでいる。このサセプタは、他の基板材料を保持する
こともできる。
【0022】 以下に単なる例として、例えば、本明細書が参照しているChung ChanのPCT
出願PCT/US96/11213に開示されているPIIIチャンバを説明する。要約すれば
、このPCT出願は、PIII装置が複数の源を含んでいるようなPIIIを使
用する非質量分離技術を開示している。従来のビーム・ライン注入に比して注入
の均一性を改善し、またコストを引き下げるために複数の源が使用されている。
更に、本PIIIチャンバを、イオンシャワー等のような非質量分離技術によっ
て置換することができる。
【0023】 特定の実施の形態においては、本PIIIチャンバはいろいろな処理技術を遂
行する。PIIIプロセスの1例が、図5の簡易断面図500に示されている。
この図は単なる例示に過ぎず、特許請求の範囲を限定するものではない。当業者
には他の変形、変更、及び代替が明白であろう。PIIIチャンバにおいては、
材料の薄膜と名付けた材料領域503の厚みを限定する選択された深さまで、シ
リコンウェーハ501の上面502を通して選択されたエネルギを持った粒子5
09が注入される。図示のように、粒子は選択された深さ(z0)において所望 の濃度511を有している。代替として、粒子は、例えばシリコンウェーハを通
して分布させることができる。更にまた、粒子はドーピングの目的に使用される
特定の濃度を有することができる。
【0024】 アプリケーションに依存して、いろいろな粒子を使用することができる。例え
ば、層転移プロセスにおいては、一般に小質量の粒子を選択して材料領域が損傷
する可能性を減少させる。即ち、小質量の粒子は、選択された深さまで基板材料
を通って容易に走行し、粒子が走行する材料領域を実質的に損傷させることがな
い。例えば、小質量の粒子(即ち、エネルギを持った粒子)は、殆どどのような
帯電した(例えば、正または負)、及び/または中性の原子または分子、または
電子等であることができる。特定の実施の形態においては、粒子は、水素及びそ
の同位元素のイオン、ヘリウム及びその同位元素及びネオンのような希ガスイオ
ンを含む中性の、及び/または帯電した粒子であることができる。粒子は、ガス
(例えば、水素ガス、水蒸気、メタン、及び他の水素化合物)のような化合物か
ら導出された粒子、及び他の軽い原子質量粒子であることもできる。代替として
粒子は、上述した粒子、及び/またはイオン、及び/または分子種、及び/また
は原子種のどのような組合わせであることもできる。ドーピングのような他の実
施の形態においては、一般的に粒子は、ホウ素担持粒子、燐担持粒子、砒素担持
粒子、その他のようなイオン種である。更に別の実施の形態においては、絶縁材
料は、酸素、窒素、水、及び他の種の注入によって形成させることができる。イ
オンシャワー、その他のような他の非質量分離技術も使用することができる。勿
論、使用される技術はアプリケーションに依存する。
【0025】 B.制御されたへき開プロセス(“CCP”)チャンバ CCPチャンバは、制御されたへき開プロセスを行うアセンブリである。CC
Pプロセスは、材料の膜を制御された技法でバルク基板から分離する。即ち、膜
を切離す、即ち分離させるために使用されるエネルギは過大でなく、それによっ
て実質的に滑らかな、そして均一に切離された膜が形成される。このプロセスは
、材料の膜をバルク基板から切離すためのエネルギ(または応力)を供給できる
アセンブリ内で行う。CCPプロセスの詳細に関しては、本明細書が参照してい
るHenleyらの暫定出願第60/046,276号(代理人ドケット第18419-000100)を参照
されたい。
【0026】 特定の実施の形態においては、CCPチャンバは、膜の一部分をバルク基板か
ら切離す、または分離させるために制御されたエネルギを使用する。図6−9は
、本発明の実施の形態によるCCPチャンバの簡易図である。これらの図は単な
る例示に過ぎず、特許請求の範囲を限定するものではない。当業者には他の変形
、変更、及び代替が明白であろう。本チャンバの動作を説明するために、へき開
エネルギの選択的位置決めを使用して注入された基板600の簡易断面図を例え
ば図6に示す。注入されたウェーハは、選択された深さ603において材料領域
612の制御されたへき開動作を行うための選択的なエネルギの配置、または位
置決め、またはターゲッティングのステップ601を受ける。好ましい実施の形
態においては、選択されたエネルギ配置607は、基板の選択された深さ603
の縁または隅領域付近で行われる。
【0027】 1つの、または複数のインパルスがエネルギ源611を使用して供給される。
源の例は、いろいろな源の中でも特に、化学的な源、機械的な源、電気的な源、
及び熱シンクまたは源を含む。化学的源は、粒子、流体、ガス、または液体のよ
うなさまざまなものを含むことができる。これらの化学的な源は、材料領域内の
応力を増加させるための化学反応を含むこともできる。化学的な源は、フラッド
、時間的な変化、空間的に変化、または連続として導入される。他の実施の形態
においては、機械的な源が、回転、並進、圧縮、膨張、または超音波エネルギか
ら導出される。機械的な源は、フラッド、時間的な変化、空間的に変化、または
連続として導入することができる。さらなる実施の形態においては、電気的な源
は、フラッド、時間的な変化、空間的に変化、または連続として導入される印加
電圧、または印加電磁場から選択される。更に別の実施の形態においては、熱源
またはシンクが、放射、対流、または伝導から選択される。この熱源は、さまざ
まな熱源の中から特に、光子ビーム、流体ジェット、液体ジェット、ガスジェッ
ト、電/磁場、電子ビーム、熱電加熱、炉等から選択することができる。熱シン
クは、流体ジェット、液体ジェット、ガスジェット、低温流体、過冷却液体、熱
電冷却手段、電/磁場、その他から選択することができる。先行実施の形態と同
様に、熱源はフラッド、時間的な変化、空間的に変化、または連続として印加さ
れる。更にまた、アプリケーションに依存して上述したどの実施の形態を組合わ
せることも、または分離することさえもできる。源の型に依存して、制御された
エネルギを基板に向けて望ましく導くように、源はチャンバ内、またはチャンバ
外に選択的に配置される。若干の実施の形態においては、エネルギは基板に向か
って空間的な技法、並びに絶対技法でも制御される。さらなる実施の形態におい
ては、基板から材料の膜を自由にするのに単一のパルスで十分である。勿論、使
用される源の型はアプリケーションに依存する。
【0028】 特定の実施の形態においては、エネルギ源は、本発明の実施の形態によって加
圧された(例えば、圧縮された)流体ジェットであることができる。図7は、本
発明の実施の形態による制御されたへき開プロセスを遂行するために使用される
流体ノズル608からの流体ジェットの簡易断面図である。流体ジェット607
(または、液体ジェットまたはガスジェット)は基板の縁領域に衝突して制御さ
れたへき開プロセスを開始する。圧縮された、または加圧された流体源からの流
体ジェットは、選択された深さ603におけるある領域に導かれ、例えば機械的
な、化学的な、熱的な力を使用して基板からある厚みの材料領域612をへき開
する。図示のように、流体ジェットは基板を、選択された深さ603において互
いに他から分離した領域609及び領域611を含む2つの領域に分離する。流
体ジェットは、基板600から材料612を分離させるための制御されたへき開
プロセスを開始させ、維持するように調整することもできる。アプリケーション
に依存して、所望の制御されたへき開プロセスを達成するように流体ジェットの
方向、位置、及び大きさを調整することができる。流体ジェットは、液体ジェッ
ト、またはガスジェット、または液体及びガスの組合わせであることができる。
更に、流体ジェットは、基板の周辺の周りに空間的に位置決めされている複数の
流体ジェット源であることができる。複数の流体ジェット源は、その厚みの材料
を制御された技法で除去するために、選択された技法でパルス化することができ
る。
【0029】 好ましい実施の形態においては、エネルギ源は、例えば圧縮された静的な流体
のような圧縮源であることができる。図8は、本発明の実施の形態による圧縮さ
れた流体源607の簡易断面図である。圧縮された流体源607(例えば、加圧
液体、加圧ガス)は、基板600の周辺または周囲を取り囲んでいるシールされ
たチャンバ621に印加される。図示のようにチャンバは、例えばOリング62 5等によってシールされ、基板の外縁を取り囲んでいるデバイス623によって
外囲されている。チャンバは、注入される材料の選択された深さにおいて制御さ
れたへき開プロセスを開始させるために基板600の縁領域に加えられるPCに 維持された圧力を有している。基板の外面または面は、例えば1気圧またはそれ
以下の周囲圧力であることができる圧力PAに維持されている。より高いチャン バ内の圧力と、周囲圧力との間には圧力差が存在する。この圧力差が、選択され
た深さ603における注入される領域に力を加える。選択された領域における注
入済みの領域は、どの結合された領域をも含む取り囲み領域よりも構造的に弱い
。力は、制御されたへき開プロセスが開始されるまで、圧力差を介して加えられ
る。制御されたへき開プロセスは、その厚みの材料609を基板材料611から
分離させ、選択された深さにおいてその厚みの材料609を基板材料611から
分割する。更に、圧力PCは、基板611からの“てこの作用”によって材料領 域612を分離させる。へき開プロセス中、チャンバ内の圧力は、制御されたへ
き開プロセスを開始させ、維持して材料612を基板600から分離させるよう
に調整することもできる。アプリケーションに依存して、所望の制御されたへき
開プロセスを達成するために圧力の大きさを調整することができる。流体圧は、
液体、またはガス、または液体とガスとの組合わせから導出することができる。
更に、流体ジェットは基板の周縁の周りに空間的に位置決めされている複数の流
体ジェット源であることができる。複数の流体ジェット源は、その厚みの材料を
制御された技法で除去するために選択された技法でパルス化することができる。
【0030】 特定の実施の形態において、本発明は、複数のエネルギ源を使用する制御され
た伝播へき開を提供する。図9に示すように、この制御された伝播へき開は、複
数の連続インパルスを使用してへき開プロセス700を開始させ、そして多分伝
播させる。この図は単なる例示に過ぎず、特許請求の範囲を限定するものではな
い。当業者には他の変形、変更、及び代替が明白であろう。図示のように、イン
パルスは基板の縁に導かれ、基板の中心に向かってへき開前端を伝播させて基板
から材料層を除去する。この実施の形態においては、源が複数のパルス(即ち、
パルス1、2、及び3)を連続的に基板に印加する。パルス1 701は基板の
縁703に導かれてへき開動作を開始させる。パルス2 705もパルス1の一
方の側の縁707に導かれ、へき開前端を膨張させる。パルス3 709は膨張
しているへき開前端に沿ってパルス1の反対縁711に導かれ、基板から材料層
を更に除去する。これらのインパルス、またはパルスの組合わせが、基板から材
料層の制御されたへき開動作713を与える。
【0031】 上述した実施の形態は、CCPチャンバプロセスの若干の例を記述している。
これらのCCPチャンバは、いろいろなハードウェア及び/またはソフトウェア
技術を使用して実現することができる。従って、この実施の形態が特許請求の範
囲を限定することを意図してはいない。当業者には他の変形、変更、及び代替が
明白であろう。
【0032】 C.結合チャンバ 結合チャンバは、ドナー及びレセプタウェーハを結合して多層にされた基板構
造を形成させるアセンブリである。結合チャンバは、ドナー及びレセプタウェー
ハを一時的に、または恒久的に結合させるためにいろいろな技術を使用すること
ができる。ウェーハを結合する若干の技術はHenleyらが記述しているが、限定さ
れるものではない。ウェーハの結合は、本明細書を通して記述されてもいるが、
最も注目に値するのは以下のものである。
【0033】 図10−15は、本発明による上記結合チャンバにおいて遂行することができ
る技術の簡易図である。これらの図は単なる例示に過ぎず、特許請求の範囲を限
定するものではない。図10は、下側サセプタ1503及び上側サセプタ150
1を示している。例えば上側サセプタは、図示のように正及び負のz方向に運動
することができる可動静電チャックである。プロセスの例は、ロボットによって
下側サセプタ上にレセプタ基板を配置することによって遂行される。上側サセプ
タが下向き方向(例えば、負のz方向)に運動し、レセプタ基板1507を拾い
上げてそれを図示の位置まで上方へ移動させる。レセプタ基板1507は酸化物
の膜1511を有し、膜1511は表面1515を有している。次にロボットは
ドナー基板1505を下側サセプタ上に配置する。ドナー基板1505は酸化物
の膜1509を含み、膜1509は表面1517を有している。2つの表面15
15及び1517をクランプする前に、プラズマクリーン1513動作が遂行さ
れ、表面を活性化する。プラズマクリーンプロセスは、酸素担持プラズマ、アル
ゴン担持プラズマ、その他のようないろいろなクリーニングプラズマを使用する
ことができる。二酸化炭素ガスその他を使用する低温クリーニングのような他の
乾式クリーニング法も使用することができる。プラズマクリーンプロセスは、爾
後の結合プロセスを改善する。
【0034】 次いで、例えば図11に示すように、上側及び下側サセプタが近づけられ、基
板の表面が合わされる。図示のように、1515が表面1517に接触する。こ
れら2つの表面の間に機械的な力または静電力が加えられ、ドナー基板とレセプ
タ基板との間に恒久的な、または一時的な結合が発生する。2つの基板を結合さ
せた後に上側サセプタはレセプタ基板を解放し、図12に示すように下側基板上
に結合されて多層にされた基板1701が残される。これによりクラスタツール
内のロボットは、もし望むならば、結合されて多層にされた基板をさらなる処理
のために拾い上げることができる。
【0035】 図13−15は、本発明による上記結合チャンバにおいて遂行することができ
る代替結合技術の簡易図である。これらの図は単なる例示に過ぎず、特許請求の
範囲を限定するものではない。図13は、結合チャンバ1800の簡易図であっ
て、ベース1813、第1の基板サイト1819、第2の基板サイト1815を
含んでいる。第1の基板サイト1819はドナー基板1803を含み、このドナ
ー基板は表面1809を有し、表面1809はその上に酸化物の膜1805を有
している。第2の基板サイト1815はレセプタ基板1801を含み、このレセ
プタ基板は表面1811を有し、表面1811はその上に酸化物の膜1807を
有している。これらのサイトは、回転可能なデバイス1817、即ち“ヒンジ”
によって互いに結合されており、“凪の貝殻”状に動作する。先行の実施の形態
と同様に、このチャンバ内においてプラズマクリーニングプロセスで表面181
1、1809を清浄にすることができる。
【0036】 基板の表面を互いに結合させるために、例えば図14に矢印で示すように、2
つのサイトを互いに他方に向けて運動させる。これら2つの表面間に機械的力ま
たは静電力を加えて、ドナー基板とレセプタ基板との間に恒久的な、または一時
的な結合を発生させる。2つの基板を結合させた後にサイト1815が基板18
01を解放し、例えば図15に示すように、2つのサイトはベースに向かって戻
るように下方へ運動する。図示のように、結合されて多層にされた基板1001
が第1の基板サイト1819上に存在する。クラスタツール内のロボットは、も
し望むならば、結合されて多層にされた基板をさらなる処理のために拾い上げる
ことができる。
【0037】 上述したプロセスは単なる例示に過ぎない。他の変形、変更、及び代替を遂行
することができる。これらの結合技術は、いろいろな技術の中でも特に、熱結合
、陽極結合、及びプラズマ強化結合を含む。勿論、使用される技術の型はアプリ
ケーションに依存する。
【0038】 D.プラズマクリーンチャンバ プラズマクリーンチャンバは、プラズマクリーニングプロセスを行うアセンブ
リである。このチャンバは、以下に説明するようなプラズマクリーン、またはス
トリッププロセスを遂行することができるどのようなプラズマチャンバであるこ
ともできる。特定の実施の形態においては、プラズマクリーンは、レセプタウェ
ーハとドナーウェーハとを結合するためのチャンバにおいて行われ、結合プロセ
スの清潔さを維持して結合性能を強化する。クリーニングチャンバは、選択性ク
リーニングプロセスを遂行するために、中性の、または帯電したプラズマの何れ
かを発生することができる。本クリーニングチャンバはPIIIプロセスをも強
化する。この場合には、PIIIプロセスは実質的に清潔な表面(不均一な注入
等を減少させる傾向がある)に対して遂行される。普通のどのようなクリーニン
グチャンバも使用することができる。
【0039】 E.熱処理チャンバ 熱処理チャンバは、熱処理(例えば、酸化)を行うアセンブリである。熱処理
チャンバは、広く知られ、使用されているものであることができる。例えば、熱
処理チャンバは、拡散チャンバ、急速熱焼鈍チャンバ、または他のどのようなチ
ャンバであることもできる。このチャンバは、例えばシリコン基板の表面を酸化
または加熱することができる。普通のどのような熱処理チャンバも使用すること
ができる。
【0040】 F.熱焼鈍チャンバ 熱焼鈍チャンバは、1つまたは複数のウェーハ、または1つまたは複数の膜を
焼鈍するアセンブリである。熱焼鈍チャンバは、広く知られ、使用されているも
のであることができる。例えば、熱処理チャンバは、拡散チャンバ、急速熱焼鈍
チャンバ、または他のどのようなチャンバであることもできる。このチャンバは
、例えばシリコン基板内の損傷を焼鈍することができる。熱焼鈍チャンバは不純
物をも打ち込むことができる。PIIIステップの後に、ベータ焼鈍ステップを
遂行することもできる。他のプロセスも遂行することができる。
【0041】 G.化学蒸着(“CVD”)チャンバ CVDチャンバは、堆積プロセスを行うアセンブリである。転送チャンバの周
りに、そしてそれに結合させた複数のCVDチャンバを構成することができる。
殆どの場合、CVDチャンバはチャンバ内の処理条件を保存するために環境から
シールされているが、環境に露出させることもできる。CVDチャンバは、半導
体薄膜、導電性薄膜、誘電体薄膜のようないろいろな薄膜を堆積させるために使
用することができる。
【0042】 H.物理蒸着(“PVD”)チャンバ PVDチャンバは、スパッタ堆積プロセスを行うアセンブリである。転送チャ
ンバの周りに、そしてそれに結合させた複数のPVDチャンバを構成することが
できる。PVDチャンバは、タングステン、チタン、窒化チタン、銅、アルミニ
ウムその他のような、いろいろな材料を堆積させることができる。使用されるP
VDチャンバは、アプリケーションに依存する。
【0043】 I.プラズマエッチングチャンバ プラズマエッチングチャンバは、反応性イオンエッチングプロセスを行うアセ
ンブリである。プラズマエッチングチャンバは、ECR、TCP、ICP、DP
Sその他のような、多くの設計の1つを有することができる。エッチングチャン
バは、金属層、ポリシリコン層、または誘電体層をエッチングすることができる
。使用されるエッチングチャンバは、アプリケーションに依存する。
【0044】 J.入力/出力チャンバ 入力/出力チャンバは、ウェーハを処理のためにクラスタツール内に入力し、
そして処理が完了した時にウェーハを転送チャンバから出力するアセンブリであ
る。入力/出力チャンバは、一般に、転送チャンバに結合されていてウェーハを
転送チャンバへ供給し、転送チャンバから供給する。転送チャンバは、Applied
Materials、Eaton、その他の会社によって製造されているようなクラスタツール
製品内に広く使用されている。
【0045】 K.エピタキシャル堆積チャンバ エピタキシャル堆積チャンバは、単結晶シリコンのようなエピタキシャル層を
堆積によってウェーハ上に形成させるアセンブリである。堆積チャンバは一般的
に、エピタキシャルシリコンの化学蒸着と呼ばれる技術を使用する。シリコンは
類似の、または類似していない材料上に堆積させることができる。堆積チャンバ
は、カリフォルニア州サンタクララのApplied Materials、またはアリゾナ州フ ェニックスのASMのような機械販売業者から選択されたチャンバであることがで きる。本クラスタツールは、1つまたはそれ以上の実施の形態において、このよ
うな堆積チャンバと組合わせることができる。
【0046】 2.絶縁体上のシリコン基板 本発明による絶縁体上のシリコン基板の製造プロセスを要約すれば、以下の通
りである。 1. クラスタツール内にドナー基板を準備し、 2. ドナー基板を熱処理炉チャンバ内に配置し、 3. ドナー基板の表面を覆う酸化物層を形成させ、 4. ドナー基板をPIIIチャンバ内へ移動させ、 5. PIIIチャンバにおける膜の厚みを限定するために、選択された深さま
でドナー基板内に粒子を導入し、 6. クラスタツール内にレセプタ基板を準備し、 7. レセプタ基板を熱処理炉チャンバ内に配置し、 8. レセプタ基板の表面を覆う酸化物層を形成させ、 9. 酸化物層を有するドナー基板及びレセプタ基板をクリーニングチャンバ内
に配置し、 10. 各基板のプラズマクリーニングを一緒に、または別個に遂行し、 11. 基板を結合チャンバ内に配置し、 12. 注入済みの面をレセプタ基板に接合させることによってドナー基板をレ セプタ基板に結合し、 13. 基板をCCPチャンバ内に配置し、 14. へき開動作を開始させることなく、選択された深さにおける注入済みの 領域のグローバル応力(または、エネルギ)を増加させ(オプション)、 15. 結合された基板の選択された領域に応力(または、エネルギ)を与え、 その選択された深さにおいて制御されたへき開動作を開始させ、 16. 結合された基板に付加的なエネルギを与えて制御されたへき開動作を持 続させ、その厚みのシリコン膜をシリコンウェーハから自由にし、 17. 制御されたへき開プロセスにより分離された材料の膜を除去し、ドナー 基板及びレセプタ基板からの膜を含む多層にされた基板を残し、 18. 多層にされた基板を最終結合チャンバ内に配置し、 19. 結合を完了させ、 20. もし必要ならば、多層にされた基板上のその厚みの膜の表面を研磨し、 そして 21. その厚みの膜上にエピタキシャル層を形成する(オプション)。 上記ステップのシーケンスは、多層にされた基板構造の1つまたは複数の選択さ
れた領域に加えられるエネルギを使用して制御されたへき開動作を開始させ、本
クラスタツール装置による1つまたは複数のへき開前端を形成させるステップを
提供する。この開始ステップは、基板に加えられるエネルギの量を制限すること
によって、へき開プロセスを制御された手法で開始させる。基板の選択された領
域に付加的なエネルギを加えてへき開動作を持続させ、へき開動作のさらなる伝
播を発生させることも、または開始ステップからのエネルギを使用してへき開動
作のさらなる伝播を発生させることもできる。ステップのこのシーケンスは単な
る例示であり、特許請求の範囲を限定するものではない。上記ステップのシーケ
ンスに関するさらなる詳細を、図面を参照して以下に説明する。
【0047】 図16−21は、本発明による絶縁体上のシリコン基板のための製造プロセス
を受けている基板の簡易断面図である。図16に示すように、プロセスはシリコ
ンウェーハ2100のような半導体基板を準備することから開始される。基板、
またはドナーは除去される材料領域2101を含み、この領域は材料から導出さ
れる薄い比較的均一な膜である。シリコンウェーハは、上面2103、下面21
05、及び厚み2107を含む。材料領域は、シリコンウェーハの厚み2107
内に厚み(z0)を含んでいる。オプションとして、基板の上面を誘電体層21 02(例えば、窒化シリコン、酸化シリコン、酸窒化シリコン)で覆う。このプ
ロセスは、本クラスタツール装置において絶縁体上のシリコンウェーハを製造す
るための以下のステップのシーケンスを使用して、材料領域2101を除去する
ための新しい技術を提供する。
【0048】 PIIIチャンバにおいて、シリコンウェーハの上面を通して選択された深さ
まで選択されたエネルギを持った粒子2109を注入し、材料の薄膜と名付けた
材料領域の厚みを限定する。図示のように、粒子は選択された深さ(z0)にお いて所望の濃度2111を有している。エネルギを持った粒子をシリコンウェー
ハ内に注入するために、いろいろな技術を使用することができる。これらの技術
は、例えばApplied Materials、Eaton Corporation、Varian、その他の会社が製
造しているビームラインイオン注入装置を使用するイオン注入を含む。好ましく
は、注入は、PIIIチャンバにおけるプラズマ侵入型イオン注入技術を使用し
て行う。勿論、使用される技術はアプリケーションに依存する。
【0049】 アプリケーションに依存して、材料領域の損傷の可能性を減少させるために、
一般的には小質量の粒子が選択される。即ち、小質量の粒子は、選択された深さ
まで基板材料を通って容易に走行し、粒子が横切る材料領域を実質的に損傷させ
ることがない。例えば、小質量の粒子(即ち、エネルギを持った粒子)は、殆ど
どのような帯電した(例えば、正または負)、及び/または中性の原子または分
子、または電子等であることができる。特定の実施の形態においては、粒子は、
水素及びその同位元素のイオン、ヘリウム及びその同位元素及びネオンのような
希ガスイオンを含む中性の、及び/または帯電した粒子であることができる。粒
子は、ガス(例えば、水素ガス、水蒸気、メタン、及び他の水素化合物)のよう
な化合物から導出した粒子、及び他の軽い原子質量粒子であることもできる。代
替として、粒子は、上述した粒子、及び/またはイオン、及び/または分子種、
及び/または原子種のどのような組合わせであることもできる。
【0050】 特定の実施の形態においては、注入済みのターゲットウェーハの焼鈍ステップ
が遂行される。好ましい実施の形態においては、本発明は、基板のグローバルエ
ネルギまたはビルトインエネルギを増加させるために熱源を使用する。前述した
ように、グローバルエネルギまたはビルトイン応力は増加するが、本発明による
へき開動作は開始されない。特定の実施の形態においては、シリコンウェーハは
このへき開動作を使用して分離することができる。へき開動作を開始させる前に
、PIIIチャンバ内に、及び上述したチャンバのような別のチャンバ内にも存
在させることができる例えば、炉、ホットプレート、フラッドランプのような熱
源を使用してウェーハを加熱する。この熱源は、ウェーハの温度を約450℃及び それ以上、または約500℃及びそれ以上まで増加させる。殆どの実施の形態にお いては、ウェーハは選択された温度まで加熱され、約1分またはそれ以下、また
は30秒またはそれ以下、または20秒またはそれ以下、または10秒またはそれ以下
、または数秒またはそれ以下の間維持される。ウェーハは、制御されたへき開動
作を開始させることなく、選択された注入済みの領域に対する損傷、または応力
を増加させるように加熱される。微小気泡も形成され得るが、一般的には選択さ
れた注入済みの領域に損傷を発生させるためには不要である。この実施の形態に
おいては、制御されたへき開動作が開始される前に、加熱されたウェーハは例え
ば20℃のような室温に戻ることが許される。ウェーハ温度が室温になってもウェ
ーハ内のビルトインエネルギのかなりな部分は残る。ウェーハは他の温度に維持
することもできる。基板を結合した後に焼鈍が行われるような実施の形態におい
ては、ベータ焼鈍ステップも基板間の、例えばターゲットとドナーとの間の結合
強度を改善する。
【0051】 プロセスは、図17に示すように、注入済みのシリコンウェーハを、加工片即
ちターゲットウェーハに接合するステップを使用する。加工片は、誘電体材料(
例えば、石英、ガラス、窒化シリコン、二酸化シリコン)、導電性材料(シリコ
ン、ポリシリコン、III/V族材料、金属)、及びプラスチック(例えば、ポリイ
ミドをベースとする材料)で作られているようなさまざまな他の型の基板である
こともできる。しかしながら、この例では加工片はシリコンウェーハである。
【0052】 特定の実施の形態においては、シリコンウェーハは、結合チャンバにおいて低
温熱ステップを使用して互いに接合、または融合させる。低温熱処理は、一般的
に注入された粒子が過大な応力を材料領域に加えないようにする(過大な応力が
加わるとへき開動作は制御できなくなる)。1つの面においては、低温結合プロ
セスは、自己結合プロセスによって遂行される。詳述すれば、一方のウェーハが
剥がされてそれから酸化が除かれる(または、一方のウェーハは酸化されない)
。ウェーハ表面上にO−H結合を形成させるために、クリーニング溶液でウェー
ハの表面を処理する。ウェーハを清潔にするために使用される溶液の例は、H22−H2SO4の混合体である。ドライヤーがウェーハ表面を乾燥させ、残留液 体または粒子をウェーハ表面から除去する。清潔にしたウェーハの面を酸化した
ウェーハの面に対して配置することによって、自己結合が発生する。
【0053】 好ましくは、自己結合プロセスは、プラズマクリーニングチャンバにおけるプ
ラズマクリーニングにより、結合されるウェーハ表面の一方を活性化することに
よって発生させる。詳述すれば、プラズマクリーニングは、アルゴン、アンモニ
ア、ネオン、水蒸気、及び酸素のようなガスから導出したプラズマを使用してウ
ェーハの表面を活性化する。活性化されたウェーハ表面2203は、酸化物のコ
ート2205をその上に有している他方のウェーハの面に対して配置される。こ
れらのウェーハは、露出したウェーハ面を有するサンドイッチされた構造になる
。一方のウェーハを他方に自己結合させるために、選択された量の力がウェーハ
の各露出面に加えられる。
【0054】 代替として、一方のウェーハを他方の上に結合するために、ウェーハ表面上に
配置した接着剤を使用する。接着剤は、エポキシ、ポリイミド型材料等を含む。
スピン・オン・ガラス層を使用して一方のウェーハ表面を、別のウェーハの面上
に結合することができる。これらのスピン・オン・ガラス(“SOG”)材料は
、いろいろな材料の中でも特に、アルコールをベースとする溶剤等と混合される
ことが多いシロキサンまたは珪酸塩を含む。SOGをウェーハの表面に塗布した
後にそれを硬化させるのに屡々必要な温度が低いので(例えば、150−250℃)、
SOGは望ましい材料である。
【0055】 代替として、他のいろいろな低温技術を使用してドナーウェーハをターゲット
ウェーハに接合することができる。例えば、静電結合技術を使用して2つのウェ
ーハを接合することができる。詳述すれば、一方または両方のウェーハを帯電さ
せて他方のウェーハ表面を引きつける。更に、広く知られているいろいろな技術
を使用して、ドナーウェーハをターゲットウェーハに融合させることができる。
勿論、使用される技術はアプリケーションに依存する。
【0056】 ウェーハを図18に示すようにサンドイッチ構造2300に結合した後に、本
方法は、基板材料を除去して絶縁体2305及びターゲットシリコンウェーハ2
201の上を覆う基板材料の薄膜2101を得るための制御されたへき開動作を
含む。制御されたへき開は、CCPチャンバにおいてエネルギ源によってドナー
及び/またはターゲットウェーハ上に選択的にエネルギ2301、2303を加
える、または位置決めする、またはターゲットにすることによって行われる。例
えば、1つまたは複数のエネルギインパルスを使用してへき開動作を開始させる
ことができる。1つまたは複数のインパルスは、いろいろなエネルギ源の中でも
特に、機械的源、化学的源、熱シンクまたは源、及び電気的源を含むエネルギ源
を使用して供給される。
【0057】 制御されたへき開動作は、前述した技術その他の何れかによって開始され、図
18に示されている。例えば、制御されたへき開動作を開始させるプロセスは、
エネルギ2301、2303を基板の選択された領域に供給して基板の選択され
た深さ(z0)において制御されたへき開動作を開始させ、その後に伝播へき開 前端を使用してへき開動作を行わせて基板材料の一部分を自由にし、基板から取
除く。特定の実施の形態においては、本方法は、前述したように単一のインパル
スを使用してへき開動作を開始させる。代替として、本方法は開始インパルスを
使用し、続いて基板の選択された領域に別のインパルス、または連続インパルス
を使用する。代替として、本方法は、へき開動作を開始させるインパルスを供給
し、基板に沿って走査されるエネルギによってへき開動作を維持させる。代替と
して、基板の選択された領域を横切ってエネルギを走査させて制御されたへき開
動作を開始、及び/または維持させることができる。
【0058】 オプションとして、基板材料のエネルギまたは応力をへき開動作を開始させる
ために必要なエネルギレベルに向かって増加させるが、本発明による基板に1つ
のインパルス、または複数の連続インパルスを印加する前はへき開動作を開始さ
せるには不十分である。化学的、機械的、熱的(シンクまたは源)、または電気
的のようないろいろな源を、単独または組合わせて使用して基板のグローバルエ
ネルギ状態を上昇または低下させることができる。化学的源は、粒子、流体、ま
たは液体であることができる。これらの源は、材料領域内の応力を増加させるた
めの化学反応を含むこともできる。化学的源は、フラッド、時間的な変化、空間
的に変化、または連続として導入される。他の実施の形態においては、機械的源
が、回転、並進、圧縮、膨張、または超音波エネルギから導出される。機械的源
は、フラッド、時間的な変化、空間的に変化、または連続として導入することが
できる。さらなる実施の形態においては、電気的源が、印加電圧または印加電磁
場から選択され、フラッド、時間的な変化、空間的に変化、または連続として導
入される。更に別の実施の形態においては、熱源またはシンクは、放射、対流、
または伝導から選択される。この熱源は、いろいろな源の中でも特に、光子ビー
ム、流体ジェット、液体ジェット、ガスジェット、電/磁場、ガスジェット、電
子ビーム、熱電加熱、及び炉から選択することができる。熱シンクは、流体ジェ
ット、液体ジェット、ガスジェット、低温流体、過冷却液体、熱電冷却手段、電
/磁場、その他から選択することができる。先行の実施の形態と同様に、熱源は
フラッド、時間的な変化、空間的に変化、または連続として印加される。また更
に、上述した実施の形態は何れも、アプリケーションに依存して組合わせること
も、または分離することさえもできる。勿論、使用される源の型はアプリケーシ
ョンに依存する。前述したように、グローバル源は、制御されたへき開動作を開
始させるためにエネルギを供給する前にはへき開動作を開始することなく、材料
領域内のエネルギまたは応力のレベルを増加させる。
【0059】 好ましい実施の形態においては、本方法は、温度を、基板内へ粒子を導入する
温度より低く維持する。若干の実施の形態においては、へき開動作の伝播を開始
させるためにエネルギを導入するステップ中、基板温度は−200乃至450℃に維持
される。基板温度は、400℃より低い、または350℃より低い温度に維持すること
もできる。好ましい実施の形態においては、本方法は、室温より十分に低い条件
において発生するへき開動作を開始させ、維持するために熱シンクを使用する。
【0060】 熱処理チャンバにおいては、図19に示すように、若干の実施の形態に従って
ターゲットウェーハと材料領域の薄膜との間に最終結合ステップが遂行される。
1つの実施の形態においては、一方のシリコンウェーハはその上を覆っている二
酸化シリコンの層を有しており、この層は材料の薄膜をクリーニングする前に面
を覆うように熱的に成長させられたものである。二酸化シリコンは、例えば化学
蒸着のようないろいろな他の技術を使用して形成させることもできる。ウェーハ
表面間の二酸化シリコンは、このプロセスにおいて熱的に融合される。
【0061】 若干の実施の形態においては、ターゲットウェーハ、または材料領域の薄膜(
ドナーウェーハから)の何れかからの酸化したシリコン表面は、更に押し付けら
れ、酸化雰囲気2401に曝される。酸化雰囲気は、水蒸気酸化、水素酸化等の
場合には拡散炉内にあることができる。圧力と酸化雰囲気とが組合わされて、2
つのシリコンウェーハは酸化物表面、または界面2305において互いに融合し
合う。これらの実施の形態は、高い温度(例えば、700℃)を必要とすることが 多い。
【0062】 代替として、2つのシリコン表面を互いに更に押しつけ、2つのウェーハの間
に電圧を印加する。印加電圧はウェーハの温度を上昇させ、ウェーハ間に結合を
誘起させる。この技術は、ウェーハ間に結合動作を開始させるのに機械的力を実
質的に必要としないので、結合プロセス中にシリコンウェーハ内に導入される結
晶欠陥の量を制限する。勿論、使用される技術はアプリケーションに依存する。
【0063】 これもまた図19に示すように、ウェーハを結合した後の絶縁体上のシリコン
はターゲット基板を有し、その基板をシリコン材料の薄膜が覆っており、またタ
ーゲット基板とシリコン薄膜との間にはサンドイッチされた酸化物層を有してい
る。シリコン材料の薄膜の切離された表面は粗く(2404)、仕上げが必要で
あることが多い。仕上げは、研削及び/または研磨技術の組合わせを使用して行
われる。若干の実施の形態においては、切離された表面を覆う例えば研磨材料を
回転させ、該表面から不完全性または表面粗さを除去するような技術を使用して
、切離された表面を研削するステップを遂行する。Discoと呼ばれる会社製の“ バックグラインダ”のような機械をこの技術に使用することができる。
【0064】 代替として、図20に示すように、化学機械的研磨または平坦化(“CMP”
)技術によって薄膜の切離された表面を仕上げる。CMPにおいては、回転プラ
テン2503に取付けられた研磨表面2501にスラリー混合体が直接塗布され
る。このスラリー混合体は、スラリー源に結合されているオリフィスによって研
磨表面に伝送することができる。スラリーは、研磨材、及び例えばH22、KI
3のような酸化材、硝酸第二鉄を含む溶液であることが多い。研磨剤は、ホウ 珪酸ガラス、二酸化チタン、窒化チタン、酸化アルミニウム、三酸化アルミニウ
ム、硝酸鉄、酸化セリウム、二酸化シリコン(コロイドシリカ)、窒化シリコン
、炭化シリコン、グラファイト、ダイヤモンド、及びそれらの何等かの混合体で
あることが多い。この研磨材は、脱イオン水及び酸化材等の溶液内で混合される
。好ましくは、溶液は酸性である。
【0065】 この酸溶液は、一般的に研磨プロセス中にウェーハからのシリコン材料と相互
作用する。研磨プロセスは、好ましくはポリウレタン研磨パッドを使用する。こ
の研磨パッドの例は、Rodelによって製造され、商品名IC-1000で販売されている
ものである。研磨パッドは選択された速度で回転する。薄膜を有するターゲット
ウェーハを拾い上げるキャリヤヘッドが、選択された量の圧力をターゲットウェ
ーハの裏側に印加し、選択された力を薄膜に加える。研磨プロセスは、図21に
示されているように、ほぼ選択された量の薄膜材料を除去し、爾後の処理のため
に比較的滑らかな薄膜表面2601を提供する。
【0066】 若干の実施の形態においては、酸化物の薄膜が、ターゲットウェーハを覆って
いる材料の薄膜上に存在している。この酸化物層は、上述したように熱焼鈍ステ
ップ中に形成され、材料の薄膜をターゲットウェーハに恒久的に結合させる。こ
れらの実施の形態においては、仕上げプロセスは最初に酸化物を除去するように
選択的に調整され、次に薄膜を研磨してプロセスが完了する。勿論、これらのス
テップのシーケンスは、特定のアプリケーションに依存する。
【0067】 以上にシリコンウェーハについて説明したが、他の基板も使用することができ
る。例えば、基板は殆どどのような単結晶質、多結晶質であることも、または非
晶質型基板であることさえできる。更に、基板は砒化ガリウム、窒化ガリウム(
GaN)、その他のようなIII/V属材料製であることができる。多層にされた基
板を、本発明により使用することもできる。多層にされた基板は、絶縁体上のシ
リコン基板、半導体基板上のいろいろなサンドイッチされた層、及び他の多くの
型の基板を含む。更に、上述した実施の形態は、概ね、CCPチャンバ内におい
て制御されたへき開動作を開始させるためにエネルギのパルスを供給するものと
している。このパルスは、制御されたへき開動作を開始させるために基板の選択
された領域を横切って走査されるエネルギによって置換することができる。エネ
ルギは、制御されたへき開動作を持続、または維持するために基板の選択された
領域を横切って走査させることもできる。当業者ならば、本発明によって使用す
ることができるさまざまな代替、変更、及び変化を容易に理解することができよ
う。
【0068】 以上に特定の実施の形態を完全に説明したが、いろいろな変更、代替構造及び
等価物を使用することができる。従って、以上の説明及び添付図面は、特許請求
の範囲によって限定されている本発明の範囲を限定するものではないことを理解
されたい。
【図面の簡単な説明】
【図1】 本発明の実施の形態によるPIIIを使用する装置を示す簡易図である。
【図2】 本発明の実施の形態によるPIIIを使用する装置を示す簡易図である。
【図3】 本発明の実施の形態によるPIIIを使用する装置を示す簡易図である。
【図4】 本発明の実施の形態によるPIIIの簡易図である。
【図5】 本発明の実施の形態によるPIIIの簡易図である。
【図6】 本発明の実施の形態によるCCPチャンバプロセスの簡易図である。
【図7】 本発明の実施の形態によるCCPチャンバプロセスの簡易図である。
【図8】 本発明の実施の形態によるCCPチャンバプロセスの簡易図である。
【図9】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図10】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図11】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図12】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図13】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図14】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図15】 本発明の実施の形態による他のチャンバプロセスの簡易図である。
【図16】 本発明によるSOIプロセスの簡易図である。
【図17】 本発明によるSOIプロセスの簡易図である。
【図18】 本発明によるSOIプロセスの簡易図である。
【図19】 本発明によるSOIプロセスの簡易図である。
【図20】 本発明によるSOIプロセスの簡易図である。
【図21】 本発明によるSOIプロセスの簡易図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/02 H01L 27/12 E 27/12 21/265 J F (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SZ,UG,ZW),EA(AM ,AZ,BY,KG,KZ,MD,RU,TJ,TM) ,AL,AM,AT,AU,AZ,BA,BB,BG, BR,BY,CA,CH,CN,CU,CZ,DE,D K,EE,ES,FI,GB,GE,GH,GM,HR ,HU,ID,IL,IS,JP,KE,KG,KP, KR,KZ,LC,LK,LR,LS,LT,LU,L V,MD,MG,MK,MN,MW,MX,NO,NZ ,PL,PT,RO,RU,SD,SE,SG,SI, SK,SL,TJ,TM,TR,TT,UA,UG,U S,UZ,VN,YU,ZW

Claims (31)

    【特許請求の範囲】
  1. 【請求項1】 絶縁体上のシリコン基板のためのクラスタツールプロセスで
    あって、上記プロセスは、 ドナー基板を準備するステップと、 上記ドナー基板を第1のチャンバ内に配置し、上記ドナー基板の表面を通して
    上記表面の下の選択された深さまで粒子を導入するステップと、 を含み、 上記選択された深さにおける上記粒子の濃度が、上記選択された深さより上の
    除去される基板材料を限定するようになっており、 上記プロセスは、更に、 上記ドナー基板を第2のチャンバ内に配置し、上記ドナー基板の上記表面がタ
    ーゲット基板の面と対面して多層にされた基板が形成されるように、上記ドナー
    基板を上記ターゲット基板に接合するステップと、 上記多層にされた基板を第3のチャンバ内に配置し、上記基板の選択された領
    域にエネルギを供給して上記基板の上記選択された深さにおいて制御されたへき
    (劈)開動作を開始させ、次いで、伝播へき開前端を使用して上記へき開動作を
    行って上記基板材料の一部分を自由にして上記基板から除去するステップと、 を含んでいることを特徴とするプロセス。
  2. 【請求項2】 上記導入ステップは、1回または複数回のビームラインイオ
    ン注入のステップであることを特徴とする請求項1に記載のプロセス。
  3. 【請求項3】 上記導入ステップは、1回または複数回のプラズマ侵入型イ
    オン注入のステップであることを特徴とする請求項1に記載のプロセス。
  4. 【請求項4】 上記粒子は、水素ガス、ヘリウムガス、水蒸気、メタン、及
    び水素化合物から導出された粒子、及び他の軽い原子質量粒子であることを特徴
    とする請求項1に記載のプロセス。
  5. 【請求項5】 上記粒子は、中性の、または帯電した分子、または原子から
    なるグループから選択されるか、または電子であることを特徴とする請求項1に
    記載のプロセス。
  6. 【請求項6】 上記粒子は、エネルギを持っていることを特徴とする請求項
    1に記載のプロセス。
  7. 【請求項7】 上記エネルギを持った粒子は、上記表面を通って上記表面の
    下の上記選択された深さまで貫通する十分な運動エネルギを有していることを特
    徴とする請求項6に記載のプロセス。
  8. 【請求項8】 上記エネルギを供給するステップは、上記制御されたへき開
    動作を維持させて上記基板材料を上記ドナー基板から除去し、材料の薄膜を生じ
    させることを特徴とする請求項1に記載のプロセス。
  9. 【請求項9】 上記エネルギを供給するステップは、上記基板材料内の制御
    された応力を増加させ、上記制御されたへき開動作を維持させて上記基板材料を
    上記ドナー基板から除去し、材料の薄膜を生じさせることを特徴とする請求項1
    に記載のプロセス。
  10. 【請求項10】 上記ドナー基板に付加的なエネルギを供給し、上記制御さ
    れたへき開動作を維持させて上記基板材料を上記ドナー基板から除去し、材料の
    薄膜を生じさせるステップを更に含んでいることを特徴とする請求項1に記載の
    プロセス。
  11. 【請求項11】 基板を処理するための装置であって、上記装置は、 ロボットを内蔵している転送チャンバと、 上記転送チャンバに結合されているプラズマ侵入型イオン注入チャンバ(“P
    III”)と、 上記転送チャンバに結合されている第2のチャンバと、 を備えていることを特徴とする装置。
  12. 【請求項12】 上記第2のチャンバは、CVDチャンバ、エッチングチャ
    ンバ、PVDチャンバ、熱焼鈍チャンバ、結合チャンバ、CMPチャンバ、熱処
    理チャンバ、エピタキシャル堆積チャンバ、プラズマ処理チャンバ、及び気相表
    面クリーニングチャンバからから選択されることを特徴とする請求項11に記載
    の装置。
  13. 【請求項13】 上記装置は、クラスタツールであることを特徴とする請求
    項11に記載の装置。
  14. 【請求項14】 上記PIIIチャンバは、複数の源を含んでいることを特
    徴とする請求項11に記載の装置。
  15. 【請求項15】 上記PIIIチャンバは、基板の表面を通して上記表面の
    下の選択された深さまで粒子を導入するために採用されており、上記選択された
    深さにおける上記粒子の濃度が、上記選択された深さより上の除去される基板材
    料を限定するようになっていることを特徴とする請求項11に記載の装置。
  16. 【請求項16】 上記PIIIチャンバは源に結合されており、上記源は、
    水素ガス、ヘリウムガス、水蒸気、メタン、及び水素化合物から導出された粒子
    、及び他の軽い原子質量粒子であることを特徴とする請求項11に記載の装置。
  17. 【請求項17】 上記第2のチャンバは、CCPチャンバであることを特徴
    とする請求項11に記載の装置。
  18. 【請求項18】 上記CCPチャンバは、基板の選択された領域にエネルギ
    を供給して制御されたへき開動作を開始させることを特徴とする請求項17に記
    載の装置。
  19. 【請求項19】 上記CCPチャンバは、熱源またはシンク、機械的源、化
    学的源、及び電気的源から選択されることを特徴とする請求項17に記載の装置
  20. 【請求項20】 上記化学的源は、粒子、流体、ガス、または液体から選択
    されることを特徴とする請求項19に記載の装置。
  21. 【請求項21】 上記化学的源は、化学反応を生じさせることを特徴とする
    請求項19に記載の装置。
  22. 【請求項22】 上記化学的源は、フラッド、時間的な変化、空間的に変化
    、または連続であることを特徴とする請求項19に記載の装置。
  23. 【請求項23】 上記化学的源は、回転源、並進源、圧縮源、膨張源、また
    は超音波源から選択されることを特徴とする請求項19に記載の装置。
  24. 【請求項24】 上記機械的源は、フラッド、時間的な変化、空間的に変化
    、または連続であることを特徴とする請求項19に記載の装置。
  25. 【請求項25】 上記電気的源は、印加される電圧、または印加される電磁
    場から選択されることを特徴とする請求項19に記載の装置。
  26. 【請求項26】 上記電気的源は、フラッド、時間的な変化、空間的に変化
    、または連続であることを特徴とする請求項19に記載の装置。
  27. 【請求項27】 上記熱源またはシンクは、放射、対流、または伝導から選
    択されることを特徴とする請求項19に記載の装置。
  28. 【請求項28】 上記熱源は、光子ビーム、流体ジェット、液体ジェット、
    ガスジェット、電/磁場、ガスジェット、電子ビーム、熱電加熱、及び炉から選
    択されることを特徴とする請求項19に記載の装置。
  29. 【請求項29】 上記熱シンクは、流体ジェット、液体ジェット、ガスジェ
    ット、低温流体、過冷却液体、熱電冷却手段、及び電/磁場から選択されること
    を特徴とする請求項19に記載の装置。
  30. 【請求項30】 上記熱源またはシンクは、フラッド、時間的な変化、空間
    的に変化、または連続から選択されることを特徴とする請求項19に記載の装置
  31. 【請求項31】 上記転送チャンバに結合されている第3のチャンバを更に
    備え、上記第3のチャンバは、CVDチャンバ、エッチングチャンバ、PVDチ
    ャンバ、熱焼鈍チャンバ、結合チャンバ、CMPチャンバ、熱処理チャンバ、エ
    ピタキシャル堆積チャンバ、及びプラズマ処理チャンバからから選択されること
    を特徴とする請求項11に記載の装置。
JP2000504917A 1997-07-29 1998-07-28 プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置 Pending JP2001511608A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US5413197P 1997-07-29 1997-07-29
US60/054,131 1997-07-29
PCT/US1998/015893 WO1999006110A1 (en) 1997-07-29 1998-07-28 Cluster tool method and apparatus using plasma immersion ion implantation

Publications (1)

Publication Number Publication Date
JP2001511608A true JP2001511608A (ja) 2001-08-14

Family

ID=21988979

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000504917A Pending JP2001511608A (ja) 1997-07-29 1998-07-28 プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置

Country Status (5)

Country Link
US (4) US6321134B1 (ja)
JP (1) JP2001511608A (ja)
AU (1) AU8675798A (ja)
GB (1) GB2343550A (ja)
WO (1) WO1999006110A1 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000223383A (ja) * 1999-02-02 2000-08-11 Canon Inc 分離装置、分離方法及び半導体基板の製造方法
JP2003185832A (ja) * 2001-12-17 2003-07-03 Ricoh Co Ltd 微粒子構造体および微粒子構造体の作成方法
JP2005508088A (ja) * 2001-10-26 2005-03-24 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置
JP2006210900A (ja) * 2004-12-28 2006-08-10 Shin Etsu Chem Co Ltd Soiウエーハの製造方法及びsoiウェーハ
WO2007074552A1 (ja) * 2005-12-27 2007-07-05 Shin-Etsu Chemical Co., Ltd. Soiウェーハの製造方法及びsoiウェーハ
WO2007074551A1 (ja) * 2005-12-27 2007-07-05 Shin-Etsu Chemical Co., Ltd. Soiウェーハの製造方法及びsoiウェーハ
WO2007074550A1 (ja) * 2005-12-27 2007-07-05 Shin-Etsu Chemical Co., Ltd. Soiウェーハの製造方法及びsoiウェーハ
JP2012039089A (ja) * 2010-06-22 2012-02-23 Soytec 半導体デバイス製造装置
JP2013115307A (ja) * 2011-11-30 2013-06-10 Sumitomo Electric Ind Ltd Iii族窒化物複合基板の製造方法
JP2015065479A (ja) * 2009-03-19 2015-04-09 ソニー株式会社 半導体装置とその製造方法、及び電子機器
KR101606454B1 (ko) * 2009-10-22 2016-03-25 주식회사 테스 기판 상에 박막을 형성하는 장치
US9319569B2 (en) 2009-03-19 2016-04-19 Sony Corporation Semiconductor device and method of manufacturing the same, and electronic apparatus
JP2019504493A (ja) * 2015-12-22 2019-02-14 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板の中へのドーパントの拡散の損傷のない増強
KR20190030747A (ko) * 2016-08-02 2019-03-22 소이텍 도너 기판에서 압전 층을 박리하기 위한 전기장의 사용
CN110249417A (zh) * 2017-02-10 2019-09-17 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
JP2022539695A (ja) * 2019-06-27 2022-09-13 アプライド マテリアルズ インコーポレイテッド プラズマ処理を統合したビームラインアーキテクチャ

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
EP0989593A3 (en) * 1998-09-25 2002-01-02 Canon Kabushiki Kaisha Substrate separating apparatus and method, and substrate manufacturing method
US6672358B2 (en) * 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP2000150836A (ja) 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
JP4343295B2 (ja) * 1998-11-06 2009-10-14 キヤノン株式会社 試料の処理システム
TW484184B (en) * 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
JP2000183139A (ja) 1998-12-17 2000-06-30 Hitachi Ltd イオン注入装置
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
CA2367204A1 (en) * 1999-03-19 2000-09-28 Electron Vision Corporation Cluster tool for wafer processing having an electron beam exposure module
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
JP2000349266A (ja) * 1999-03-26 2000-12-15 Canon Inc 半導体部材の製造方法、半導体基体の利用方法、半導体部材の製造システム、半導体部材の生産管理方法及び堆積膜形成装置の利用方法
JP2000353676A (ja) * 1999-06-14 2000-12-19 Disco Abrasive Syst Ltd 研削システム
FR2796491B1 (fr) * 1999-07-12 2001-08-31 Commissariat Energie Atomique Procede de decollement de deux elements et dispositif pour sa mise en oeuvre
US6458513B1 (en) * 1999-07-13 2002-10-01 Input/Output, Inc. Temporary bridge for micro machined structures
KR100363081B1 (ko) 1999-09-16 2002-11-30 삼성전자 주식회사 박막 형성장치
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
KR100343211B1 (ko) * 1999-11-04 2002-07-10 윤종용 웨이퍼 레벨 진공 패키징이 가능한 mems의 구조물의제작방법
JP2001148378A (ja) * 1999-11-22 2001-05-29 Tokyo Electron Ltd プラズマ処理装置、クラスターツールおよびプラズマ制御方法
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6730598B1 (en) * 1999-12-30 2004-05-04 Intel Corporation Integration of annealing capability into metal deposition or CMP tool
JP3946427B2 (ja) * 2000-03-29 2007-07-18 株式会社東芝 エピタキシャル成長用基板の製造方法及びこのエピタキシャル成長用基板を用いた半導体装置の製造方法
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
KR100397875B1 (ko) * 2000-05-18 2003-09-13 엘지.필립스 엘시디 주식회사 박막 트랜지스터 및 그 제조방법
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6645550B1 (en) * 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6346488B1 (en) * 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6495464B1 (en) * 2000-06-30 2002-12-17 Lam Research Corporation Method and apparatus for fixed abrasive substrate preparation and use in a cluster CMP tool
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
DE10040465A1 (de) * 2000-08-18 2002-03-07 Infineon Technologies Ag Prozessführung für eine Metall/Metall-Kontaktherstellung
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
FR2814678B1 (fr) * 2000-10-04 2002-12-20 Aventis Pharma Sa Association d'un antagoniste du recepteur cb1 et de sibutramine, les compositions pharmaceutiques les contenant et leur utilisation pour la traitement de l'obesite
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US8507361B2 (en) * 2000-11-27 2013-08-13 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US6461933B2 (en) * 2000-12-30 2002-10-08 Texas Instruments Incorporated SPIMOX/SIMOX combination with ITOX option
US6448152B1 (en) * 2001-02-20 2002-09-10 Silicon Genesis Corporation Method and system for generating a plurality of donor wafers and handle wafers prior to an order being placed by a customer
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
JP2002353423A (ja) 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び処理方法
JP2002353081A (ja) 2001-05-25 2002-12-06 Canon Inc 板部材の分離装置及び分離方法
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6488767B1 (en) * 2001-06-08 2002-12-03 Advanced Technology Materials, Inc. High surface quality GaN wafer and method of fabricating same
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
JP2003031639A (ja) * 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
JP2003045947A (ja) 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US6998353B2 (en) * 2001-11-05 2006-02-14 Ibis Technology Corporation Active wafer cooling during damage engineering implant to enhance buried oxide formation in SIMOX wafers
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US6884717B1 (en) 2002-01-03 2005-04-26 The United States Of America As Represented By The Secretary Of The Air Force Stiffened backside fabrication for microwave radio frequency wafers
JP3902027B2 (ja) * 2002-03-01 2007-04-04 大日本スクリーン製造株式会社 基板処理装置
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
WO2003103026A1 (en) * 2002-06-03 2003-12-11 Tien-Hsi Lee Methods for transferring a layer onto a substrate
US6589850B1 (en) * 2002-06-04 2003-07-08 Newport Fab, Llc Method and system for fabricating a bipolar transistor and related structure
US20080090425A9 (en) * 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US6742922B2 (en) * 2002-10-01 2004-06-01 Temple-Inland Forest Products Corporation Mixer for foamed gypsum products
FR2846146A1 (fr) * 2002-10-18 2004-04-23 St Microelectronics Sa Procede et installation de dopage d'un motif d'elements resistifs grave
KR100479308B1 (ko) * 2002-12-23 2005-03-28 삼성전자주식회사 기판상의 불순물을 포집하기 위한 장치 및 이를 이용한불순물 포집방법
EP1596427A4 (en) * 2003-02-19 2009-06-10 Panasonic Corp PROCESS FOR INTRODUCING CONTAMINATION
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6949451B2 (en) * 2003-03-10 2005-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7981779B2 (en) * 2003-10-09 2011-07-19 Panasonic Corporation Method for making junction and processed material formed using the same
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
JP2005166925A (ja) * 2003-12-02 2005-06-23 Tokyo Seimitsu Co Ltd ウェーハ加工方法およびウェーハ加工装置
US7645681B2 (en) * 2003-12-02 2010-01-12 Bondtech, Inc. Bonding method, device produced by this method, and bonding device
JP2005175028A (ja) * 2003-12-09 2005-06-30 Canon Inc プラズマ処理方法およびプラズマ処理装置
US20050183665A1 (en) * 2004-02-24 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7418640B2 (en) * 2004-05-28 2008-08-26 Synopsys, Inc. Dynamically reconfigurable shared scan-in test architecture
US20080194086A1 (en) * 2004-06-04 2008-08-14 Yuichiro Sasaki Method of Introducing Impurity
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7078317B2 (en) * 2004-08-06 2006-07-18 Silicon Genesis Corporation Method and system for source switching and in-situ plasma bonding
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7680559B2 (en) * 2005-02-08 2010-03-16 Lam Research Corporation Wafer movement control macros
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7162317B2 (en) * 2005-02-25 2007-01-09 Lam Research Corporation Methods and apparatus for configuring plasma cluster tools
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
EP1865537A1 (en) * 2005-03-30 2007-12-12 Matsushita Electric Industrial Co., Ltd. Impurity introduction apparatus and method of impurity introduction
TW200703462A (en) * 2005-04-13 2007-01-16 Univ California Wafer separation technique for the fabrication of free-standing (Al, In, Ga)N wafers
US7428915B2 (en) 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
KR100655445B1 (ko) * 2005-10-04 2006-12-08 삼성전자주식회사 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
TWI390603B (zh) * 2005-10-05 2013-03-21 Applied Materials Inc 用於磊晶薄膜形成的方法與裝置
EP1958245B1 (en) 2005-12-09 2013-10-16 Semequip, Inc. Method for the manufacture of semiconductor devices by the implantation of carbon clusters
JP2007227424A (ja) * 2006-02-21 2007-09-06 Sumco Corp Simoxウェーハの製造方法
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
US7375355B2 (en) * 2006-05-12 2008-05-20 Axcelis Technologies, Inc. Ribbon beam ion implanter cluster tool
US7583491B2 (en) * 2006-05-18 2009-09-01 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck to limit particle deposits thereon
US8057153B2 (en) * 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
WO2008108604A1 (en) * 2007-03-08 2008-09-12 Sosul Co., Ltd. Apparatus and method of processing substrates
US20080264332A1 (en) * 2007-04-25 2008-10-30 Fareed Sepehry-Fard Method, system, and apparatus for doping and for multi-chamber high-throughput solid-phase epitaxy deposition process
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8614124B2 (en) * 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US7670963B2 (en) * 2007-05-25 2010-03-02 Cypress Semiconductor Corportion Single-wafer process for fabricating a nonvolatile charge trap memory device
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US20090071371A1 (en) * 2007-09-18 2009-03-19 College Of William And Mary Silicon Oxynitride Coating Compositions
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7839499B2 (en) * 2008-02-13 2010-11-23 Los Alamos National Security, Llc Hydrogen sensor
US7727866B2 (en) * 2008-03-05 2010-06-01 Varian Semiconductor Equipment Associates, Inc. Use of chained implants in solar cells
KR20110042051A (ko) * 2008-06-11 2011-04-22 솔라 임플란트 테크놀로지스 아이엔씨. 주입을 사용하여 솔라 셀의 제작
US8410935B2 (en) * 2008-07-10 2013-04-02 Radarfind Corporation Rotatable tags for automated location and monitoring of moveable objects and related systems
FR2935536B1 (fr) * 2008-09-02 2010-09-24 Soitec Silicon On Insulator Procede de detourage progressif
KR20100033091A (ko) * 2008-09-19 2010-03-29 한국전자통신연구원 화학기상증착법에 의한 비정질 실리콘 박막의 증착방법
US20100108636A1 (en) * 2008-10-30 2010-05-06 Seagate Technology Llc Integrated Tool for Fabricating an Electronic Component
EP2200077B1 (en) * 2008-12-22 2012-12-05 Soitec Method for bonding two substrates
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
JP2012521642A (ja) * 2009-03-20 2012-09-13 インテバック・インコーポレイテッド 太陽電池及びその製造方法
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US7960242B2 (en) * 2009-04-14 2011-06-14 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
KR100977374B1 (ko) * 2009-08-03 2010-08-20 텔리오솔라 테크놀로지스 인크 대면적 박막형 cigs 태양전지 고속증착 및 양산장비, 그 공정방법
US8464419B2 (en) 2009-09-22 2013-06-18 Applied Materials, Inc. Methods of and factories for thin-film battery manufacturing
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
EP2339648A1 (en) * 2009-12-23 2011-06-29 Applied Materials, Inc. Enhanced passivation layer for wafer based solar cells, method and system for manufacturing thereof
EP2534674B1 (en) * 2010-02-09 2016-04-06 Intevac, Inc. An adjustable shadow mask assembly for use in solar cell fabrications
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8440541B2 (en) * 2010-02-25 2013-05-14 Memc Electronic Materials, Inc. Methods for reducing the width of the unbonded region in SOI structures
KR101043219B1 (ko) * 2010-04-05 2011-06-22 한국철강 주식회사 플렉서블 기판 또는 인플렉서블 기판을 포함하는 광기전력 장치의 제조 방법
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8338266B2 (en) 2010-08-11 2012-12-25 Soitec Method for molecular adhesion bonding at low pressure
FR2964193A1 (fr) 2010-08-24 2012-03-02 Soitec Silicon On Insulator Procede de mesure d'une energie d'adhesion, et substrats associes
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
KR101915753B1 (ko) 2010-10-21 2018-11-07 삼성디스플레이 주식회사 이온 주입 시스템 및 이를 이용한 이온 주입 방법
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9130238B2 (en) * 2011-06-10 2015-09-08 Applied Materials, Inc. Methods of and hybrid factories for thin-film battery manufacturing
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP6068491B2 (ja) 2011-11-08 2017-01-25 インテヴァック インコーポレイテッド 基板処理システムおよび基板処理方法
US8633458B2 (en) * 2011-11-15 2014-01-21 Gtat Corporation Ion implant apparatus and a method of implanting ions
CN103132055B (zh) * 2011-12-01 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 基片上料组件、基片装卸载装置和pecvd设备
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
JP5926794B2 (ja) * 2012-04-23 2016-05-25 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
JP5882939B2 (ja) * 2013-05-01 2016-03-09 東京エレクトロン株式会社 接合方法、接合装置および接合システム
US9040385B2 (en) * 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
KR102182791B1 (ko) * 2013-09-25 2020-11-26 에베 그룹 에. 탈너 게엠베하 기판 본딩 장치 및 방법
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US20150357203A1 (en) * 2014-06-05 2015-12-10 Macronix International Co., Ltd. Patterning method and patterning apparatus
US20160035563A1 (en) * 2014-08-01 2016-02-04 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for processing semiconductor wafers
TW201608622A (zh) * 2014-08-22 2016-03-01 Gtat公司 傳送基板的離子束剝離系統
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
CN108352298B (zh) * 2015-11-09 2023-04-18 应用材料公司 底部处理
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS62162498A (ja) 1986-01-11 1987-07-18 エルム工業株式会社 書類つづり穴補強片貼付機構を備えた書類パンチ
JPS62177909A (ja) 1986-01-31 1987-08-04 Hitachi Ltd 半導体装置の製造方法
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
JPH088250B2 (ja) 1989-06-15 1996-01-29 日本電気株式会社 Soi基板の作成方法及び作成装置
US5183775A (en) 1990-01-23 1993-02-02 Applied Materials, Inc. Method for forming capacitor in trench of semiconductor wafer by implantation of trench surfaces with oxygen
JPH03268444A (ja) 1990-03-19 1991-11-29 Fujitsu Ltd 半導体装置の製造方法
US5305221A (en) 1990-05-04 1994-04-19 Atherton Robert W Real world modeling and control process for integrated manufacturing equipment
US5311028A (en) 1990-08-29 1994-05-10 Nissin Electric Co., Ltd. System and method for producing oscillating magnetic fields in working gaps useful for irradiating a surface with atomic and molecular ions
US5296272A (en) 1990-10-10 1994-03-22 Hughes Aircraft Company Method of implanting ions from a plasma into an object
WO1993018201A1 (en) 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5427638A (en) 1992-06-04 1995-06-27 Alliedsignal Inc. Low temperature reaction bonding
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5580429A (en) * 1992-08-25 1996-12-03 Northeastern University Method for the deposition and modification of thin films using a combination of vacuum arcs and plasma immersion ion implantation
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
US5498290A (en) 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
JPH07106512A (ja) 1993-10-04 1995-04-21 Sharp Corp 分子イオン注入を用いたsimox処理方法
TW296534B (ja) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5476691A (en) * 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
US5554249A (en) * 1994-02-28 1996-09-10 Tokyo Electron Limited Magnetron plasma processing system
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5661043A (en) * 1994-07-25 1997-08-26 Rissman; Paul Forming a buried insulator layer using plasma source ion implantation
EP0871843B1 (en) 1994-10-17 2003-05-14 Varian Semiconductor Equipment Associates Inc. Mounting member and method for clamping a flat thin conductive workpiece
US5641707A (en) 1994-10-31 1997-06-24 Texas Instruments Incorporated Direct gas-phase doping of semiconductor wafers using an organic dopant source of phosphorus
US5554853A (en) 1995-03-10 1996-09-10 Krytek Corporation Producing ion beams suitable for ion implantation and improved ion implantation apparatus and techniques
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
CN1132223C (zh) 1995-10-06 2003-12-24 佳能株式会社 半导体衬底及其制造方法
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5985742A (en) * 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5856674A (en) 1997-09-16 1999-01-05 Eaton Corporation Filament for ion implanter plasma shower
US6083324A (en) * 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6132289A (en) * 1998-03-31 2000-10-17 Lam Research Corporation Apparatus and method for film thickness measurement integrated into a wafer load/unload unit

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000223383A (ja) * 1999-02-02 2000-08-11 Canon Inc 分離装置、分離方法及び半導体基板の製造方法
JP2005508088A (ja) * 2001-10-26 2005-03-24 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 統合処理システムにおけるプラズマドーピング及びイオン注入のための方法及び装置
JP2003185832A (ja) * 2001-12-17 2003-07-03 Ricoh Co Ltd 微粒子構造体および微粒子構造体の作成方法
JP2006210900A (ja) * 2004-12-28 2006-08-10 Shin Etsu Chem Co Ltd Soiウエーハの製造方法及びsoiウェーハ
WO2007074552A1 (ja) * 2005-12-27 2007-07-05 Shin-Etsu Chemical Co., Ltd. Soiウェーハの製造方法及びsoiウェーハ
WO2007074551A1 (ja) * 2005-12-27 2007-07-05 Shin-Etsu Chemical Co., Ltd. Soiウェーハの製造方法及びsoiウェーハ
WO2007074550A1 (ja) * 2005-12-27 2007-07-05 Shin-Etsu Chemical Co., Ltd. Soiウェーハの製造方法及びsoiウェーハ
KR100972213B1 (ko) * 2005-12-27 2010-07-26 신에쓰 가가꾸 고교 가부시끼가이샤 Soi 웨이퍼의 제조 방법 및 soi 웨이퍼
US9451131B2 (en) 2009-03-19 2016-09-20 Sony Corporation Semiconductor device and method of manufacturing the same, and electronic apparatus
US20210091133A1 (en) 2009-03-19 2021-03-25 Sony Corporation Semiconductor device and method of manufacturing the same, and electronic apparatus
JP2015065479A (ja) * 2009-03-19 2015-04-09 ソニー株式会社 半導体装置とその製造方法、及び電子機器
US11764243B2 (en) 2009-03-19 2023-09-19 Sony Corporation Semiconductor device and method of manufacturing the same, and electronic apparatus
US9319569B2 (en) 2009-03-19 2016-04-19 Sony Corporation Semiconductor device and method of manufacturing the same, and electronic apparatus
KR101606454B1 (ko) * 2009-10-22 2016-03-25 주식회사 테스 기판 상에 박막을 형성하는 장치
JP2012039089A (ja) * 2010-06-22 2012-02-23 Soytec 半導体デバイス製造装置
US9138980B2 (en) 2010-06-22 2015-09-22 Soitec Apparatus for manufacturing semiconductor devices
JP2013115307A (ja) * 2011-11-30 2013-06-10 Sumitomo Electric Ind Ltd Iii族窒化物複合基板の製造方法
JP2019504493A (ja) * 2015-12-22 2019-02-14 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板の中へのドーパントの拡散の損傷のない増強
US11744154B2 (en) 2016-08-02 2023-08-29 Soitec Use of an electric field for detaching a piezoelectric layer from a donor substrate
JP2019527937A (ja) * 2016-08-02 2019-10-03 ソイテックSoitec ドナー基板から圧電層を剥離するための電界の使用
KR102200791B1 (ko) 2016-08-02 2021-01-12 소이텍 도너 기판에서 압전 층을 박리하기 위한 전기장의 사용
KR20190030747A (ko) * 2016-08-02 2019-03-22 소이텍 도너 기판에서 압전 층을 박리하기 위한 전기장의 사용
KR20190108176A (ko) * 2017-02-10 2019-09-23 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
CN110249417A (zh) * 2017-02-10 2019-09-17 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
CN110249417B (zh) * 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
KR102619574B1 (ko) * 2017-02-10 2023-12-28 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
KR20240005999A (ko) * 2017-02-10 2024-01-12 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
KR102663833B1 (ko) 2017-02-10 2024-05-03 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
JP2022539695A (ja) * 2019-06-27 2022-09-13 アプライド マテリアルズ インコーポレイテッド プラズマ処理を統合したビームラインアーキテクチャ
JP7495436B2 (ja) 2019-06-27 2024-06-04 アプライド マテリアルズ インコーポレイテッド プラズマ処理を統合したビームラインアーキテクチャ

Also Published As

Publication number Publication date
GB0002400D0 (en) 2000-03-22
US6207005B1 (en) 2001-03-27
WO1999006110A1 (en) 1999-02-11
US6321134B1 (en) 2001-11-20
GB2343550A (en) 2000-05-10
US20020098713A1 (en) 2002-07-25
AU8675798A (en) 1999-02-22
US6153524A (en) 2000-11-28

Similar Documents

Publication Publication Date Title
JP2001511608A (ja) プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置
US6162705A (en) Controlled cleavage process and resulting device using beta annealing
US6291313B1 (en) Method and device for controlled cleaving process
KR100709689B1 (ko) 에피택셜 공정을 사용한 soi 기판의 표면 마무리 방법
US6582999B2 (en) Controlled cleavage process using pressurized fluid
US6248649B1 (en) Controlled cleavage process and device for patterned films using patterned implants
EP0995227A1 (en) A controlled cleavage process
US20070122997A1 (en) Controlled process and resulting device
US20050247668A1 (en) Method for smoothing a film of material using a ring structure
US9159605B2 (en) Controlled process and resulting device