EP1309990A1 - Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process - Google Patents

Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process

Info

Publication number
EP1309990A1
EP1309990A1 EP00954102A EP00954102A EP1309990A1 EP 1309990 A1 EP1309990 A1 EP 1309990A1 EP 00954102 A EP00954102 A EP 00954102A EP 00954102 A EP00954102 A EP 00954102A EP 1309990 A1 EP1309990 A1 EP 1309990A1
Authority
EP
European Patent Office
Prior art keywords
solvent
carbon dioxide
supercritical
supercritical carbon
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP00954102A
Other languages
German (de)
French (fr)
Inventor
William H. Mullee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of EP1309990A1 publication Critical patent/EP1309990A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • the present invention relates to the field of removal of photoresist and photoresist residue from semiconductor wafers. More particularly, the present invention relates to the field of removal of photoresist and photoresist residue from semiconductor wafers using supercritical carbon dioxide.
  • Manufacture of semiconductor devices requires application and subsequent removal of a photoresist chemical from a surface of a semiconductor wafer.
  • the removal of the photoresist chemical commonly known as stripping, may be immediately preceded by a plasma ashing, etching, or other semiconductor manufacturing step. These steps can degrade or carbonize the photoresist chemical and leave a photoresist residue that is difficult to remove by current stripping methods.
  • the current stripping methods require that the wafers be dipped into baths of commercially available chemical mixtures known as strippers.
  • the baths may employ heat or ultrasonic augmentation.
  • the baths employ immersion times of twenty to thirty minutes to achieve complete removal of photoresist or photoresist residue from the wafer surface.
  • the present invention is a method of removing a photoresist or a photoresist residue from a semiconductor substrate.
  • the semiconductor substrate with the photoresist or the photoresist residue on a surface of the semiconductor substrate is placed within a pressure chamber.
  • the pressure chamber is then pressurized.
  • Supercritical carbon dioxide and a stripper chemical are introduced into the pressure chamber.
  • the supercritical carbon dioxide and the stripper chemical are maintained in contact with the photoresist or the photoresist residue until the photoresist or the photoresist residue is removed from the semiconductor substrate.
  • the pressure chamber is then flushed and vented.
  • supercritical CO 2 carries organic or inorganic chemicals or a combination of the organic and inorganic chemicals into the pressure chamber, which is heated and pressurized.
  • the organic or inorganic chemicals or a combination of the organic and inorganic chemicals interacts with resist, resist residues, and organic contaminants on the wafer surface and carries these materials and remaining chemicals out of the chamber.
  • FIG. 1 is a flow chart illustrating the steps of a method of the present invention.
  • Fig. 2 is a fragmentary cross-sectional view of a pre-processed semiconductor wafer supporting several material layers.
  • Fig. 3 is a schematic diagram showing chambers, pipes, and valves of a simplified resist removal system in accordance with the present invention.
  • Fig. 4 is a flow diagram showing a simplified sequence of process steps of a resist removal system in accordance with the present invention.
  • FIG. 5 in a fragmentary cross-sectional view of the wafer of Fig. 2 subsequent to a resist removal step in accordance with the present invention.
  • Fig. 6 presents a table showing a few examples of tests performed to remove photoresist from a wafer.
  • the preferred embodiment of the present invention utilizes the high solvency and cleaning characteristics of supercritical carbon dioxide to assist in the stripping process of photoresist or photoresist residue. Only a small fraction of a stripper chemical is required to affect the stripping process compared to the prior art.
  • the supercritical carbon dioxide carries the stripper chemical onto the wafer to be cleaned and is then recycled back to a carbon dioxide compressor for reuse.
  • the stripper chemical is typical of chemicals found in commercially available stripper products.
  • the high degree of solvency and solubilizing ability provided by the supercritical carbon dioxide enhances the removal of the photoresist or the photoresist residue.
  • the high solubilizing ability provided by the supercritical carbon dioxide is well known to science and has been exploited in numerous other applications, for example in cleaning of metal parts.
  • the supercritical carbon dioxide effectively carries a small amount of the stripper chemical onto sub-micron surface features of modern semiconductor devices because diffusivity and viscosity of the supercritical carbon dioxide is similar to a gas phase and because density of the supercritical carbon dioxide is nearly equal to a liquid phase.
  • the supercritical carbon dioxide also carries away the photoresist, or the photoresist residue, and remaining stripper chemical from the surface of the wafer. Thus, it is possible to use the small amount of the stripper chemical to perform the stripping process and to also carry away remaining chemicals and residue.
  • FIG. 1 A wafer with the photoresist or the photoresist residue is placed in a pressure chamber in a first process step 220.
  • the pressure chamber is sealed and pressurized with carbon dioxide in a second process step 222.
  • the carbon dioxide becomes liquid and then reaches supercritical temperature and supercritical pressure.
  • Typical process conditions range from 20 to 70 °C and from 1,050 to 6,000 psig.
  • the small amount of the stripper chemical is introduced into a supercritical carbon dioxide stream and thus added into the pressure chamber in a third process step 224.
  • a volume ratio of the stripper chemical to the supercritical carbon dioxide is preferably 0.1 to 15.0 v/v %.
  • the stripper chemical is preferably selected from the group consisting N-methyl pyrrolidone, monoethanol amine, di- isopropyl amine, tri-isopropyl amine, diglycol amine, hydroxyl amine, catechol, and a mixture thereof. Monoethanol amine, hydroxyl amine, and catechol have only marginal utility.
  • Processing continues with recirculation of the supercritical carbon dioxide and with mixing of the supercritical carbon dioxide and the stripper chemical within the pressure chamber in a fourth process step 226.
  • the fourth process step 226 continues until the photoresist or the photoresist residue is removed from the wafer, typically from 3 to 15 minutes.
  • the pressure chamber is then flushed with pure supercritical carbon dioxide or liquid carbon dioxide to remove traces of the remaining chemicals in a fifth process step 228.
  • the pressure chamber is vented to atmosphere and the wafer is removed in a sixth process step 230.
  • An optional final process step rinses the wafer with deionized or ultra-pure water.
  • the supercritical carbon dioxide in combination with the small amount of the stripper chemical greatly enhances the removal of the photoresist, or the photoresist residue, from surfaces of semiconductor devices.
  • the amount of the stripper chemical required to effectively remove the photoresist or the photoresist residue from the wafer is reduced significantly by using supercritical carbon dioxide compared to the prior art wet chemical stripping methods.
  • An amount of hazardous chemical waste generated as a result of using the supercritical carbon dioxide and the stripper chemical is significantly less than the prior art wet chemical stripping methods.
  • the supercritical carbon dioxide and the stripper chemical eliminates a need for the prior art wet chemical stripping methods along with using large amounts of chemicals and expensive wet baths. Also, the supercritical carbon dioxide and the stripper chemical remove traces of organic contamination from the wafer.
  • a small amount of an organic solvent is added to the supercritical carbon dioxide and the stripper chemical.
  • the organic solvent is preferably selected from the group consisting of alcohols, ethers, and glycols. The organic solvent enhances removal of the traces of the organic contamination from the wafer.
  • Fig. 2 is a fragmentary cross-sectional view of a pre-processed semiconductor wafer 10 supporting a variety of layers.
  • semiconductor wafer 10 typically comprimises a silicon or ceramic substrate 12 that supports one or more metallic layers 14 that may be protected by one or more alternating passivation or other layers 16.
  • Layers 14 and 16 form an elevationally varied surface 18 that is typically covered with a resist layer 20 and subjected to a photolithographic process to create featurs 22 (not shown to scale).
  • Conventional features 22, such as vias, line widths, or ptiches may be as small as 0.25 ⁇ m and smaller with aspect ratios of of depth 24 to width 26 that are greater than 5:1 or greater than or equal to 10:1.
  • resist layer 20 may be a remnant from a prior lithographic or other circuit fabrication process and may have subsequently undergone etching, plasma ashing, or semiconductor manufacturing steps.
  • the resist may, therefore, include sidewall polymer residue or carbonaceous residue left after any of these techniques.
  • resist layer 20 may be newly applied to protect layers 14 and 16 during a processing operation on the back side of wafer 10, such as during marking, etching, or grinding or as a blanket protection during ion implantation.
  • wafer 10 may be partly or completely covered with a resist material, resist residue, or a contaminant from a subsequent process.
  • the resist material is typically a positive or negative photoresist used for a photolithographic process.
  • Photoresist materials include, but are not limited to Novolak (M-Cresol formaldehyde) or etch-resistant poly coatings such as plu isoprene, poly-(methyl isopropenyl) or etch-resistant poly coatings such as poly isoprene, poly-(methyl isopropenyl ketone) (PMIPK), or polymethyl methacrylayte (PMMA).
  • the resist material need not be a photoresist and may compromise any form of resist material with or without photosenthisizers.
  • Fig. 3 is a schematic diagram of a simplified resist removal system 30 of the present invention
  • Fig. 4 is a flow diagram of a simplified resist removal process 32 according to the present invention. With the reference to Figs.
  • removal process 32 is preferably initiated by activating heat exchanger 34 to reduce the temperature of coolant flowing through cold trap 36.
  • system pre-heating step 38 brings pressure vessel 40, including wafer chamber 42, and solvent chambers 44 and 46 to a preferred operating temperature of 45 to 65 °C prior to the arrival of wafer 10.
  • the pressure vessel 40 may alternatively be maintained at a preferred processing temerature to facilitate throughput, or the temperature may be gradually increased to from ambient temperature after wafer 10 enters pressure vessel 40 to reduce stress on wafer 10 or semiconductor devices or features 22 fabricated on wafer 10.
  • electrical resistance heaters are preferably built into the walls of vessel 40 and chamber 44 and 46 to perform heating step 38, skilled persons will appreciate that other conventionally available heating techniques could be employed.
  • electrical resistance tape may be wrapped around all or some of the connecting lines, such as line 43 between pump 92 and vessel 40 and lines 45 and 47 between respective chambers 44 and 46 and line 43, to maintain the temperature of parts of system 30 at or near the temperature of vessel 40 and chambers 44 and 46.
  • Wafer placement step 48 employs manual or automatic conventional wafer handling techniques to place one or more wafers 10 into wafer chamber 42 in pressure vessel 40.
  • Wafer(s) may be oriented horizontally or vertically and supported by clips, electrostatic or vacum chucks, or other methods well known to skilled practitioners.
  • Pressure vessel 40 may include one or more wafer airlocks, may comprise of a gasket-mated two-piece vessel with a stationary portion and hydraullically raised and lowered portion, or may be sealed by other mechanisms.
  • Purging step 50 that purges solvent chambers 44 and 46 and pressure vessel 40 with fluid CO 2 , preferably gaseous CO 2 , preferably begins with all valves 60, 61, 62, 64, 66, 67, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 87, and 88 in a closed position.
  • CO 2 tank valve 60 is opened to allow fluid CO 2i preferably liquid CO 2 , to flow from CO 2 tank 90 to pressure regulating valve 61 that ensures that the pressure is preferably greater than 750 pounds per square inch gauge pressure above ambient atmospheric pressure (psig).
  • Vent valve 62 and pump valve 64 are preferably sequentially opened to allow CO 2 into into pump 92 and through vent 94.
  • Valve 66 allows compressed air from compressed air source 96 to reach pressure regulating valve 67 that is set to ensure a pressure of between 50 to 90 psig, and preferably 60 to 80 psig.
  • CO 2 is allowed to flow out vent 94 by cycling pump 92 for preferably at least five seconds, prior to preferably sequentially opening valves 68, 70, 72, and 74 to purge solvent chamber 44; sequentially opening valves 76, 78, 80, and 82 to purge solvent chamber 46; and sequentially opening valves 84, 86, 88 and 87 to purge pressure vessel 40 through vent 98, cold trap 36 or exhaust 100.
  • valves 88, 84, 78, 82, 74, and 70 are preferentially sequentially closed.
  • the system pressure is then preferably adjusted between 1,000 and 2,000 psig, and preferably between 1,000 and 1,500 psig by controlling the pumping rate at pressure regulating valve 61 and by adjusting the back pressure regulator 112.
  • Back pressure regulator 112 is positioned between pressure vessel 40 and exhaust 100 and allows line 113 to be depressurized to ambient atmospheric pressure.
  • the CO 2 system flow is also preferably set to between 0.5 and 20 liters per minute (LPM), and more preferably between 3 to 6 LPM.
  • step 114 can be performed any time after step 50 and before step 122 with the proper sequence of valve control.
  • Pressurizing system step 120 involves increasing the pressure of CO 2 in the system to between 2,000 and 6,000 psig, more preferably between 2,500 and 4,500 psig, and most preferably between 3,000 and 3,500 psig by adjusting back pressure valve 112.
  • Other generally preferred conditions for the resist removal process of the present invention range from 10 to 80°C and 750 to 6000 psig, and preferably from 40 to 70°C and 1050 to 4500 psig.
  • valve 70 is opened and valve 68 is closed in solvent introduction step 122 to force the CO 2 stream to flow through solvent loop 116 and solvent chamber 44 to introduce a small amount of one or more chemicals into the supercritical CO 2 stream and into pressure vessel 40.
  • the CO 2 flow rate may be reduced to 0.5 LPM, for example, to increase the chemical residence time in pressure vessel 40.
  • the preferred types of chemicals include: N- Methyl Pyrrolidone (NMP), diglycol amine, hydroxyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF 3 , BF 3 , other fluorine containing chemicals, or a mixture of any of the above chemicals.
  • NMP N- Methyl Pyrrolidone
  • diglycol amine diglycol amine
  • hydroxyl amine hydroxyl amine
  • tertiary amines catechol
  • ammonium fluoride ammonium bifluoride
  • methylacetoacetamide ozone
  • propylene glycol monoethyl ether acetate acetylacetone
  • dibasic esters ethyl
  • the organic solvent may include, for example, and alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IP A).
  • DMSO dimethyl sulfoxide
  • IP A isopropanol
  • Resist removal step 130 allows the supercritical CO 2 to carry the solvents into pressure vessel 49 and into contact with the resist, residue, or other contaminants on wafer 10.
  • the supercritical CO 2 can be recirculated through recirculation loop 133 to pressure vessel 49 until resist layer 20 is removed.
  • Cold trap 36 removes chemicals from the depressurized CO 2 gas in line 113, and heat exchanger 34 along loop 133 cools the CO 2 to a liquid before it reaches pump 92.
  • Resist removal step 130 is accomplished in preferably ten seconds to 15 minutes, and more preferably from 30 seconds to ten minutes, and most preferably from 30 seconds to three minutes.
  • Valves 70 and 74 are closed and valve 68 is opened to bypass solvent chamber 44 for closing solvent chamber step 132.
  • a second set of solvent introduction, resist removal, and closing solvent chamber steps 122, 130, and 132 are performed in connection with solvent chamber 46.
  • Valve 78 in opened and valve 76 is closed to force the CO 2 stream to flow through loop 118 and chamber 46 to introduce a second chemical or group of chemicals into the CO 2 stream and into pressure vessel 40.
  • the second resist removal step 130 may employ the same or different chemical(s) employed in the first removal step 130 and and may be conducted for a same or different time period. Then valves 82 and 78 and closed and valve 76 is opened to bypass solvent chamber 46.
  • valve 136 is closed and valve 87 is open, and each set of steps 122, 130 and 132 is performed in ten seconds to one minute without solvent recirculation.
  • a 2.5 ⁇ m-thick resist layer 20 can be removed from the surface of an 6", 8" or 300 mm diameter wafer 10 with two removal steps 130 of less than 30 seconds each.
  • each wafer 10 or group of wafers 10 can be processed in less than one minute.
  • Pressure vessel 40 is then flushed for five to thirty seconds, with supercritical CO 2 and/or liquid CO 2 to remove all traces of remaining chemicals. Finally, presure vessel 40 is depressurized in step 134 by closing valves 66 and 60 and opeing valves 62, 74, 82, 84 and 87 to vent the system atmosphere.
  • system 30 preferably includes one directional check values 142, 144, 146, 148, 150, and 151 to ensure the direction of flow indicated in the flow lines of Fig. 3. Skilled persons will also appreciate that system 30 preferably includes pressure gauges 152, 154, 156, 158, 160, 162, and 164 that may be monitored so that pump 92 or back pressure regulating values may be adjusted manually or by computer as needed.
  • Fig. 5 is a fragmentary cross section view showing wafer 140 following step 134 without resist layer 20.
  • wafer(s) 140 are then preferably removed and rinsed with deionized (DI) or ultra pure water to finish the cleaning process.
  • DI deionized
  • Fig. 6 presents a table showing a few examples of tests performed to remove photoresist from a wafer 10. Electron micrographs of wafers 10 subjected to these trials exhibited surface of resulting stripped wafers 140 that were substantially free of photoresist or its residue.
  • the method of the present invention eliminates the requirement for a carbonizing or ashing process prior to resist removal, substantially reducing the cost, equipment, and process time conventionally needed for resist removal.
  • the method of the present invention outperforms conventional resist removal processes to the extent that it eliminates the need for a conventional post-stripping cleaning step such as a "piranha" bath employing hazardous chemicals. Furthermore, the relatively small amount of chemicals utilized by the method of the present invention offers tremendous cost savings over conventional techniques and chemical baths. Finally, the method of the present invention facilitates increased wafer throughput.

Abstract

A method of removing a photoresist or a photoresist residue from a semiconductor substrate is disclosed. The semiconductor substrate with the photoresist or the photoresist residue on a surface of the semiconductor substrate is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a stripper chemical are introduced to the pressure chamber. The supercritical carbon dioxide and the stripper chemical are maintained in contact with the photoresist or the photoresist residue until the photoresist or the photoresist residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented. In an alternative embodiment, supercritical CO2 carries organic or inorganic chemicals or a combination of the organic and inorganic chemicals into the pressure chamber. The organic or inorganic chemicals or a combination of the organic and inorganic chemicals interacts with resist, resist residues, and organic contaminants on the wafer surface and carry these materials and remaining chemicals out of the chamber.

Description

REMOVAL OF PHOTORESIST AND PHOTORESIST RESIDUE
FROM SEMICONDUCTORS USING SUPERCRITICAL
CARBON DIOXIDE PROCESS
FIELD OF THE INVENTION
The present invention relates to the field of removal of photoresist and photoresist residue from semiconductor wafers. More particularly, the present invention relates to the field of removal of photoresist and photoresist residue from semiconductor wafers using supercritical carbon dioxide.
BACKGROUND OF THE INVENTION
Manufacture of semiconductor devices requires application and subsequent removal of a photoresist chemical from a surface of a semiconductor wafer. The removal of the photoresist chemical, commonly known as stripping, may be immediately preceded by a plasma ashing, etching, or other semiconductor manufacturing step. These steps can degrade or carbonize the photoresist chemical and leave a photoresist residue that is difficult to remove by current stripping methods. The current stripping methods require that the wafers be dipped into baths of commercially available chemical mixtures known as strippers. The baths may employ heat or ultrasonic augmentation. Typically, the baths employ immersion times of twenty to thirty minutes to achieve complete removal of photoresist or photoresist residue from the wafer surface.
What is needed is a more effective method of removing photoresist and photoresist residue.
What is needed is a more efficient method of removing photoresist and photoresist residue.
SUMMARY OF THE INVENTION
The present invention is a method of removing a photoresist or a photoresist residue from a semiconductor substrate. The semiconductor substrate with the photoresist or the photoresist residue on a surface of the semiconductor substrate is placed within a pressure chamber. The pressure chamber is then pressurized. Supercritical carbon dioxide and a stripper chemical are introduced into the pressure chamber. The supercritical carbon dioxide and the stripper chemical are maintained in contact with the photoresist or the photoresist residue until the photoresist or the photoresist residue is removed from the semiconductor substrate. The pressure chamber is then flushed and vented. In an alternative embodiment of the present invention, supercritical CO2 carries organic or inorganic chemicals or a combination of the organic and inorganic chemicals into the pressure chamber, which is heated and pressurized. The organic or inorganic chemicals or a combination of the organic and inorganic chemicals interacts with resist, resist residues, and organic contaminants on the wafer surface and carries these materials and remaining chemicals out of the chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a flow chart illustrating the steps of a method of the present invention.
Fig. 2 is a fragmentary cross-sectional view of a pre-processed semiconductor wafer supporting several material layers.
Fig. 3 is a schematic diagram showing chambers, pipes, and valves of a simplified resist removal system in accordance with the present invention.
Fig. 4 is a flow diagram showing a simplified sequence of process steps of a resist removal system in accordance with the present invention.
Fig. 5 in a fragmentary cross-sectional view of the wafer of Fig. 2 subsequent to a resist removal step in accordance with the present invention.
Fig. 6 presents a table showing a few examples of tests performed to remove photoresist from a wafer.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
The preferred embodiment of the present invention utilizes the high solvency and cleaning characteristics of supercritical carbon dioxide to assist in the stripping process of photoresist or photoresist residue. Only a small fraction of a stripper chemical is required to affect the stripping process compared to the prior art. In the preferred embodiment of the present invention, the supercritical carbon dioxide carries the stripper chemical onto the wafer to be cleaned and is then recycled back to a carbon dioxide compressor for reuse. The stripper chemical is typical of chemicals found in commercially available stripper products. The high degree of solvency and solubilizing ability provided by the supercritical carbon dioxide enhances the removal of the photoresist or the photoresist residue. The high solubilizing ability provided by the supercritical carbon dioxide is well known to science and has been exploited in numerous other applications, for example in cleaning of metal parts.
Solvency of the supercritical carbon dioxide increases with pressure. The supercritical carbon dioxide effectively carries a small amount of the stripper chemical onto sub-micron surface features of modern semiconductor devices because diffusivity and viscosity of the supercritical carbon dioxide is similar to a gas phase and because density of the supercritical carbon dioxide is nearly equal to a liquid phase. The supercritical carbon dioxide also carries away the photoresist, or the photoresist residue, and remaining stripper chemical from the surface of the wafer. Thus, it is possible to use the small amount of the stripper chemical to perform the stripping process and to also carry away remaining chemicals and residue.
The preferred embodiment of the present invention is illustrated in Fig. 1. A wafer with the photoresist or the photoresist residue is placed in a pressure chamber in a first process step 220. The pressure chamber is sealed and pressurized with carbon dioxide in a second process step 222. As pressure inside the pressure chamber increases, the carbon dioxide becomes liquid and then reaches supercritical temperature and supercritical pressure. Typical process conditions range from 20 to 70 °C and from 1,050 to 6,000 psig.
When desired process conditions are reached, the small amount of the stripper chemical is introduced into a supercritical carbon dioxide stream and thus added into the pressure chamber in a third process step 224. A volume ratio of the stripper chemical to the supercritical carbon dioxide is preferably 0.1 to 15.0 v/v %. The stripper chemical is preferably selected from the group consisting N-methyl pyrrolidone, monoethanol amine, di- isopropyl amine, tri-isopropyl amine, diglycol amine, hydroxyl amine, catechol, and a mixture thereof. Monoethanol amine, hydroxyl amine, and catechol have only marginal utility.
Processing continues with recirculation of the supercritical carbon dioxide and with mixing of the supercritical carbon dioxide and the stripper chemical within the pressure chamber in a fourth process step 226. The fourth process step 226 continues until the photoresist or the photoresist residue is removed from the wafer, typically from 3 to 15 minutes. The pressure chamber is then flushed with pure supercritical carbon dioxide or liquid carbon dioxide to remove traces of the remaining chemicals in a fifth process step 228. Finally, the pressure chamber is vented to atmosphere and the wafer is removed in a sixth process step 230. An optional final process step rinses the wafer with deionized or ultra-pure water.
Use of the supercritical carbon dioxide in combination with the small amount of the stripper chemical greatly enhances the removal of the photoresist, or the photoresist residue, from surfaces of semiconductor devices. The amount of the stripper chemical required to effectively remove the photoresist or the photoresist residue from the wafer is reduced significantly by using supercritical carbon dioxide compared to the prior art wet chemical stripping methods. An amount of hazardous chemical waste generated as a result of using the supercritical carbon dioxide and the stripper chemical is significantly less than the prior art wet chemical stripping methods. The supercritical carbon dioxide and the stripper chemical eliminates a need for the prior art wet chemical stripping methods along with using large amounts of chemicals and expensive wet baths. Also, the supercritical carbon dioxide and the stripper chemical remove traces of organic contamination from the wafer.
In a first alternative embodiment of the present invention, a small amount of an organic solvent is added to the supercritical carbon dioxide and the stripper chemical. The organic solvent is preferably selected from the group consisting of alcohols, ethers, and glycols. The organic solvent enhances removal of the traces of the organic contamination from the wafer.
Fig. 2 is a fragmentary cross-sectional view of a pre-processed semiconductor wafer 10 supporting a variety of layers. With reference to Fig. 2, semiconductor wafer 10 typically comprimises a silicon or ceramic substrate 12 that supports one or more metallic layers 14 that may be protected by one or more alternating passivation or other layers 16. Layers 14 and 16 form an elevationally varied surface 18 that is typically covered with a resist layer 20 and subjected to a photolithographic process to create featurs 22 (not shown to scale). Conventional features 22, such as vias, line widths, or ptiches, may be as small as 0.25 μm and smaller with aspect ratios of of depth 24 to width 26 that are greater than 5:1 or greater than or equal to 10:1.
In accordance with the present invention, resist layer 20 may be a remnant from a prior lithographic or other circuit fabrication process and may have subsequently undergone etching, plasma ashing, or semiconductor manufacturing steps. The resist may, therefore, include sidewall polymer residue or carbonaceous residue left after any of these techniques. Alternatively resist layer 20 may be newly applied to protect layers 14 and 16 during a processing operation on the back side of wafer 10, such as during marking, etching, or grinding or as a blanket protection during ion implantation. Thus, skilled persons will appreciate that wafer 10 may be partly or completely covered with a resist material, resist residue, or a contaminant from a subsequent process. The resist material is typically a positive or negative photoresist used for a photolithographic process. Photoresist materials include, but are not limited to Novolak (M-Cresol formaldehyde) or etch-resistant poly coatings such as plu isoprene, poly-(methyl isopropenyl) or etch-resistant poly coatings such as poly isoprene, poly-(methyl isopropenyl ketone) (PMIPK), or polymethyl methacrylayte (PMMA). The resist material need not be a photoresist and may compromise any form of resist material with or without photosenthisizers. Fig. 3 is a schematic diagram of a simplified resist removal system 30 of the present invention, and Fig. 4 is a flow diagram of a simplified resist removal process 32 according to the present invention. With the reference to Figs. 3 and 4, removal process 32 is preferably initiated by activating heat exchanger 34 to reduce the temperature of coolant flowing through cold trap 36. Then, system pre-heating step 38 brings pressure vessel 40, including wafer chamber 42, and solvent chambers 44 and 46 to a preferred operating temperature of 45 to 65 °C prior to the arrival of wafer 10. Skilled persons will appreciate that the pressure vessel 40 may alternatively be maintained at a preferred processing temerature to facilitate throughput, or the temperature may be gradually increased to from ambient temperature after wafer 10 enters pressure vessel 40 to reduce stress on wafer 10 or semiconductor devices or features 22 fabricated on wafer 10. Although electrical resistance heaters are preferably built into the walls of vessel 40 and chamber 44 and 46 to perform heating step 38, skilled persons will appreciate that other conventionally available heating techniques could be employed. Skilled persons will also appreciate that that electrical resistance tape may be wrapped around all or some of the connecting lines, such as line 43 between pump 92 and vessel 40 and lines 45 and 47 between respective chambers 44 and 46 and line 43, to maintain the temperature of parts of system 30 at or near the temperature of vessel 40 and chambers 44 and 46.
Wafer placement step 48 employs manual or automatic conventional wafer handling techniques to place one or more wafers 10 into wafer chamber 42 in pressure vessel 40. Wafer(s) may be oriented horizontally or vertically and supported by clips, electrostatic or vacum chucks, or other methods well known to skilled practitioners. Pressure vessel 40 may include one or more wafer airlocks, may comprise of a gasket-mated two-piece vessel with a stationary portion and hydraullically raised and lowered portion, or may be sealed by other mechanisms.
Purging step 50 that purges solvent chambers 44 and 46 and pressure vessel 40 with fluid CO2, preferably gaseous CO2, preferably begins with all valves 60, 61, 62, 64, 66, 67, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 87, and 88 in a closed position. CO2 tank valve 60 is opened to allow fluid CO2i preferably liquid CO2, to flow from CO2 tank 90 to pressure regulating valve 61 that ensures that the pressure is preferably greater than 750 pounds per square inch gauge pressure above ambient atmospheric pressure (psig). Vent valve 62 and pump valve 64 are preferably sequentially opened to allow CO2 into into pump 92 and through vent 94. Valve 66 allows compressed air from compressed air source 96 to reach pressure regulating valve 67 that is set to ensure a pressure of between 50 to 90 psig, and preferably 60 to 80 psig. CO2 is allowed to flow out vent 94 by cycling pump 92 for preferably at least five seconds, prior to preferably sequentially opening valves 68, 70, 72, and 74 to purge solvent chamber 44; sequentially opening valves 76, 78, 80, and 82 to purge solvent chamber 46; and sequentially opening valves 84, 86, 88 and 87 to purge pressure vessel 40 through vent 98, cold trap 36 or exhaust 100.
To accomplish pressure vessel purging step 110, valves 88, 84, 78, 82, 74, and 70 are preferentially sequentially closed. The system pressure is then preferably adjusted between 1,000 and 2,000 psig, and preferably between 1,000 and 1,500 psig by controlling the pumping rate at pressure regulating valve 61 and by adjusting the back pressure regulator 112. Back pressure regulator 112 is positioned between pressure vessel 40 and exhaust 100 and allows line 113 to be depressurized to ambient atmospheric pressure. The CO2 system flow is also preferably set to between 0.5 and 20 liters per minute (LPM), and more preferably between 3 to 6 LPM.
After valves 70, 74, 78, and 80 are closed, solvents can be introduced in solvent chambers 44 and 46 through respective valves 72 and 80 to accomplish solvent chamber filling up step 114. Valves 72 and 80 are subsequently closed before valves 74 and 82 are opened to allow solvent loops 116 and 118 to achieve the instantaneous system pressure. Skilled persons will appreciate that step 114 can be performed any time after step 50 and before step 122 with the proper sequence of valve control.
Pressurizing system step 120 involves increasing the pressure of CO2 in the system to between 2,000 and 6,000 psig, more preferably between 2,500 and 4,500 psig, and most preferably between 3,000 and 3,500 psig by adjusting back pressure valve 112. Other generally preferred conditions for the resist removal process of the present invention range from 10 to 80°C and 750 to 6000 psig, and preferably from 40 to 70°C and 1050 to 4500 psig. When the desired conditions are reached, valve 70 is opened and valve 68 is closed in solvent introduction step 122 to force the CO2 stream to flow through solvent loop 116 and solvent chamber 44 to introduce a small amount of one or more chemicals into the supercritical CO2 stream and into pressure vessel 40. The CO2 flow rate may be reduced to 0.5 LPM, for example, to increase the chemical residence time in pressure vessel 40.
In asecond alternative embodiment, the preferred types of chemicals include: N- Methyl Pyrrolidone (NMP), diglycol amine, hydroxyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF3, BF3, other fluorine containing chemicals, or a mixture of any of the above chemicals. Optionally, one or more of these chemicals or mixture of chemicals may be introduced into into system 30 as described above from the same or a different solvent chamber(s) 44 and 46. Other chemicals such as an organic solvent may be used independently or added to one or more of the above chemicals to remove organic contaminants from the wafer surface. The organic solvent may include, for example, and alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IP A). Although convetionally large amounts of chemicals or mixtures of chemicals in an amount the is less than 15% vc/vv, where vc is the liquid volume of the chemical and vv is the volume of pressure vessel 40. Preferably less than a few millimeters of chemicals are employed for each resist removal step 130; however, larger amounts can be used.
Resist removal step 130 allows the supercritical CO2 to carry the solvents into pressure vessel 49 and into contact with the resist, residue, or other contaminants on wafer 10. The supercritical CO2 can be recirculated through recirculation loop 133 to pressure vessel 49 until resist layer 20 is removed. Cold trap 36 removes chemicals from the depressurized CO2 gas in line 113, and heat exchanger 34 along loop 133 cools the CO2 to a liquid before it reaches pump 92. Resist removal step 130 is accomplished in preferably ten seconds to 15 minutes, and more preferably from 30 seconds to ten minutes, and most preferably from 30 seconds to three minutes. Valves 70 and 74 are closed and valve 68 is opened to bypass solvent chamber 44 for closing solvent chamber step 132.
In a third alternative embodiment, a second set of solvent introduction, resist removal, and closing solvent chamber steps 122, 130, and 132 are performed in connection with solvent chamber 46. Valve 78 in opened and valve 76 is closed to force the CO2 stream to flow through loop 118 and chamber 46 to introduce a second chemical or group of chemicals into the CO2 stream and into pressure vessel 40. The second resist removal step 130 may employ the same or different chemical(s) employed in the first removal step 130 and and may be conducted for a same or different time period. Then valves 82 and 78 and closed and valve 76 is opened to bypass solvent chamber 46. In a fourth alternative embodiment, valve 136 is closed and valve 87 is open, and each set of steps 122, 130 and 132 is performed in ten seconds to one minute without solvent recirculation. A 2.5 μm-thick resist layer 20 can be removed from the surface of an 6", 8" or 300 mm diameter wafer 10 with two removal steps 130 of less than 30 seconds each. Thus, each wafer 10 or group of wafers 10 can be processed in less than one minute.
Pressure vessel 40 is then flushed for five to thirty seconds, with supercritical CO2 and/or liquid CO2 to remove all traces of remaining chemicals. Finally, presure vessel 40 is depressurized in step 134 by closing valves 66 and 60 and opeing valves 62, 74, 82, 84 and 87 to vent the system atmosphere.
Skilled persons will apreciate that system 30 preferably includes one directional check values 142, 144, 146, 148, 150, and 151 to ensure the direction of flow indicated in the flow lines of Fig. 3. Skilled persons will also appreciate that system 30 preferably includes pressure gauges 152, 154, 156, 158, 160, 162, and 164 that may be monitored so that pump 92 or back pressure regulating values may be adjusted manually or by computer as needed.
Fig. 5 is a fragmentary cross section view showing wafer 140 following step 134 without resist layer 20. For convenience, certain features of Fig. 5 that correspond to features of Fig. 2 have been designated with the same reference numbers. With reference to Fig. 5, wafer(s) 140 are then preferably removed and rinsed with deionized (DI) or ultra pure water to finish the cleaning process.
Fig. 6 presents a table showing a few examples of tests performed to remove photoresist from a wafer 10. Electron micrographs of wafers 10 subjected to these trials exhibited surface of resulting stripped wafers 140 that were substantially free of photoresist or its residue.
Skilled persons will appreciate that the method of the present invention eliminates the requirement for a carbonizing or ashing process prior to resist removal, substantially reducing the cost, equipment, and process time conventionally needed for resist removal.
Skilled persons will also appreciate that the method of the present invention outperforms conventional resist removal processes to the extent that it eliminates the need for a conventional post-stripping cleaning step such as a "piranha" bath employing hazardous chemicals. Furthermore, the relatively small amount of chemicals utilized by the method of the present invention offers tremendous cost savings over conventional techniques and chemical baths. Finally, the method of the present invention facilitates increased wafer throughput.
It will be readily apparent to one skilled in the art that other various modifications may be made to the preferred embodiment without departing from the spirit and scope of the invention as defined by the appended claims.

Claims

1. A method of removing a material selected from the group consisting of a photoresist, a photoresist residue, and a combination thereof from a surface of a semiconductor substrate comprising the steps of: a. placing the semiconductor substrate with the material on the surface of the semiconductor substrate within a pressure chamber; b. pressurizing the pressure chamber; c. introducing supercritical carbon dioxide and a stripper chemical into the pressure chamber; d. mixing the supercritical carbon dioxide and the stripper chemical within the pressure chamber until the material is removed from the semiconductor substrate; and e. flushing the pressure chamber.
2. The method of claim 1 wherein the stripper chemical is selected from the group consisting of N-methyl pyrrolidone, di-isopropyl amine, tri-isopropyl amine, diglycol amine, and a mixture thereof.
3. The method of claim 1 wherein a volume ratio of the stripper chemical to the supercritical carbon dioxide is within the range and including 0.1 to 15.0 %.
4. The method of claim 1 further comprising the step of adding an organic solvent to the supercritical carbon dioxide and the stripper chemical within the pressure chamber.
5. The method of claim 4 wherein the organic solvent is chosen from the group consisting of alcohols, ethers, and glycols.
6. The method of claim 1 wherein the photoresist residue is formed in a plasma ashing process.
7. The method of claim 1 wherein the photoresist residue is formed in a plasma etching process.
8. A method of removing a material selected from the group consisting of a photoresist, a photoresist residue, and a combination thereof from a surface of a semiconductor substrate comprising the steps of: a. introducing supercritical carbon dioxide and a stripper chemical to the semiconductor substrate with the material on the surface of the semiconductor substrate; b. maintaining the supercritical carbon dioxide and the stripper chemical in contact with the semiconductor substrate until the material is removed; and c. removing the supercritical carbon dioxide and the stripper chemical from contact with the semiconductor substrate.
9. The method of claim 8 wherein the stripper chemical is selected from the group consisting of N-methyl pyrrolidone, di-isopropyl amine, tri-isopropyl amine, diglycol amine, and a mixture thereof.
10. The method of claim 8 wherein a volume ratio of the stripper chemical to the supercritical carbon dioxide is within the range and including 0.1 to 15.0 %.
11. The method of claim 8 further comprising the step of adding an organic solvent to the supercritical carbon dioxide and the stripper chemical within the pressure chamber.
12. The method of claim 11 wherein the organic solvent is chosen from the group consisting of alcohols, ethers, and glycols.
13. The method of claim 8 wherein the photoresist residue is formed in a plasma ashing process.
14. The method of claim 8 wherein the photoresist residue is formed in a plasma etching process.
15. A method of processing a semiconductor wafer having a surface supporting material, the material selected from the group consisting of a resist, a resist residue, and a combination thereof, the method comprising the steps of: a. exposing the material to supercritical CO2 in combination with a first solvent, the first solvent being selected from the group consisting of n-methyl pyrrolidone, di-isopropyl amine, tri-isopropyl amine, diglycol amine, hydroxyl amine, and a mixture thereof; and b. maintaining the supercritical carbon dioxide and the first solvent in contact with the material until substantially all of the material has been removed from the surface of the wafer.
16. The method of claim 15 further comprising the steps of: a. heating a process chamber; b. placing the wafer having the surface supporting material in the process chamber prior to exposing the material to the supercritical carbon dioxide; c. pressurizing the process chamber with CO2 prior to exposing the material to the supercritical carbon dioxide and the first solvent; and d. flushing the process chamber with the supercritical CO2 subsequent to exposing the material to the supercritical CO2 and the first solvent in order to remove the material and the first solvent from the process chamber.
17. The method of claim 16 wherein the process chamber is pressurized to a pressure between about 1050 and 6000 psig.
18. The method of claim 17 wherein the process chamber is pressurized to a pressure between about 2500 and 4500 psig.
19. The method of claim 17 wherein the process chamber is heated to a temperature between about 20 and 80 °C.
20. The method of claim 19 wherein the process chamber is heated to a temperature between about 46 and 70 °C.
21. The method of claim 16 wherein the process chamber is heated to a temperature greater than about 46 °C and less than about 80 °C.
22. The method of claim 15 wherein a ratio of the first solvent to the combination of the supercritical CO2 and the first solvent is less than 15% by volume.
23. The method of claim 15 further comprising the step of adding a second solvent to the combination of the supercritical CO2 and the first solvent.
24. The method of claim 23 wherein a ratio of the first and second solvents to the combination of the supercritical carbon dioxide and the first and second solvents is less than 15% by volume.
25. The method of claim 15 further comprising the step of removing organic contaminants from the wafer surface.
26. The method of claim 25 further comprising the step of adding an organic solvent to the combination of the supercritical CO2 and the first solvent.
27. The method of claim 26 wherein the organic solvent is selected from the group consisting of alcohols, ethers, and glycols.
28. The method of claim 15 wherein a time period for maintaining the supercritical CO2 and the first solvent in contact with the wafer having the surface supporting material is between 10 seconds and 15 minutes.
29. The method of claim 28 wherein the time period is less than about 5 minutes.
30. The method of claim 29 wherein the time period is less than about one minute.
31. The method of claim 16 further comprising the step of adding liquid CO2 to the pressure chamber subsequent to pressurizing the process chamber with the CO2 and prior to introducing the supercritical CO2 and the first solvent to the pressure chamber.
32. The method of claim 16 wherein the wafer is placed in the process chamber prior to heating the process chamber.
33. The method of claim 15 wherein the wafer surface includes feature sizes of less than 0.5 μm.
34. The method of claim 15 wherein the wafer surface includes one or more vias having a depth to diameter aspect ratio that is greater than 5:1.
35. The method of claim 15 wherein a thickness of the material is greater than 1.5 μm.
36. The method of claim 15 wherein the material comprises a photoresist.
37. The method of claim 15 wherein the material comprises a photoresist residue.
38. The method of claim 15 further comprising the step of adding a 3 to 6 carbon dione to the combination of the supercritical CO2 and the first solvent.
39. The method of claim 38 wherein the first solvent includes the n-methyl pyrrolidone.
40. A method of processing a semiconductor wafer having a surface supporting material, the material selected from the group consisting of a resist, a resist residue, and a combination thereof, the method comprising the steps of: a. exposing the material to supercritical CO2 in combination with a first solvent at a pressure greater than or equal to 1,050 psig and less than or equal to 6,000 psig, the first solvent being selected from the group consisting of n- methyl pyrrolidone, di-isopropyl amine, tri-isopropyl amine, diglycol amine, hydroxyl amine, and a mixture thereof; and b. maintaining the supercritical carbon dioxide and the first solvent in contact with the material until substantially all of the material has been removed from the surface of the wafer.
41. A method of processing a semiconductor wafer having a surface supporting material, the material selected from the group consisting of a resist, a resist residue, and a combination thereof, the method comprising the steps of: a. exposing the material to supercritical CO2 in combination with a first solvent at a temperature greater than or equal to 46 °C and less than or equal to 80 °C, the first solvent being selected from the group consisting of n-methyl pyrrolidone, di-isopropyl amine, tri-isopropyl amine, diglycol amine, hydroxyl amine, and a mixture thereof; and b. maintaining the supercritical carbon dioxide and the first solvent in contact with the material until substantially all of the material has been removed from the surface of the wafer.
42. A method of processing a semiconductor wafer having a surface supporting material, the material selected from the group consisting of a resist, a resist residue, and a combination thereof, the method comprising the steps of: a. exposing the material to supercritical CO2 in combination with n- methyl pyrrolidone; and b. maintaining the supercritical carbon dioxide and the n-methyl pyrrolidone in contact with the material until substantially all of the material has been removed from the surface of the wafer.
43. The method of claim 42 wherein a ratio of the n-methyl pyrrolidone to the combination of the supercritical carbon dioxide and the n-methyl pyrrolidone is between 0.1 and 15% by volume.
EP00954102A 2000-08-14 2000-08-14 Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process Withdrawn EP1309990A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2000/022454 WO2002015251A1 (en) 2000-08-14 2000-08-14 Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process

Publications (1)

Publication Number Publication Date
EP1309990A1 true EP1309990A1 (en) 2003-05-14

Family

ID=21741687

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00954102A Withdrawn EP1309990A1 (en) 2000-08-14 2000-08-14 Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process

Country Status (6)

Country Link
EP (1) EP1309990A1 (en)
JP (1) JP2004507087A (en)
KR (1) KR100559017B1 (en)
CN (1) CN1246888C (en)
AU (1) AU2000266442A1 (en)
WO (1) WO2002015251A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015515147A (en) * 2012-04-17 2015-05-21 プラクスエア・テクノロジー・インコーポレイテッド Carbon dioxide delivery system to refined multi-phase process tools

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002237481A (en) * 2001-02-09 2002-08-23 Kobe Steel Ltd Method of cleaning microscopic structure
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
JP2003224099A (en) * 2002-01-30 2003-08-08 Sony Corp Surface treatment method
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US7507297B2 (en) 2002-05-20 2009-03-24 Panasonic Corporation Cleaning method and cleaning apparatus
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US7267727B2 (en) 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US6683008B1 (en) 2002-11-19 2004-01-27 International Business Machines Corporation Process of removing ion-implanted photoresist from a workpiece
EP1459812A1 (en) * 2003-03-21 2004-09-22 Linde Aktiengesellschaft Parts cleaning
CN100338153C (en) * 2003-03-26 2007-09-19 Tdk株式会社 Method for producing stripping thin film
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US6857437B2 (en) * 2003-06-18 2005-02-22 Ekc Technology, Inc. Automated dense phase fluid cleaning system
DE102004029077B4 (en) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Apparatus and method for removing a photoresist from a substrate
US20050029492A1 (en) 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US20050288485A1 (en) * 2004-06-24 2005-12-29 Mahl Jerry M Method and apparatus for pretreatment of polymeric materials utilized in carbon dioxide purification, delivery and storage systems
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7195676B2 (en) 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7262422B2 (en) * 2005-07-01 2007-08-28 Spansion Llc Use of supercritical fluid to dry wafer and clean lens in immersion lithography
KR100744145B1 (en) * 2006-08-07 2007-08-01 삼성전자주식회사 Apparatus and method for treating wafers using supercritical fluid
CN102298276B (en) * 2010-06-25 2013-03-06 中国科学院微电子研究所 Silicon wafer degumming device
JP5685918B2 (en) * 2010-12-10 2015-03-18 富士通株式会社 Manufacturing method of semiconductor device
CN102280372B (en) * 2011-09-05 2016-04-06 上海集成电路研发中心有限公司 A kind of cleaning method of semi-conductor silicon chip
CN105517343A (en) * 2016-01-25 2016-04-20 东莞联桥电子有限公司 Method for resistor integration on microwave printed circuit board
KR102491750B1 (en) * 2017-08-21 2023-01-26 도쿄엘렉트론가부시키가이샤 Optical sensor for phase determination
US10695804B2 (en) 2018-01-25 2020-06-30 Applied Materials, Inc. Equipment cleaning apparatus and method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
WO1999049998A1 (en) * 1998-03-30 1999-10-07 The Regents Of The University Of California Composition and method for removing photoresist materials from electronic components

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO0215251A1 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015515147A (en) * 2012-04-17 2015-05-21 プラクスエア・テクノロジー・インコーポレイテッド Carbon dioxide delivery system to refined multi-phase process tools

Also Published As

Publication number Publication date
CN1246888C (en) 2006-03-22
CN1454392A (en) 2003-11-05
AU2000266442A1 (en) 2002-02-25
JP2004507087A (en) 2004-03-04
KR100559017B1 (en) 2006-03-10
KR20030024873A (en) 2003-03-26
WO2002015251A1 (en) 2002-02-21

Similar Documents

Publication Publication Date Title
US6306564B1 (en) Removal of resist or residue from semiconductors using supercritical carbon dioxide
WO2002015251A1 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6871656B2 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7276447B1 (en) Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US8716143B1 (en) Plasma based photoresist removal system for cleaning post ash residue
US7399712B1 (en) Method for etching organic hardmasks
US20090065032A1 (en) Apparatus and method for removing photoresist from a substrate
JP4825911B2 (en) Plasma etching and photoresist strip process with defluorination and wafer defluorination steps in intervening chamber
US20070089761A1 (en) Non-plasma method of removing photoresist from a substrate
TW201349345A (en) Method for etching organic hardmasks
US6162733A (en) Method for removing contaminants from integrated circuits
JPH0427113A (en) Resist treatment device, resist treatment method, and resist pattern
JP4031440B2 (en) Contaminant removal using supercritical processing
US7524383B2 (en) Method and system for passivating a processing chamber
US6218084B1 (en) Method for removing photoresist layer
WO2006039317A1 (en) Supercritical fluid processing system having a coating on internal members and a method of using
US20060135047A1 (en) Method and apparatus for clamping a substrate in a high pressure processing system
US6887793B2 (en) Method for plasma etching a wafer after backside grinding
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
Kamal et al. Photoresist removal using low molecular weight alcohols
JP2004134627A (en) Process for removing organic layer
JP2737613B2 (en) Method of forming fine pattern
US20060134332A1 (en) Precompressed coating of internal members in a supercritical fluid processing system

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20030123

AK Designated contracting states

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

17Q First examination report despatched

Effective date: 20061026

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20100302