TW201349345A - Method for etching organic hardmasks - Google Patents

Method for etching organic hardmasks Download PDF

Info

Publication number
TW201349345A
TW201349345A TW102104640A TW102104640A TW201349345A TW 201349345 A TW201349345 A TW 201349345A TW 102104640 A TW102104640 A TW 102104640A TW 102104640 A TW102104640 A TW 102104640A TW 201349345 A TW201349345 A TW 201349345A
Authority
TW
Taiwan
Prior art keywords
dielectric
hard mask
organic hard
low
etching
Prior art date
Application number
TW102104640A
Other languages
Chinese (zh)
Other versions
TWI587390B (en
Inventor
Wesley P Graff
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/372,363 external-priority patent/US8664124B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201349345A publication Critical patent/TW201349345A/en
Application granted granted Critical
Publication of TWI587390B publication Critical patent/TWI587390B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

A method of etching or removing an organic hardmask overlying a low dielectric constant film in a lithographic process. The method includes providing a dielectric film having thereover an organic hardmask to be removed, the dielectric film having a dielectric constant no greater than about 4.0, introducing over the organic hardmask an ionizable gas comprising a mixture of hydrogen and an oxidizing gas, and applying energy to the mixture to create a plasma of the mixture. The method further includes contacting the organic hardmask with the plasma, with the organic hardmask being at a temperature in excess of 200 DEG C, to remove the organic hardmask without substantially harming the underlying substrate.

Description

用以蝕刻有機硬遮罩之方法 Method for etching an organic hard mask

本發明關於用於半導體微影製造系統之清潔處理,以及特別關於一種用於蝕刻如非晶碳或旋塗碳之有機硬遮罩,或從低介電常數膜移除如非晶碳或旋塗碳之有機硬遮罩的方法。 The present invention relates to a cleaning process for a semiconductor lithography fabrication system, and more particularly to an organic hard mask for etching such as amorphous carbon or spin-on carbon, or removing such as amorphous carbon or spin from a low dielectric constant film. A method of applying a carbon organic hard mask.

積體電路(ICs)係透過微影處理製造於半導體晶圓基板上。微影處理讓具有期望電路或其部份之遮罩圖案可透過選定波長之放射能轉移至基板上之光阻膜。此等受吸收之空間影像段,其能量超出光阻材料之感光成分裡化學鍵之臨界能量,而在光阻裡產生潛影。由潛影所標記的光阻材料之體積係於顯影處理期間移除(在正光阻的情況下)或在顯影後存留(在負光阻的情況下),以在光阻膜中產生三維圖案。在後續處理中,所形成之光阻膜圖案係用以做為蝕刻遮罩,以從光阻層內之圖案化開口區域移除其下方之基板。 Integrated circuits (ICs) are fabricated on a semiconductor wafer substrate by lithography. The lithography process allows the mask pattern having the desired circuit or portion thereof to be transferred to the photoresist film on the substrate by the radiant energy of the selected wavelength. These absorbed spatial image segments have energy that exceeds the critical energy of the chemical bonds in the photosensitive component of the photoresist material, producing a latent image in the photoresist. The volume of the photoresist material marked by the latent image is removed during the development process (in the case of a positive photoresist) or after development (in the case of a negative photoresist) to create a three-dimensional pattern in the photoresist film . In a subsequent process, the formed photoresist film pattern is used as an etch mask to remove the underlying substrate from the patterned opening region within the photoresist layer.

鑲嵌處理技術常用於積體電路之製造,且包含在介電質層裡的凹槽和介層窗形成鑲嵌金屬導體。硬遮罩層裡的開口係用於蝕刻介電質層之期望部份以形成凹槽和介層窗。硬遮罩層之開口的形成係藉由蝕刻穿過形成於覆蓋其上之光阻層的開口。鑲嵌過程中之硬遮罩可由有機層製成,例如a碳(a-carbon)或子位碳(alpha-carbon)。 Mosaic processing techniques are commonly used in the fabrication of integrated circuits, and the recesses and vias contained in the dielectric layer form a damascene metal conductor. The openings in the hard mask layer are used to etch a desired portion of the dielectric layer to form recesses and vias. The opening of the hard mask layer is formed by etching through an opening formed in the photoresist layer overlying it. The hard mask in the damascene process can be made of an organic layer, such as a-carbon or alpha-carbon.

由248nm進展到193nm波長顯影技術已增加了遮罩整合之複雜度,往往需要將一個多疊層之堆疊沉積於待蝕刻層上。其中一個範例為三層式堆疊:其上可進行傳統光阻之旋塗及處理的SiON抗反射塗佈(ARC,anti-reflective coating)層覆蓋於非晶碳硬遮罩層上。光阻顯影後,氟乾式蝕刻將圖案轉移至SiON層。光阻之移除與基於氧之蝕刻處理同時進 行,以將硬遮罩層中的a碳從SiON層中的開口移除。介電質蝕刻處理接著將圖案由a碳硬遮罩轉移至下方之用於雙鑲嵌法的介電質層。蝕刻介電質層後,必須在晶圓處理流程後端之形成Cu或其他金屬內連線前,移除a-碳硬遮罩層。 The development of 248 nm to 193 nm wavelength development techniques has increased the complexity of mask integration, often requiring deposition of a stack of multiple stacks on the layer to be etched. One example is a three-layer stack: a SiON anti-reflective coating (ARC) layer on which a conventional photoresist is spin-coated and treated is applied over an amorphous carbon hard mask layer. After photoresist development, fluorine dry etching transfers the pattern to the SiON layer. Photoresist removal and simultaneous oxygen-based etching Rows to remove a carbon from the hard mask layer from the opening in the SiON layer. The dielectric etch process then transfers the pattern from the a carbon hard mask to the underlying dielectric layer for the dual damascene process. After etching the dielectric layer, the a-carbon hard mask layer must be removed before Cu or other metal interconnects are formed at the back end of the wafer processing flow.

Sudijono等人之美國專利第6,787,452號揭露一種在光阻圖案化處理過程中控制關鍵尺寸的方法,該方法可應用於在雙鑲嵌之結構中形成介層窗及凹槽。非晶碳ARC係藉由電漿加強式化學氣相沉積法(PECVD)沉積於基板上。該子位碳層提供相對於氧之高蝕刻選擇比,且被揭露為可由使用氧氣之電漿灰化步驟進行移除。Ye等人之美國專利第6,458,516號教示一種使用基於氫/氮的電漿移除聚合有機遮罩層的方法。 U.S. Patent No. 6,787,452 to Sudijono et al. discloses a method of controlling critical dimensions during a photoresist patterning process that can be applied to form vias and recesses in a dual damascene structure. Amorphous carbon ARC is deposited on the substrate by plasma enhanced chemical vapor deposition (PECVD). The sub-carbon layer provides a high etch selectivity versus oxygen and is disclosed as being removable by a plasma ashing step using oxygen. A method of removing a polymeric organic mask layer using a hydrogen/nitrogen based plasma is taught in U.S. Patent No. 6,458,516 to the name of U.S. Pat.

低介電常數(低-k)材料,亦即具有通常低於約2.7至3.0之介電常數者,已使用在鑲嵌處理中作為在導電內連線之間的金屬間及/或層間介電質,用以減少因電容效應所導致的信號傳輸延遲。介電質材料的介電常數越低,介電質的電容就越低且積體電路之RC延遲亦較低。通常低k介電質為具有少量結合碳之氧化矽基材料,結合碳通常被稱為碳摻雜氧化物(CDO,carbon doped oxide)。CDO的範例之一為來自位於San Jose,California之Novellus Systems的CORAL牌碳摻雜氧化物。吾人已發現高度氧化之狀態通常不適合使用低k材料。當暴露至O2電漿時,氧氣從低k材料中清除或移除碳。在許多此等如CDOs之材料中,碳的存在有助於提供低介電常數。因此,就氧將碳從這些材料中移除的程度來說,氧有效地增加介電常數。隨著用於製造積體電路的製程走向尺寸小型化,且需使用具有越來越低之介電常數的介電質材料,吾人已發現傳統的剝除電漿條件已不適合。 Low dielectric constant (low-k) materials, that is, those having a dielectric constant generally less than about 2.7 to 3.0, have been used in damascene processing as inter- and/or interlayer dielectrics between conductive interconnects. Quality to reduce signal transmission delay due to capacitive effects. The lower the dielectric constant of the dielectric material, the lower the capacitance of the dielectric and the lower the RC delay of the integrated circuit. Typically the low-k dielectric is a cerium oxide based material with a small amount of bound carbon, which is commonly referred to as carbon doped oxide (CDO). One example of a CDO is a CORAL brand carbon doped oxide from Novellus Systems, San Jose, California. We have found that highly oxidized conditions are generally not suitable for use with low-k materials. Oxygen removes or removes carbon from the low-k material when exposed to the O 2 plasma. In many such materials such as CDOs, the presence of carbon helps provide a low dielectric constant. Thus, oxygen effectively increases the dielectric constant to the extent that oxygen removes carbon from these materials. With the miniaturization of the process direction for manufacturing integrated circuits and the use of dielectric materials having increasingly lower dielectric constants, it has been found that conventional stripping plasma conditions are no longer suitable.

因此,吾人需於本技藝中發展出一種替代處理,以有效地移除如非晶碳之有機硬遮罩層,且不會移除過量之低k介電質材料或大幅改變低k介電質材料之屬性。 Therefore, we need to develop an alternative treatment in the art to effectively remove the organic hard mask layer such as amorphous carbon without removing excess low-k dielectric material or greatly changing the low-k dielectric. The nature of the material.

根據本發明之一實施態樣,提供一種在微影處理中,從晶圓基板蝕刻及/或移除有機硬遮罩之改善的方法。 In accordance with an embodiment of the present invention, an improved method of etching and/or removing an organic hard mask from a wafer substrate in lithography is provided.

根據本發明之另一實施態樣,提供一種移除有機硬遮罩而不破壞下方介電質層的方法。 In accordance with another embodiment of the present invention, a method of removing an organic hard mask without damaging the underlying dielectric layer is provided.

根據本發明之另一實施態樣,提供一種移除有機硬遮罩而不破壞下方低k介電質層的方法。 In accordance with another embodiment of the present invention, a method of removing an organic hard mask without damaging the underlying low-k dielectric layer is provided.

根據本發明之另一實施態樣,提供一種移除有機硬遮罩而不影響蝕刻入下方低k介電質層之關鍵尺寸特徵部的方法。 In accordance with another embodiment of the present invention, a method of removing an organic hard mask without affecting etching into critical dimension features of the underlying low-k dielectric layer is provided.

熟悉本技藝者將從本發明之揭露看出上述及其它實施態樣可於本發明中達成。本發明提出一種蝕刻或移除有機硬遮罩(如非晶碳有機硬遮罩)的方法,該方法包含提供一個其上具有待移除之有機硬遮罩的基板;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至基板及有機硬遮罩上;以及施加能量至混合物以產生混合物之電漿。該方法更包含使有機硬遮罩與電漿接觸,其中基板與有機硬遮罩之溫度超過200℃,以移除至少部份之有機硬遮罩並在不實質破壞其下方基板的情況下暴露基板。 It will be apparent to those skilled in the art from this disclosure that the above and other embodiments can be achieved in the present invention. The present invention provides a method of etching or removing an organic hard mask (such as an amorphous carbon organic hard mask), the method comprising providing a substrate having an organic hard mask thereon to be removed; comprising hydrogen and an oxidizing gas An ionizable gas of the mixture is introduced onto the substrate and the organic hard mask; and energy is applied to the mixture to produce a plasma of the mixture. The method further comprises contacting the organic hard mask with the plasma, wherein the temperature of the substrate and the organic hard mask exceeds 200 ° C to remove at least a portion of the organic hard mask and expose without substantially destroying the underlying substrate Substrate.

較佳地,有機硬遮罩完全自下方基板移除。 Preferably, the organic hard mask is completely removed from the underlying substrate.

在另一實施態樣中,本發明提出一種在微影處理中,移除位於低介電常數膜上之有機硬遮罩的方法,該方法包含提供一個其上具有待移除之有機硬遮罩的介電質膜,介電質膜具有不大於約4.0之介電常數;以及使有機硬遮罩與包含氫氣及氧化氣體之離子化混合物的電漿接觸,其中介電質膜與有機硬遮罩之溫度超過200℃,以移除有機硬遮罩而不實質地影響下方介電質膜。 In another embodiment, the present invention provides a method of removing an organic hard mask on a low dielectric constant film in a lithography process, the method comprising providing an organic hard mask having a green dielectric layer to be removed thereon a dielectric film of the cap, the dielectric film having a dielectric constant of no more than about 4.0; and contacting the organic hard mask with a plasma comprising an ionized mixture of hydrogen and an oxidizing gas, wherein the dielectric film is organically hard The temperature of the mask exceeds 200 ° C to remove the organic hard mask without substantially affecting the underlying dielectric film.

在進一步的實施態樣中,本發明提出一種在微影處理中,蝕刻或移除位於低介電常數膜上之有機硬遮罩的方法,該方法包含提供一個其上具有待移除之有機硬遮罩的介電質膜,該介電質膜具有不大於約4.0之介電常數;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至有機硬遮罩上;以及施加能量至混合物以產生混合物之電漿。該方法進一步包含使有機硬遮罩與電漿接觸,其中介電質膜與有機硬遮罩之溫度超過200℃,以移除有機硬遮罩而不實質地破壞下方基板。 In a further embodiment, the present invention provides a method of etching or removing an organic hard mask on a low dielectric constant film in a lithography process, the method comprising providing an organic to be removed thereon a hard masked dielectric film having a dielectric constant of no greater than about 4.0; an ionizable gas comprising a mixture of hydrogen and oxidizing gas introduced onto the organic hard mask; and application of energy to the mixture To produce a plasma of the mixture. The method further includes contacting the organic hard mask with the plasma, wherein the temperature of the dielectric film and the organic hard mask exceeds 200 ° C to remove the organic hard mask without substantially damaging the underlying substrate.

有機硬遮罩可為化學氣相沉積之非晶碳且基板可為介電質膜,例如介電質值小於約3.0之介電質膜,例如碳摻雜氧化物介電質膜。 The organic hard mask can be a chemical vapor deposited amorphous carbon and the substrate can be a dielectric film, such as a dielectric film having a dielectric value of less than about 3.0, such as a carbon doped oxide dielectric film.

有機硬遮罩可為非晶碳,且介電質膜可具有不大於約2.8之 介電常數。 The organic hard mask may be amorphous carbon, and the dielectric film may have no more than about 2.8 Dielectric constant.

氧化氣體可由二氧化碳之來源加以提供。氣體混合物較佳為實質上不含氮。 The oxidizing gas can be supplied from a source of carbon dioxide. The gas mixture is preferably substantially free of nitrogen.

在其它實施例中,本發明包含一種具有介電質層的晶圓,該介電質層包含複數介電質材料,包括位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有比主體低k介電質更高的k值。在一些實施例中,主體低k介電質和覆蓋介電質皆為低k介電質。在其它實施例中,主體低k介電質為低k介電質且覆蓋介電質並非低k介電質。 In other embodiments, the present invention comprises a wafer having a dielectric layer comprising a plurality of dielectric materials, including a bulk low-k dielectric underlying a capping dielectric, the capping dielectric The mass has a higher k value than the bulk low-k dielectric. In some embodiments, both the bulk low-k dielectric and the capping dielectric are low-k dielectrics. In other embodiments, the bulk low-k dielectric is a low-k dielectric and the capping dielectric is not a low-k dielectric.

在其它實施例中,複數介電質材料可包含分散的主體低k介電質及覆蓋介電質層,或者複數介電質材料可在主體低k介電質材料和覆蓋介電質材料之間具有連續的漸變轉變。 In other embodiments, the plurality of dielectric materials may comprise a dispersed bulk low-k dielectric and a capping dielectric layer, or the plurality of dielectric materials may be in the bulk low-k dielectric material and the capping dielectric material. There is a continuous gradual transition between.

20‧‧‧晶圓 20‧‧‧ wafer

22‧‧‧蝕刻停止層 22‧‧‧etch stop layer

24‧‧‧低k介電質層 24‧‧‧Low k dielectric layer

24a‧‧‧覆蓋介電質 24a‧‧ Covering dielectric

24b‧‧‧主體低k介電質 24b‧‧‧Substantial low-k dielectric

25‧‧‧晶圓表面 25‧‧‧ Wafer surface

26‧‧‧有機硬遮罩層 26‧‧‧Organic hard mask

28‧‧‧介電質ARC層 28‧‧‧Dielectric ARC layer

30‧‧‧抗反射塗佈層 30‧‧‧Anti-reflective coating

32‧‧‧光阻層 32‧‧‧Photoresist layer

34‧‧‧開口 34‧‧‧ openings

36‧‧‧壁 36‧‧‧ wall

36‧‧‧凹槽 36‧‧‧ Groove

36'‧‧‧腐蝕之側壁 36'‧‧‧Corrosion side wall

600‧‧‧電漿設備 600‧‧‧ plasma equipment

601‧‧‧暴露腔室 601‧‧‧Exposure chamber

603‧‧‧晶圓 603‧‧‧ wafer

605‧‧‧平台 605‧‧‧ platform

609‧‧‧入口 609‧‧‧ entrance

611‧‧‧電漿生成部 611‧‧‧The Plasma Generation Department

613‧‧‧感應線圈 613‧‧‧Induction coil

615‧‧‧電源 615‧‧‧Power supply

617‧‧‧噴淋頭組件 617‧‧‧Spray head assembly

730‧‧‧剝除單元工具 730‧‧‧ Stripping unit tool

731‧‧‧負載站 731‧‧‧Load station

733‧‧‧剝除站 733‧‧‧ stripping station

735‧‧‧剝除站 735‧‧‧ stripping station

737‧‧‧剝除站 737‧‧‧ stripping station

739‧‧‧剝除站 739‧‧‧ stripping station

741‧‧‧剝除站 741‧‧‧ stripping station

743‧‧‧機械手臂 743‧‧‧ Robotic arm

本發明可藉由參照以下之詳細說明並結合隨附圖示予以詳細描述,其中:圖1和圖1A為沉積於待蝕刻之低k介電質上的晶圓基板上方的有機硬遮罩、光阻及其他層之橫剖面前視圖。 The present invention can be described in detail with reference to the following detailed description in conjunction with the accompanying drawings, wherein: FIG. 1 and FIG. 1A are organic hard masks deposited on a wafer substrate on a low-k dielectric to be etched, Front view of the cross section of the photoresist and other layers.

圖2和2A分別為低k介電質上的光阻、有機硬遮罩及其他層被蝕刻後,圖1和圖1A之晶圓基板的橫剖面前視圖。 2 and 2A are cross-sectional front views of the wafer substrate of FIGS. 1 and 1A, respectively, after the photoresist on the low-k dielectric, the organic hard mask, and other layers are etched.

圖3和圖3A分別為被蝕刻之有機硬遮罩層上之複數層被移除後,圖2和圖2A之晶圓基板的橫剖面前視圖。 3 and 3A are cross-sectional front views of the wafer substrate of FIGS. 2 and 2A, respectively, after the plurality of layers on the etched organic hard mask layer are removed.

圖4和圖4A分別為穿過有機硬遮罩層而蝕刻低k介電質後,圖3和圖3A之晶圓基板的橫剖面前視圖。 4 and 4A are cross-sectional front views of the wafer substrate of FIGS. 3 and 3A, respectively, after etching a low-k dielectric through an organic hard mask layer.

圖5和圖5A分別為有機硬遮罩層經本發明之高溫電漿方法移除,而未破壞低k介電質後,圖3和圖3A之晶圓基板的橫剖面前視圖。 5 and 5A are cross-sectional front views of the wafer substrate of FIGS. 3 and 3A, respectively, after the organic hard mask layer is removed by the high temperature plasma method of the present invention without destroying the low-k dielectric.

圖6為適合用於實施本發明之裝置的示意圖。 Figure 6 is a schematic illustration of a device suitable for use in practicing the present invention.

圖7為呈現出適合用於實施本發明之多處理站剝除工具的簡單方塊圖。 Figure 7 is a simplified block diagram showing a multi-processing station stripping tool suitable for use in practicing the present invention.

現將參照圖式描述本發明之較佳實施例,其中相似的數字表示本發明之相似特徵。 DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The preferred embodiments of the present invention will be described with reference to the drawings, wherein like numerals indicate similar features of the invention.

本發明係關於移除用於形成可灰化硬遮罩(ashable hardmasks,AHM)之有機硬遮罩材料,如非晶碳硬遮罩,也就是俗稱之a碳或子位碳,或旋塗硬遮罩。此等硬遮罩可藉由化學氣相沉積(CVD)、旋塗,或其他技術形成於基板上。AHM材料一般主要是由碳組成,例如約50-80之重量百分比,其餘則是氫且可能有微量氮。用於形成此等膜的起始材料範例包含CH4和C2H2,或更普遍的CxHy,其中x=2到4且y=2到10。 The present invention relates to the removal of organic hard mask materials for forming ashable hard masks (AHM), such as amorphous carbon hard masks, also known as a carbon or sub-carbon, or spin coating. Hard cover. These hard masks can be formed on the substrate by chemical vapor deposition (CVD), spin coating, or other techniques. AHM materials are generally composed primarily of carbon, such as from about 50 to about 80 weight percent, with the balance being hydrogen and possibly trace amounts of nitrogen. Examples of such starting materials used to form the film comprising of CH 4 and C 2 H 2, or more generally C x H y, wherein x = 2 to 4, and y = 2 through 10.

雖然本發明之方法可用於有效率且有效地將有機硬遮罩材料自低k介電質膜移除,但本方法並不僅限於低k介電質膜,或甚至不限於介電質。本發明亦不僅限於任何特定類別之低k介電質。舉例來說,本發明可有效地使用k值小於4.0的介電質(亦稱為第一代低k介電質)、k值小於約2.8的介電質(第二代低k介電質)以及k值小於約2.0的介電質(超低k介電質)。低k介電質可為多孔或無孔(後者有時亦稱為密集低k介電質)。一般而言,密集低k介電質為具有不大於2.8之k值者,且低k多孔介電質係為具有不大於2.2之k值者。可使用具有任何適當組成物的低k介電質,包含摻雜氟及/或碳之氧化矽基介電質。亦可使用非氧化矽基介電質,如聚合材料。可使用任何適當的處理以沉積低k介電質,包含旋塗式沉積及CVD沉積技術。在形成多孔介電質時,可使用任何適當之方法。典型的方法包含共沉積矽基主鏈和有機成孔劑,以及接著移除成孔劑成份,留下多孔介電質膜。其他方法包含溶膠-凝膠技術。合適低k膜之特定範例為Dow Chemicals,Inc以SiLK之商標販售之碳基旋塗式膜,以及Novellus Systems,Inc.以CORAL之商標販售之CVD沉積多孔膜。 While the method of the present invention can be used to efficiently and efficiently remove organic hard mask materials from low-k dielectric films, the method is not limited to low-k dielectric films, or even to dielectrics. The invention is also not limited to any particular class of low k dielectrics. For example, the present invention can effectively use a dielectric having a k value of less than 4.0 (also referred to as a first generation low-k dielectric) and a dielectric having a k value of less than about 2.8 (second-generation low-k dielectric) And a dielectric having a k value of less than about 2.0 (ultra-low-k dielectric). The low-k dielectric can be porous or non-porous (the latter sometimes also referred to as dense low-k dielectric). In general, the dense low-k dielectric is those having a k value of no more than 2.8, and the low-k porous dielectric system has a k value of no more than 2.2. A low-k dielectric having any suitable composition may be used, including a cerium oxide-based dielectric doped with fluorine and/or carbon. Non-oxide based ruthenium based dielectrics such as polymeric materials can also be used. Any suitable treatment can be used to deposit the low-k dielectric, including spin-on deposition and CVD deposition techniques. Any suitable method can be used in forming the porous dielectric. A typical method involves co-depositing a sulfhydryl backbone and an organic pore former, and then removing the pore former component, leaving a porous dielectric membrane. Other methods include sol-gel techniques. Specific examples of suitable low-k films are carbon-based spin-on films sold by Dow Chemicals, Inc. under the trademark SiLK, and CVD-deposited porous films sold by Novellus Systems, Inc. under the trademark CORAL.

有機硬遮罩之蝕刻及移除係較佳地藉由活性電漿蝕刻進行。一般而言,反應性電漿蝕刻係於電漿反應器中原地進行,其中處理腔室藉由對處理腔室內之電容耦合電極施加RF能量,促進反應氣體之激化及/或解離。電漿通常產生高反應性物種,高反應性物種與處理腔室內不要的沉積材料起反應並蝕刻掉該材料。本發明可使用微波(MW)產生之電漿、感應耦合電漿(inductively coupled plasma,ICP)或在一個平行板反應性離子蝕刻(reactive ion etch,RIE)反應器裡。 The etching and removal of the organic hard mask is preferably performed by active plasma etching. In general, reactive plasma etching is performed in situ in a plasma reactor wherein the processing chamber promotes activation and/or dissociation of the reactive gas by applying RF energy to the capacitive coupling electrode within the processing chamber. Plasma typically produces highly reactive species that react with unwanted deposition materials in the processing chamber and etch away the material. The invention may use microwave (MW) generated plasma, inductively coupled plasma (ICP) or in a parallel plate reactive ion etch (RIE) reactor.

可用於實施本發明之電漿反應器設備包含用以在處理腔室內產生真空之真空泵。本發明之設備亦包含處理氣體入口組件,例如與處理腔室內之氣體分配面板或噴淋頭連接的入口導管耦合之加壓氣體鋼瓶。半導體晶圓基板或其他工件置於可將偏壓施加至基板上之托架或平台上。RF或其他電源供應將電功率施加於氣體分配面板或噴淋頭與托架之間,以激化處理氣體或氣體混合物以在介於面板和托架之間的圓柱反應區形成電漿。 A plasma reactor apparatus useful in the practice of the invention includes a vacuum pump for creating a vacuum within the processing chamber. The apparatus of the present invention also includes a process gas inlet assembly, such as a pressurized gas cylinder coupled to an inlet conduit connected to a gas distribution panel or showerhead within the processing chamber. A semiconductor wafer substrate or other workpiece is placed on a carrier or platform that can apply a bias voltage to the substrate. An RF or other power supply applies electrical power between the gas distribution panel or the showerhead and the carrier to energize the process gas or gas mixture to form a plasma in the cylindrical reaction zone between the faceplate and the carrier.

用於本發明之可離子化處理氣體係較佳地為氫氣與含氧氣體或像是CO或CO2之氧化氣體的混合物。氧化氣體較佳地包含約0.5~10體積百分比之混合物。較佳地,待離子化的氣體混合物中不包含氮,以避免因使氮結合至膜中,而造成對下方任何CDO介電質層的破壞,吾人已知此將產生可與光阻相互作用的胺基,而造成所謂的光阻中毒效應。敏感的光阻,像是193nm的光阻,可與胺基反應,胺基會中和光阻中的酸性化合物並防止它們正確的顯影,以及在微影順序中的溶劑移除步驟中被移除,從而使殘餘之光阻留在晶圓的非期望區域。添加Ar或He可能會有一些好處,但此尚未用適於H2+CO2之電漿。在RIE蝕刻工具裡使用He或Ar可藉由濺射經常留在晶圓表面25上的蝕刻後聚合物或其他缺陷,而提高蝕刻率或有益於處理後期。因此,就留下乾淨的晶圓表面或具有較少次微米缺陷的表面而言,可能具有益處。 The ionizable process gas system used in the present invention is preferably a mixture of hydrogen and an oxygen-containing gas or an oxidizing gas such as CO or CO 2 . The oxidizing gas preferably comprises a mixture of about 0.5 to 10 volume percent. Preferably, the gas mixture to be ionized does not contain nitrogen to avoid damage to any of the underlying CDO dielectric layers by binding nitrogen into the film, which is known to produce interaction with the photoresist. The amine group causes a so-called photoresist poisoning effect. Sensitive photoresists, such as 193nm photoresist, react with amine groups, which neutralize acidic compounds in the photoresist and prevent them from developing properly, and are removed in the solvent removal step in the lithography sequence. Thus, residual light is retained in undesired areas of the wafer. Adding Ar or He may have some benefits, but this has not been done with a plasma suitable for H2+CO2. The use of He or Ar in the RIE etch tool can increase the etch rate or benefit the processing later by sputtering the post-etch polymer or other defects that are often left on the wafer surface 25. Therefore, there may be benefits in leaving a clean wafer surface or a surface with fewer submicron defects.

在電漿蝕刻期間將晶圓之溫度保持在約200℃以上、較佳地在250℃以上、更佳地介於約250-350℃之間十分重要。可於處理腔室內供應加熱元件以達此目的。在操作期間,電漿處理氣體從真空腔室的一側移動至另一側,此係由於可離子化處理氣體流入真空腔室之一側,而真空生成於其相對之一側所致。電漿處理氣體擴散遍及晶圓基板之表面,移除有機硬遮罩並將揮發性材料攜往真空泵組件。 It is important to maintain the temperature of the wafer above about 200 ° C, preferably above 250 ° C, and more preferably between about 250-350 ° C during plasma etching. Heating elements can be supplied to the processing chamber for this purpose. During operation, the plasma processing gas moves from one side of the vacuum chamber to the other side due to the flow of the ionizable process gas to one side of the vacuum chamber, and the vacuum is generated on one of its opposite sides. The plasma treatment gas diffuses throughout the surface of the wafer substrate, removing the organic hard mask and carrying the volatile material to the vacuum pump assembly.

如圖1所示,晶圓20包含蝕刻停止層22以及沉積其上之低k介電質層24。有機(例如非晶碳)硬遮罩層26係沉積於低k介電質層24上。光阻層32、選擇性之有機(或旋塗)抗反射塗佈(ARC)層30以及SiOC(將CO2與Si(CH3)4反應所產生)、SiON或Si3N4ARC層之介電質ARC層28係位於有機硬遮罩層上。使光阻層暴露於元件圖案並且進行顯影,以 移除對應於該圖案之光阻材料體積。如圖2所示,接著利用剩餘光阻層32裡的開口34做為遮罩以從ARC層28、30及有機硬遮罩層26蝕刻對應之材料體積。 As shown in FIG. 1, wafer 20 includes an etch stop layer 22 and a low-k dielectric layer 24 deposited thereon. An organic (e.g., amorphous carbon) hard mask layer 26 is deposited on the low-k dielectric layer 24. Photoresist layer 32, selective organic (or spin-on) anti-reflective coating (ARC) layer 30, and SiOC (produced by reacting CO 2 with Si(CH 3 ) 4 ), SiON or Si 3 N 4 ARC layer The dielectric ARC layer 28 is on the organic hard mask layer. The photoresist layer is exposed to the element pattern and developed to remove the photoresist material volume corresponding to the pattern. As shown in FIG. 2, the opening 34 in the remaining photoresist layer 32 is then used as a mask to etch the corresponding material volume from the ARC layers 28, 30 and the organic hard mask layer 26.

接著移除光阻層和ARC層以留下有機硬遮罩層以及受蝕刻的圖案開口34於層24上,如圖3所示。光阻層和殘餘物可藉由揭露於美國專利申請案第10/890,653,11/011,273及11/128,930之處理進行移除,該等申請案之揭露內容係特別併入於此做為參考。通常晶圓需接受灰化處理以剝除並移除光阻層,例如藉由轉移至電漿反應器以及低k介電質膜之氫電漿剝除。將光阻及其他覆蓋層剝除後,接著利用有機硬遮罩層以藉由,例如,反應性離子蝕刻(RIE)蝕刻其下之低k介電質層,如圖4所示,使開口34朝下延伸至低k介電質層24中,以產生具有壁36的開口。 The photoresist layer and the ARC layer are then removed to leave an organic hard mask layer and an etched pattern opening 34 on layer 24, as shown in FIG. The photoresist layer and the residue are removed by the process disclosed in U.S. Patent Application Serial Nos. 10/890,653, the entire disclosure of which is incorporated herein by reference. Typically, the wafer is subjected to ashing to strip and remove the photoresist layer, such as by hydrogen plasma stripping to a plasma reactor and a low-k dielectric film. After stripping the photoresist and other cap layers, the organic hard mask layer is then used to etch the lower k dielectric layer underneath, for example, by reactive ion etching (RIE), as shown in FIG. 34 extends downward into the low-k dielectric layer 24 to create an opening having walls 36.

另一種更常見的方法是將具有如圖2所示之複數層的晶圓暴露於RIE蝕刻,而不移除層32、30、28。由於RIE蝕刻通常需要長時間進行,層32、30、28將會於蝕刻停止層22暴露出來前完全移除。其產生之結構如圖4所示。此係藉由將圖2所示之結構暴露於RIE蝕刻,因而無需使上述及圖3所示之將光阻/ARC之移除步驟分開進行,並導致圖4的結構。 Another more common method is to expose a wafer having a plurality of layers as shown in FIG. 2 to an RIE etch without removing layers 32, 30, 28. Since the RIE etch typically takes a long time, the layers 32, 30, 28 will be completely removed before the etch stop layer 22 is exposed. The resulting structure is shown in Figure 4. This is achieved by exposing the structure shown in FIG. 2 to the RIE etch, thereby eliminating the need to separate the photoresist/ARC removal steps described above and shown in FIG. 3, and resulting in the structure of FIG.

接著使晶圓接受本發明之高溫電漿清洗方法,以移除有機硬遮罩層,留下未遭破壞、且易於在開口36中接收導電金屬的低k介電質層。電漿處理可在用於氫電漿灰化處理之相同反應器裡進行,但需要使用加熱元件以達到期望之反應溫度。接著,如圖5所示,介電質層24之表面25實質上不含a-碳或其他有機硬遮罩殘餘物,且介電質層內之受蝕刻介層窗或凹槽36的尺寸不受影響,亦不受到任何如由腐蝕之側壁36'所造成之破壞。 The wafer is then subjected to the high temperature plasma cleaning process of the present invention to remove the organic hard mask layer leaving a low-k dielectric layer that is unbroken and that is susceptible to receiving conductive metal in the opening 36. The plasma treatment can be carried out in the same reactor used for the hydrogen plasma ashing process, but requires the use of heating elements to achieve the desired reaction temperature. Next, as shown in FIG. 5, the surface 25 of the dielectric layer 24 is substantially free of a-carbon or other organic hard mask residues, and the size of the etched vias or recesses 36 within the dielectric layer. It is unaffected and is not damaged by any of the corroded side walls 36'.

在Novellus Systems Iridia 200mm的蝕刻工具裡,包含位於低k介電質層上之有機硬遮罩層的晶圓係由加熱燈加熱至280℃的溫度。可將介於約1000-3000W之間(通常為約1800W)、2.45GHz之微波功率施加至H2/CO2的氣體混合物,該氣體混合物係以約為500-4000sccm(通常為約1800sccm)之速率流入壓力維持在750-4000mT之間(通常為1000mT)之腔室內。經過介於30至180秒、通常為約90秒的處理時間後,有機硬遮 罩層被移除,而沒有實質破壞低k介電質層。 In the Novellus Systems Iridia 200mm etch tool, the wafer containing the organic hard mask layer on the low-k dielectric layer was heated by a heat lamp to a temperature of 280 °C. Microwave power between about 1000-3000 W (typically about 1800 W) and 2.45 GHz can be applied to a gas mixture of H 2 /CO 2 , which is about 500-4000 sccm (typically about 1800 sccm). The rate inflow pressure is maintained in a chamber between 750-4000 mT (typically 1000 mT). After a processing time of between 30 and 180 seconds, typically about 90 seconds, the organic hard mask layer is removed without substantially damaging the low-k dielectric layer.

在Novellus Systems之Gamma工具裡,包含位於低k介電質層上之有機硬遮罩層的晶圓係由電阻加熱平台加熱至280℃。可將介於500-3000W之間(一般為約2000W)、3.56MHz的RF功率施加至H2/CO2的氣體混合物,該氣體混合物係以約為5000-40000sccm(通常為約20000sccm)之速率流入壓力維持在750-4000mT之間(通常為1100mT)之腔室內。該工具包含從4至6個平台,且晶圓係於蝕刻處理期間於所有平台之間移動。在介於約20秒至180秒之間、通常約為90秒的總處理或電漿曝露時間後,有機硬遮罩層被移除,而沒有實質破壞低k介電質層。 In Novellus Systems' Gamma tool, a wafer containing an organic hard mask layer on a low-k dielectric layer is heated to 280 °C by a resistive heating platform. RF power between 500-3000 W (typically about 2000 W) and 3.56 MHz can be applied to a gas mixture of H 2 /CO 2 at a rate of about 5000-40000 sccm (typically about 20,000 sccm). The inflow pressure is maintained in a chamber between 750-4000 mT (typically 1100 mT). The tool contains from 4 to 6 platforms and the wafer is moved between all platforms during the etching process. After a total treatment or plasma exposure time of between about 20 seconds and 180 seconds, typically about 90 seconds, the organic hard mask layer is removed without substantially damaging the low-k dielectric layer.

在具有雙電源的Novellus Systems Iridia 300mm Sierra蝕刻工具裡,包含位於低k介電質層上之有機硬遮罩層的晶圓係加熱至280℃。可將介於約1000-3000W之間(通常為約1800W)、2.45GHz之微波功率施加至H2/CO2之氣體混合物,該氣體混合物係以約為500-4000sccm(通常為約1800sccm)之速率流入壓力維持在750-4000mT之間(通常為1000mT)之腔室內。支撐晶圓的平台係位於RF電漿反應腔室內,且與供應介於500-2000W之間(通常為1000W)的功率、3.56MHz之RF源耦合。在介於約30秒和180秒之間、通常約為90秒的處理時間後,有機硬遮罩層被移除,而沒有實質破壞低k介電質層。 In a Novellus Systems Iridia 300mm Sierra etch tool with dual power supplies, the wafer containing the organic hard mask layer on the low-k dielectric layer was heated to 280 °C. Microwave power between about 1000-3000 W (typically about 1800 W) and 2.45 GHz can be applied to a gas mixture of H 2 /CO 2 , which is about 500-4000 sccm (typically about 1800 sccm). The rate inflow pressure is maintained in a chamber between 750-4000 mT (typically 1000 mT). The platform supporting the wafer is located in the RF plasma reaction chamber and is coupled to a 3.56 MHz RF source that supplies between 500-2000 W (typically 1000 W). After a processing time of between about 30 seconds and 180 seconds, typically about 90 seconds, the organic hard mask layer is removed without substantially damaging the low-k dielectric layer.

可調整氣體流動速率、RF功率設定、暴露時間和其他參數以達到其他清洗作業所需要之期望結果。 Gas flow rate, RF power settings, exposure time, and other parameters can be adjusted to achieve the desired results for other cleaning operations.

因此,本發明提出一種在微影處理中蝕刻有機硬遮罩及/或將有機硬遮罩層從晶圓基板移除之改良方法,特別是將非晶碳從低k介電質層移除時之改良方法。本發明達成此有機硬遮罩之移除且不會破壞下方之低k介電質基板。 Accordingly, the present invention provides an improved method of etching an organic hard mask and/or removing an organic hard mask layer from a wafer substrate in a lithography process, particularly removing amorphous carbon from a low-k dielectric layer. Improved method of time. The present invention achieves the removal of the organic hard mask without damaging the underlying low-k dielectric substrate.

其它實施例 Other embodiments

除了參照上述圖1~5所描述之實施例,本發明亦有其它實施例,係參照圖1A-5A、6和7於以下進行描述。 In addition to the embodiments described with reference to Figures 1 through 5 above, other embodiments of the present invention are described below with reference to Figures 1A-5A, 6 and 7.

如圖1A所示,本發明之另一實施例包含具有沉積於蝕刻停止層22上之低k介電質層24的晶圓20。介電質層24包含複數介電質材料,其中包含位於覆蓋介電質24a之下的主體低k介電質24b,覆蓋介電質24a 比主體低k介電質24b具有更高的k值。在一些實施例中,主體低k介電質24b和覆蓋介電質24a皆為低k介電質。在其它實施例中,主體低k介電質24b為低k介電質且覆蓋介電質24a並非低k介電質。 As shown in FIG. 1A, another embodiment of the present invention includes a wafer 20 having a low-k dielectric layer 24 deposited on an etch stop layer 22. The dielectric layer 24 comprises a plurality of dielectric materials including a bulk low-k dielectric 24b under the capping dielectric 24a, covering the dielectric 24a. It has a higher k value than the bulk low-k dielectric 24b. In some embodiments, both the bulk low-k dielectric 24b and the capping dielectric 24a are low-k dielectrics. In other embodiments, the bulk low-k dielectric 24b is a low-k dielectric and the capping dielectric 24a is not a low-k dielectric.

在一些具體實施例中,主體低k介電質可為超低k(ULK)介電質,例如具有約2.2之k值者,且覆蓋介電質可為具有約2.9之k值的碳摻雜氧化物(carbon-doped oxide,CDO)。 In some embodiments, the bulk low-k dielectric can be an ultra low k (ULK) dielectric, such as having a k value of about 2.2, and the capping dielectric can be a carbon doped with a k value of about 2.9. Carbon-doped oxide (CDO).

在其他具體實施例中,主體低k介電質層可為具有約2.9之k值的CDO,且覆蓋層可為具有約4.0之k值的四乙基正矽酸鹽(TEOS)。 In other embodiments, the bulk low-k dielectric layer can be CDO having a k value of about 2.9, and the cover layer can be tetraethyl orthosilicate (TEOS) having a k value of about 4.0.

在其它實施例中,複數介電質材料可包含分散的主體低k介電質層及覆蓋介電質層,也就是分開而相鄰的介電質層。或者,複數介電質材料可在主體低k介電質材料和覆蓋介電質材料之間具有連續的漸變轉變。此漸變轉變可實質上均勻地從介電質層24的一側至另一側。其亦可為非均勻的,由一介電質轉變至另一介電質而僅越過介電質層24總厚度其中一部份,例如越過小於介電質24總厚度之50%、或小於25%、或小於10%、或小於5%之厚度。 In other embodiments, the plurality of dielectric materials can comprise a dispersed bulk low-k dielectric layer and a capping dielectric layer, that is, separate and adjacent dielectric layers. Alternatively, the plurality of dielectric materials can have a continuous gradual transition between the bulk low-k dielectric material and the capping dielectric material. This gradual transition can be substantially evenly from one side of the dielectric layer 24 to the other. It may also be non-uniform, changing from one dielectric to another and only crossing a portion of the total thickness of the dielectric layer 24, for example, less than 50% of the total thickness of the dielectric 24, or less than 25%, or less than 10%, or less than 5% by thickness.

將有機碳硬遮罩層26沉積於低k介電質層24上。光阻層32、選擇性的有機(或旋塗)抗反射塗佈(ARC)層30以及包含SiOC(將CO2與Si(CH3)4反應所產生)、SiON或Si3N4 ARC層之介電質ARC層28係位於有機硬遮罩層上。使光阻層暴露於一元件圖案並且進行顯影,以移除對應於該圖案之光阻材料體積。如圖2A所示,接著利用剩餘光阻層32裡的開口34做為遮罩以從複數ARC層28、30及有機硬遮罩層26蝕刻對應之材料體積。 An organic carbon hard mask layer 26 is deposited on the low-k dielectric layer 24. Photoresist layer 32, selective organic (or spin-on) anti-reflective coating (ARC) layer 30, and including SiOC (produced by reacting CO 2 with Si(CH 3 ) 4 ), SiON or Si 3 N 4 ARC layer The dielectric ARC layer 28 is on the organic hard mask layer. The photoresist layer is exposed to a component pattern and developed to remove the photoresist material volume corresponding to the pattern. As shown in FIG. 2A, the opening 34 in the remaining photoresist layer 32 is then used as a mask to etch the corresponding material volume from the plurality of ARC layers 28, 30 and the organic hard mask layer 26.

接著移除光阻層和ARC層以留下有機硬遮罩層以及受蝕刻的圖案開口34於層24上,如圖3A所示,以暴露出位於硬遮罩層26下之介電質層24。光阻層和殘餘物可藉由揭露於美國專利申請案第10/890,653,11/011,273及11/128,930之處理進行移除,該等申請案之揭露內容係特別併入於此做為參考。通常晶圓需接受灰化處理以剝除並移除光阻層,例如藉由轉移至電漿反應器以及低k介電質膜之氫電漿剝除。將光阻及其他覆蓋層剝除後,接著利用有機硬遮罩層26以藉由,例如,反應性離子蝕刻(RIE)蝕刻下方之低k介電質層24(24a及24b),如圖4A所示,其中使開口34 朝下延伸至低k介電質層24中,以產生具有壁36之開口,進一步暴露介電質層24。 The photoresist layer and the ARC layer are then removed to leave an organic hard mask layer and etched pattern openings 34 on layer 24, as shown in FIG. 3A, to expose the dielectric layer under the hard mask layer 26. twenty four. The photoresist layer and the residue are removed by the process disclosed in U.S. Patent Application Serial Nos. 10/890,653, the entire disclosure of which is incorporated herein by reference. Typically, the wafer is subjected to ashing to strip and remove the photoresist layer, such as by hydrogen plasma stripping to a plasma reactor and a low-k dielectric film. After stripping the photoresist and other cap layers, the organic hard mask layer 26 is then used to etch the underlying low-k dielectric layer 24 (24a and 24b) by, for example, reactive ion etching (RIE), as shown in the figure. 4A, wherein the opening 34 is made The lower portion extends into the low-k dielectric layer 24 to create an opening having a wall 36 that further exposes the dielectric layer 24.

另一種更常見的方法是將具有如圖2A所示之複數層的晶圓暴露於RIE蝕刻,而不移除層32、30、28。由於RIE蝕刻通常需要長時間進行,層32、30、28將會於蝕刻停止層22暴露之前完全移除。其產生之結構如圖4A所示。此係藉由將圖2A所示之結構暴露於RIE蝕刻,因而無需使上述及圖3A所示之將光阻/ARC之移除步驟分開進行,並導致圖4A的結構。 Another more common method is to expose a wafer having a plurality of layers as shown in FIG. 2A to an RIE etch without removing layers 32, 30, 28. Since the RIE etch typically takes a long time, the layers 32, 30, 28 will be completely removed before the etch stop layer 22 is exposed. The resulting structure is shown in Figure 4A. This is achieved by exposing the structure shown in FIG. 2A to RIE etching, thereby eliminating the need to separate the photoresist/ARC removal steps described above and shown in FIG. 3A, and resulting in the structure of FIG. 4A.

接著使晶圓接受本發明之高溫電漿清洗方法,以移除有機硬遮罩,留下未遭破壞、且易於在開口36中接收導電金屬的低k介電質層。吾人應特別注意,於移除硬遮罩期間所暴露的低k介電質24a及/或24b並未受到該移除處理之破壞。電漿處理可在用於氫電漿灰化處理之相同反應器裡進行,但需要使用加熱元件以達到期望之反應溫度。接著,如圖5A所示,介電質層24之表面25實質上沒有a-碳或其他有機硬遮罩殘餘物,且介電質層內之受蝕刻介層窗或凹槽36的尺寸不受影響,亦不受到任何由腐蝕側壁36'所造成之破壞。 The wafer is then subjected to the high temperature plasma cleaning process of the present invention to remove the organic hard mask leaving a low-k dielectric layer that is uncorrupted and that readily receives conductive metal in the opening 36. It is important to note that the low-k dielectrics 24a and/or 24b exposed during removal of the hard mask are not damaged by this removal process. The plasma treatment can be carried out in the same reactor used for the hydrogen plasma ashing process, but requires the use of heating elements to achieve the desired reaction temperature. Next, as shown in FIG. 5A, the surface 25 of the dielectric layer 24 is substantially free of a-carbon or other organic hard mask residues, and the size of the etched via or recess 36 in the dielectric layer is not It is also affected by any damage caused by the corroded sidewalls 36'.

設備 device

任何合適的電漿反應腔室設備均可用以實施本發明,包含上述之Gamma和Iridia工具。進一步於此方面之合適的範例為Novellus GammaTM 2130工具,其係配置為下游電漿設定。圖6為呈現適合於晶圓上實施本發明之下游電漿設備600之實施態樣的示意圖。設備600具有由噴淋頭組件617所分隔之電漿生成部611及暴露腔室601。在暴露腔室601中,晶圓603係置於平台(或台)605上。平台605配有加熱/冷卻元件。在一些實施例中,平台605亦配置以施加偏壓至晶圓603。在暴露腔室601中,低壓係藉由導管607並藉由真空泵而達成。氣態氫(具有或不具有稀釋/載體氣體)及二氧化碳(或其他弱氧化劑)之來源提供氣體流經過入口609進入設備的電漿生成部611。電漿生成部611部分由連接至電源615的感應線圈613所包圍。在操作過程中,將氣體混合物引導至電漿生成部611,提供能量至感應線圈613並在電漿生成部611中產生電漿。具有外加電壓的噴淋頭組件617,終止部份離子的流動並允許中性物種流動至暴露腔室601 中。如前所述,晶圓603可為受溫度控制及/或可施加RF偏壓。 Any suitable plasma reaction chamber apparatus can be used to practice the invention, including the Gamma and Iridia tools described above. Suitable examples in this respect it is further Novellus Gamma TM 2130 tool based downstream plasma configuration settings. 6 is a schematic diagram showing an embodiment of a downstream plasma apparatus 600 suitable for implementing the present invention on a wafer. Apparatus 600 has a plasma generating portion 611 and an exposure chamber 601 separated by a showerhead assembly 617. In the exposure chamber 601, the wafer 603 is placed on a platform (or stage) 605. The platform 605 is equipped with heating/cooling elements. In some embodiments, the platform 605 is also configured to apply a bias to the wafer 603. In the exposure chamber 601, the low pressure is achieved by the conduit 607 and by a vacuum pump. The source of gaseous hydrogen (with or without dilution/carrier gas) and carbon dioxide (or other weak oxidant) provides a flow of gas through inlet 609 into the plasma generating portion 611 of the apparatus. The plasma generating portion 611 is partially surrounded by an induction coil 613 connected to the power source 615. During the operation, the gas mixture is guided to the plasma generating portion 611, energy is supplied to the induction coil 613, and plasma is generated in the plasma generating portion 611. A showerhead assembly 617 having an applied voltage terminates the flow of a portion of the ions and allows neutral species to flow into the exposure chamber 601. As previously mentioned, the wafer 603 can be temperature controlled and/or can apply an RF bias.

在一些實施例中,本發明之設備係為剝除單元,專門用於將光阻自晶圓剝除。整體而言,此剝除單元工具將具有數個晶圓處理站俾能同時處理數個晶圓。圖7為呈現可根據本發明使用之多處理站晶圓剝除單元工具730的簡單俯視方塊圖。剝除單元工具730具有5個剝除站733、735、737、739、和741及一個負載站731。剝除單元工具730係配置為使每個剝除站可處理一個晶圓,因此所有剝除站可暴露於一共同之真空。每個剝除站733、735、737、739、和741具有其各自之RF功率供應。負載站731通常配置為具有與之附著的負載鎖定站,以可在不破壞真空的情況下,將晶圓置入剝除單元工具730中。負載站731亦通常配置具有加熱燈以預熱晶圓,再將晶圓轉移至剝除站和進行光阻剝除。剝除站741通常配置具有與之附著的負載鎖定站,以可在不破壞真空的情況下,將晶圓自剝除單元工具730中輸出。機械手臂743於各站之間傳輸晶圓。 In some embodiments, the apparatus of the present invention is a stripping unit dedicated to stripping photoresist from the wafer. Overall, this stripping unit tool will have several wafer processing stations that can process several wafers simultaneously. 7 is a simplified top block diagram showing a multi-processing station wafer stripping unit tool 730 that can be used in accordance with the present invention. The stripping unit tool 730 has five stripping stations 733, 735, 737, 739, and 741 and a load station 731. The stripping unit tool 730 is configured such that each stripping station can process one wafer, so all stripping stations can be exposed to a common vacuum. Each stripping station 733, 735, 737, 739, and 741 has its own RF power supply. The load station 731 is typically configured to have a load lock station attached thereto to place the wafer into the strip unit tool 730 without damaging the vacuum. The load station 731 is also typically configured with a heater lamp to preheat the wafer, transfer the wafer to the stripping station, and perform photoresist stripping. The stripping station 741 is typically configured with a load lock station attached thereto for outputting the wafer from the stripping unit tool 730 without damaging the vacuum. The robot arm 743 transfers wafers between stations.

在典型的生產模式中,晶圓係以批次模式處理。批次模式處理可增加晶圓生產量,因此常用於製造作業。在批次模式下,每個晶圓係轉移至每個站731、733、735、737、739、和741,並於其中進行處理。例如,典型的批次模式處理將如下列方式進行:首先晶圓係裝載至負載站731並於該處藉由加熱燈預熱。接著,機械手臂743將晶圓傳送至剝除站733,晶圓於該處進行足夠時間的電漿處理,以剝除約1/5的光阻。機械手臂743接著將晶圓傳送至剝除站735,晶圓於該處進行足夠時間的電漿處理以剝除另外1/5的剩除光阻。持續此順序俾使晶圓在剝除站737,739和741進行處理。在剝除站741時,大部份之光阻應被移除且晶圓741係自剝除單元工具卸載。 In a typical production mode, wafers are processed in batch mode. Batch mode processing increases wafer throughput and is therefore often used in manufacturing operations. In batch mode, each wafer system is transferred to and processed in each station 731, 733, 735, 737, 739, and 741. For example, a typical batch mode process would proceed as follows: First the wafer is loaded to load station 731 where it is preheated by a heat lamp. Next, the robotic arm 743 transfers the wafer to the stripping station 733 where it is plasma treated for a sufficient time to strip about one-fifth of the photoresist. The robotic arm 743 then transfers the wafer to a stripping station 735 where the wafer is subjected to a plasma treatment for a sufficient time to strip another 1/5 of the remaining photoresist. This sequence is continued so that the wafers are processed at stripping stations 737, 739 and 741. When stripping station 741, most of the photoresist should be removed and wafer 741 unloaded from the stripping unit tool.

其他適合用以實施本發明之工具包含Novellus Systems公司的GxTTM和G400TM光阻剝除工具、Lam Research的2300的FlexTM蝕刻工具、Tokyo Electron Limited的TeliusTM蝕刻工具、或Applied Materials的ProducerTM蝕刻工具。 Other suitable embodiments for G400 gt ;, GxT TM and TM photoresist stripping tool comprises a tool according to the present invention Novellus Systems Company, Producer Flex TM etching tool Lam Research 2300, Tokyo Electron Limited of a Telius TM etching tool, or Applied Materials (TM) Etching tool.

吾人一般應理解,前述之設備/處理可與微影圖案化工具或處理結合使用,以製造或加工半導體裝置、顯示器、LEDs、光電板等物。雖非必然,但通常此等工具/處理將在共同的製造設施裡一起使用或進 行。薄膜之微影圖案化通常包含下列之部分或全部步驟,每一步驟需要一些可能的工具方可進行:(1)使用旋塗或噴灑工具,將光阻施加於基板,亦即基板;(2)使用熱板或熱爐或UV固化工具固化光阻;(3)使用如晶圓步進機之工具,將光阻暴露於可見光或紫外線或X光;(4)使光阻顯影以使用如溼檯之工具選擇性地移除光阻並從而將光阻圖案化;(5)使用乾式或電漿輔助蝕刻工具將光阻圖案轉移到下方膜或基板;(6)使用如RF或微波電漿光阻剝除機之工具移除光阻。 It is generally understood that the aforementioned apparatus/processing can be used in conjunction with lithographic patterning tools or processes to fabricate or process semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Although not necessarily, but usually these tools/processes will be used together or in a common manufacturing facility. Row. The lithographic patterning of the film usually comprises some or all of the following steps, each step requires some possible tools: (1) applying a photoresist to the substrate, ie, the substrate, using a spin coating or spraying tool; Use a hot plate or hot furnace or UV curing tool to cure the photoresist; (3) use a tool such as a wafer stepper to expose the photoresist to visible or ultraviolet or X-ray; (4) develop the photoresist to use The wet bench tool selectively removes the photoresist and thereby patterns the photoresist; (5) transfers the photoresist pattern to the underlying film or substrate using a dry or plasma assisted etch tool; (6) uses, for example, RF or microwave The tool of the plasma photoresist stripper removes the photoresist.

本發明之另一個實施態樣為配置以達成本文所述之方法的設備。合適的設備包含用於完成處理作業之硬體以及系統控制器,其係具有用以根據本發明控制處理作業的指令。合適的電漿反應室設備,如Gamma和Iridia之工具或其它上述之工具可以此方式運用。系統控制器通常包含配置為執行指令的一個或更多個處理器及一個或更多個記憶體裝置,俾使該設備可執行根據本發明之方法。包含用以根據本發明控制處理作業的機器可讀取媒體可耦合至系統控制器。 Another embodiment of the invention is an apparatus configured to achieve the methods described herein. Suitable equipment includes hardware for performing processing operations as well as system controllers having instructions for controlling processing operations in accordance with the present invention. Suitable plasma chamber equipment, such as Gamma and Iridia tools or other tools described above, can be used in this manner. The system controller typically includes one or more processors and one or more memory devices configured to execute instructions that cause the device to perform the method in accordance with the present invention. A machine readable medium containing control processing operations in accordance with the present invention can be coupled to a system controller.

本發明雖已結合具體較佳實施方式加以特別描述,熟悉本技藝者將顯而易見,仍有許多根據前述描述之替換、修改及變化。因此欲使以下隨附請求項包含任何落於本發明之真正範疇內及精神的此替換、修改及變化。 The present invention has been described in detail with reference to the preferred embodiments thereof. Therefore, it is intended that the following claims be construed as including any such alternatives, modifications, and variations

20‧‧‧晶圓 20‧‧‧ wafer

22‧‧‧蝕刻停止層 22‧‧‧etch stop layer

24‧‧‧低k介電質層 24‧‧‧Low k dielectric layer

24a‧‧‧覆蓋介電質 24a‧‧ Covering dielectric

24b‧‧‧主體低k介電質 24b‧‧‧Substantial low-k dielectric

26‧‧‧有機硬遮罩層 26‧‧‧Organic hard mask

34‧‧‧開口 34‧‧‧ openings

36‧‧‧壁 36‧‧‧ wall

Claims (18)

一種蝕刻或移除有機硬遮罩的方法,包括:提供一包含暴露的低k介電質之半導體晶圓基板,其中該基板包含一位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有較該主體低k介電質更高之k值,且該基板具有位於其上之待移除的有機硬遮罩;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至該基板和該有機硬遮罩上;施加能量至該混合物以產生該混合物之電漿;以及使該有機硬遮罩與該電漿接觸,以移除至少部份之該有機硬遮罩而不破壞下方之該基板表面或該暴露的低k介電質。 A method of etching or removing an organic hard mask, comprising: providing a semiconductor wafer substrate comprising an exposed low-k dielectric, wherein the substrate comprises a bulk low-k dielectric underlying the capping dielectric, The capping dielectric has a higher k value than the low-k dielectric of the body, and the substrate has an organic hard mask to be removed thereon; introducing an ionizable gas comprising a mixture of hydrogen and an oxidizing gas To the substrate and the organic hard mask; applying energy to the mixture to produce a plasma of the mixture; and contacting the organic hard mask with the plasma to remove at least a portion of the organic hard mask The underlying substrate surface or the exposed low-k dielectric is not destroyed. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該有機硬遮罩包含化學氣相沉積之非晶碳。 A method of etching or removing an organic hard mask according to claim 1, wherein the organic hard mask comprises chemical vapor deposited amorphous carbon. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該有機硬遮罩包含旋塗式碳膜。 A method of etching or removing an organic hard mask according to claim 1, wherein the organic hard mask comprises a spin-on carbon film. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質及該覆蓋介電質皆為低k介電質。 The method of etching or removing an organic hard mask according to claim 1, wherein the bulk low-k dielectric and the covering dielectric are low-k dielectrics. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質為一低k介電質且該覆蓋介電質並非低k介電質。 The method of etching or removing an organic hard mask according to claim 1, wherein the bulk low-k dielectric is a low-k dielectric and the covering dielectric is not a low-k dielectric. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該低k介電質具有不大於約3之介電常數。 A method of etching or removing an organic hard mask according to claim 1, wherein the low-k dielectric has a dielectric constant of no more than about 3. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該低k介電質具有不大於約2.8之介電常數。 A method of etching or removing an organic hard mask according to claim 1 wherein the low-k dielectric has a dielectric constant of no greater than about 2.8. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該低k介電質具有不大於約2.2之介電常數。 A method of etching or removing an organic hard mask according to claim 1 wherein the low-k dielectric has a dielectric constant of no greater than about 2.2. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低k介電質為具有約2.2之介電常數的超低k介電質(ULK,ultra-low-k)且該覆蓋介電質為具有約2.9之介電常數的碳摻雜氧化物(CDO,carbon doped oxide)。 A method of etching or removing an organic hard mask according to claim 1, wherein the bulk low-k dielectric is an ultra-low-k dielectric having a dielectric constant of about 2.2 (ULK, ultra-low-k) And the covering dielectric is a carbon doped oxide (CDO) having a dielectric constant of about 2.9. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該主體低 k介電質為具有約2.9之介電常數的碳摻雜氧化物(CDO,carbon doped oxide)且該覆蓋介電質為具有約4.0之介電常數的四乙基正矽酸鹽(TEOS)。 A method of etching or removing an organic hard mask as claimed in claim 1 wherein the body is low The k dielectric is a carbon doped oxide (CDO) having a dielectric constant of about 2.9 and the covering dielectric is tetraethyl orthosilicate (TEOS) having a dielectric constant of about 4.0. . 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該基板包含分散的主體低k介電質及覆蓋介電質層。 A method of etching or removing an organic hard mask according to claim 1, wherein the substrate comprises a dispersed bulk low-k dielectric and a covering dielectric layer. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該基板包含一在該主體低k介電質材料和該覆蓋介電質材料之間的漸變轉變。 A method of etching or removing an organic hard mask according to claim 1, wherein the substrate comprises a gradual transition between the bulk low-k dielectric material and the covering dielectric material. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該氣體混合物不含氮氣。 A method of etching or removing an organic hard mask as claimed in claim 1, wherein the gas mixture does not contain nitrogen. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,其中該有機硬遮罩係完全自下方基板移除。 A method of etching or removing an organic hard mask according to claim 1, wherein the organic hard mask is completely removed from the lower substrate. 如申請專利範圍第1項之蝕刻或移除有機硬遮罩的方法,更包含:施加光阻至該基板;使該光阻暴露於光線;圖案化該光阻並將該圖案轉移至該基板;以及選擇性地將該光阻自該基板移除。 The method of etching or removing an organic hard mask according to claim 1, further comprising: applying a photoresist to the substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the substrate And selectively removing the photoresist from the substrate. 一種用於蝕刻或移除位於介電質上之有機硬遮罩的設備,該設備包含:(a)電漿反應腔室設備;以及(b)控制器,包含用以執行處理之程式指令,該處理之步驟包含:提供包含暴露的低k介電質的半導體晶圓基板,其中該基板包含位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有較該主體低k介電質較高之k值,且該基板具有位於其上之待移除的有機硬遮罩;將包含氫氣和氧化氣體之混合物的可離子化氣體引入至該基板及該有機硬遮罩上;施加能量至該混合物以產生該混合物之電漿;以及使該有機硬遮罩與該電漿接觸,以移除至少部份之該有機硬遮罩而不破壞下方之該基板表面或該暴露的低k介電質。 An apparatus for etching or removing an organic hard mask on a dielectric, the apparatus comprising: (a) a plasma reaction chamber apparatus; and (b) a controller including program instructions for performing processing, The step of processing includes: providing a semiconductor wafer substrate comprising an exposed low-k dielectric, wherein the substrate comprises a bulk low-k dielectric underlying the capping dielectric, the capping dielectric having a lower k than the body a higher k value of the dielectric, and the substrate has an organic hard mask to be removed thereon; an ionizable gas comprising a mixture of hydrogen and an oxidizing gas is introduced onto the substrate and the organic hard mask Applying energy to the mixture to produce a plasma of the mixture; and contacting the organic hard mask with the plasma to remove at least a portion of the organic hard mask without damaging the substrate surface or the exposure below Low-k dielectric. 一種半導體晶圓處理系統,該系統包含:如申請專利範圍第16項之用於蝕刻或移除位於介電質上之有機硬遮罩的該設備;以及步進機。 A semiconductor wafer processing system comprising: the apparatus for etching or removing an organic hard mask on a dielectric as in claim 16; and a stepper. 一種非暫時性電腦機器可讀取媒體,包含用以控制電漿反應腔室設備之複數程式指令,該等程式指令包含:用以提供包含暴露的低k介電質之半導體晶圓基板的碼,其中該基板包含位於覆蓋介電質下方之主體低k介電質,該覆蓋介電質具有比該主體低k介電質較高之k值,且該基板具有位於其上之待移除的有機硬遮罩;用以將包含氫氣和氧化氣體之混合物的可離子化氣體引入至該基板和該有機硬遮罩上的碼;用以施加能量至該混合物以產生該混合物之電漿的碼;以及用以使該有機硬遮罩與該電漿接觸以移除至少一部份之該有機硬遮罩而不破壞下方之該基板表面或該暴露的低k介電質的碼。 A non-transitory computer machine readable medium comprising a plurality of program instructions for controlling a plasma reaction chamber device, the program instructions comprising: a code for providing a semiconductor wafer substrate including an exposed low-k dielectric Wherein the substrate comprises a bulk low-k dielectric underlying the capping dielectric, the capping dielectric having a higher k-value than the low-k dielectric of the body, and the substrate has thereon to be removed An organic hard mask; a code for introducing an ionizable gas comprising a mixture of hydrogen and an oxidizing gas onto the substrate and the organic hard mask; a plasma for applying energy to the mixture to produce the mixture And a code for contacting the organic hard mask with the plasma to remove at least a portion of the organic hard mask without damaging the underlying substrate surface or the exposed low-k dielectric.
TW102104640A 2012-02-13 2013-02-06 Method for etching organic hardmasks TWI587390B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/372,363 US8664124B2 (en) 2005-10-31 2012-02-13 Method for etching organic hardmasks

Publications (2)

Publication Number Publication Date
TW201349345A true TW201349345A (en) 2013-12-01
TWI587390B TWI587390B (en) 2017-06-11

Family

ID=48926954

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102104640A TWI587390B (en) 2012-02-13 2013-02-06 Method for etching organic hardmasks

Country Status (4)

Country Link
KR (1) KR102083680B1 (en)
CN (1) CN103247525B (en)
SG (1) SG193093A1 (en)
TW (1) TWI587390B (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
CN104445049B (en) * 2013-09-24 2016-08-03 中芯国际集成电路制造(上海)有限公司 MEMS forming method
US9778561B2 (en) * 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
JP6861802B2 (en) 2016-09-14 2021-04-21 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. Strip process for high aspect ratio structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN107968094A (en) * 2017-11-21 2018-04-27 长江存储科技有限责任公司 A kind of ledge structure forming technology for 3D nand flash memories
CN108550577A (en) * 2018-05-17 2018-09-18 长江存储科技有限责任公司 The manufacturing method of three-dimensional storage and three-dimensional storage
US11183398B2 (en) * 2018-08-10 2021-11-23 Tokyo Electron Limited Ruthenium hard mask process
JP2022507368A (en) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション How to make a hard mask useful for next generation lithography
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
CN112133626B (en) * 2020-10-12 2023-06-06 成都海威华芯科技有限公司 Manufacturing method of metal hard mask and wafer
CN115394636B (en) * 2022-10-26 2023-01-03 广州粤芯半导体技术有限公司 Semiconductor lithography method, system, apparatus, and computer-readable storage medium

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US20070134917A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
US7637269B1 (en) * 2009-07-29 2009-12-29 Tokyo Electron Limited Low damage method for ashing a substrate using CO2/CO-based process

Also Published As

Publication number Publication date
CN103247525A (en) 2013-08-14
CN103247525B (en) 2017-11-17
TWI587390B (en) 2017-06-11
SG193093A1 (en) 2013-09-30
KR102083680B1 (en) 2020-03-02
KR20130093038A (en) 2013-08-21

Similar Documents

Publication Publication Date Title
TWI587390B (en) Method for etching organic hardmasks
US8664124B2 (en) Method for etching organic hardmasks
US8569179B2 (en) Method for etching organic hardmasks
TWI385728B (en) Method for removing damaged dielectric material
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US8129281B1 (en) Plasma based photoresist removal system for cleaning post ash residue
TWI545646B (en) Method for etching silicon-containing arc layer with reduced cd bias
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
KR101688231B1 (en) Low damage method for ashing a substrate using co2/co-based process
JP2014512096A (en) Etching process to control pattern critical dimension and integrity of multilayer masks
CN101238551A (en) Damage-free ashing process and system for post low-k etch
KR20120082432A (en) Method for reworking a silicon-containing arc layer on a substrate
US7288483B1 (en) Method and system for patterning a dielectric film
TW201611120A (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
TWI598958B (en) Methods for dry hard mask removal on a microelectronic substrate
CN115004110A (en) Integrated drying process for patterning radiation photoresist
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US20050158667A1 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6759342B2 (en) Method of avoiding dielectric arcing
JP2024514454A (en) Control of metal contamination from metal-containing photoresists
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
CN118020031A (en) Reprocessing of metal-containing photoresists

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees