KR19980018262A - 입출력포트 및 램 메모리 어드레스 지정기술 - Google Patents

입출력포트 및 램 메모리 어드레스 지정기술 Download PDF

Info

Publication number
KR19980018262A
KR19980018262A KR1019970036355A KR19970036355A KR19980018262A KR 19980018262 A KR19980018262 A KR 19980018262A KR 1019970036355 A KR1019970036355 A KR 1019970036355A KR 19970036355 A KR19970036355 A KR 19970036355A KR 19980018262 A KR19980018262 A KR 19980018262A
Authority
KR
South Korea
Prior art keywords
inorganic contaminants
inorganic
contaminants
agent
supercritical fluid
Prior art date
Application number
KR1019970036355A
Other languages
English (en)
Inventor
몬테 에이.스카 더글러스
알렌 시. 템플레톤
Original Assignee
윌리엄 비.켐플러
지로그, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 비.켐플러, 지로그, 인코포레이티드 filed Critical 윌리엄 비.켐플러
Publication of KR19980018262A publication Critical patent/KR19980018262A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/902Capping layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

본 발명의 일 태양은 기재 (100) 상부층 (102)으로부터 무기 오염물 (104)을 제거시키는 방법에 관한 것으로, 이들 방법은 기재 상부층을 1종 이상의 제거제로 제거시키는 단계, 무기 오염물을 1종 이상의 전환제와 반응시켜 무기 오염물을 전환시키는 단계, 전환된 무기 오염물을 제1 초임계 유체에 포함되는 1종 이상의 용매제로 처리하여 제거시키는 단계로 이루어지고, 전환된 무기 오염물이 무기 오염물보다 용매제에 더욱 잘 용해되는 것을 특징으로 한다.

Description

화학적 변성 및 추출에 의한 초임계 유체 매질 중의 무기 오염물 제거 방법
본 발명은 반도체 장치 제조법 및 가공법, 특히 초임계 유체 매질 중의 무기 오염물을 제거하는 방법에 관한 것이다.
집적 회로 및 액정 디스플레이 등의 제조에 있어서, 기재 및 후속적인 반도체 층의 오염은 많은 문제를 야기시키므로 가능한한 감소되어야 한다. 이들 오염물의 예는 잔류 미립자, 유기물 및 금속이다. 또한, 오염물은 반도체 층의 표면에 배치되거나, 반도체 층 및 다른 층 (예: 산화물 층) 사이에 배치되어야 한다. 전형적으로, 습식 가공법이 반도체 장치의 제조에 사용된다. 습식 세정법은 연속적인 입자 제거 및 금속 제거 단계, 및 이들 단계 사이의 휑굼 단계, 및 최종적인 건조 단계로 이루어진다. 전형적으로 건조 단계는 웨이퍼를 스피닝하여 웨이퍼상의 액체를 분리시키는 방법 또는 웨이퍼의 표면에 응축되고 물을 방출시키는 고온 이소프로필 알코올 증기군으로부터 웨이퍼를 상승시키는 방법에 의해 수행된다.
이같은 형태의 습식 세정법은 특히 심각한 결점을 갖는 바, 특히 이같은 형태의 대부분의 금속 제거법 (전형적으로 강산 혼합물로 이루어짐)은 입자를 웨이퍼의 표면에 부착시키고, 입자 제거법 (전형적으로 염기성/산화제 혼합물로 이루어짐)은 금속을 웨이퍼의 표면에 부착시킨다. 또한, 대부분의 습식 세정법에는 전자 등급의 습윤성 화학 약품 구입비, 습식 세정법에서 사용되는 부식성 화학 약품 처리비, 트랜치 등의 고측면비체에 습윤 화학 세정물이 근접하는 것을 제한하거나 막기 위한 액체 표면 장력, 및 건조법 (반도체 가공에서 더욱 자주 사용됨)과의 결핍된 조화성과 같은 문제점이 있다. 따라서, 금속 제거 단계, 및 이후의 입자 제거 단계에서는 잔류 금속이 생기는 한편, 입자 제거 단계, 및 이후의 금속 제거 단계에서는 입자는 더 작아지나 최종 휑굼 단계에서 금속으로 오염될 수 있다.
초임계 유체 (즉, 초임계 이산화탄소)는 최근에 주목을 받고 있다. 특히 커피의 디카페인 처리 및 리넨/미세 의류 건조 세정 분야에서 주목을 받고 있다. 또한, 초임계 CO2는 반도체 웨이퍼로부터 유기 오염물을 제거하는데에 사용될 수 있다. 문헌 [International Jorunal of Environmentally Conscious Design Manufacturing, Vol. 2, p. 83 (1993)]을 참조하면, 초임계 이산화탄소가 중간 내지 낮은 휘발성의 유기 화합물을 제거시키기에 가장 적합하다. 그러나, 일반적으로 초임계 CO2는 반도체 웨이퍼로부터 무기 오염물 (즉, 금속)을 세정하는데 비효과적으로 여겨진다.
다른 분야에서, 일군의 연구원들은 식물에 초임계 CO2를 가하고 킬레이드제로 금속을 중화시켜서 식물에서 금속을 제거하는 방법을 발견하였다 (문헌 [Elizabeth K. Wilson,Toxic Metals Extracted with Supercritical Carbon Dioxide,C EN 27 (1996, 4, 15)]; 미국특허 제 5,356,538호 참조). 하지만, 이들 문헌에서는 자체적인 비극성 초임계 CO2가 양으로 하전된 중금속 이온을 용매화시키는데 거의 기여하지 못하는 것으로 기재되어 있다. 하지만, 연구원들은 금속이 킬레이트제로 먼저 중화된다면 용매화 될 수 있고, 또한 킬레이트제가 플루오르화될 때 용매화도가 현저히 증가됨을 발견하였다. 하지만, 여기에는 몇가지 문제점이 있다. 첫 번째는, 비하전 금속을 제거하기가 어렵다는 점이다. 두 번째는, 비플루오르화 킬레이제가 고가라는 점이다. 세 번째는, 플루오르화 킬레이트제를 다량으로 합성하는 것이 고가라는 점이다. 네 번째는, 플루오르화 및 비플루오르화 키레이트제는 매우 독성이고 정제 및 처리하기에 고가라는 점이다. 다섯 번째는, 제한된 범위의 금속만이 플루오르화 킬레이트제에 의해 쉽게 용매화된다는 점이다. 여섯 번째는, 상기 문헌의 방법에 의해 반도체 하부 기재에 비킬레이트화 금속을 확산시키는 것이 위험할 수 있다는 점이다.
즉, 본 발명의 목적은 천연 산화물 층과 반도체 웨이퍼 사이에서 금속 오염물을 제거시키는 방법을 제공하는 것이다. 본 발명의 다른 목적은 고유한 산화물 층과 반도체 웨이퍼 사이에서 무기 오염물을 제거시키는 방법을 제공하는 것이다.
도 1은 본 발명의 일 태양에 따른 시료 세정 시스템의 개략도.
도 2a - 2d는 본 발명의 일 태양의 횡단면도.
도면의 주요 부분에 대한 부호의 설명
11. 제거제 저장소
12. 개질제 저장소
14. 용매 저장소
16. 용기
28. 가스 저장소
100. 하부층
102. 천연 산화물
104. 무기 오염물
106. 더욱 가용성인 무기 오염물
요약하면, 본 발명의 일 태양은 이온성광, 중성광 및 고중량의 무기 (금속) 종을 화학적으로 개질시키는 것과 관련된 문제를 극복하는 방법 및 상기 이온성광, 중성광 및 고중량의 무기 (금속) 종을 통상의 저렴한 고순도의 비독성 용매에 노출시킬 때 가용성이 되게하는 방법에 관한 것이다. 본 발명의 방법은 무기 오염물 위에 위치하거나 (위치하고), 무기 오염물과 기재 사이에 위치하거나 (위치하고), 무기 오염물을 둘러싸는 천연 산화물을 제거시켜 천연 산화물 내에 포함되거나 천연 산화물 아래의 무기 오염물을 노출시키는 단계, 무기 오염물을 화학적으로 변성시키는 단계, 화학적으로 변성된 무기 오염물을 초임계 유체 (바람직하게는, 초임계 CO2)에 포함된 통상의 용매에 노출시키는 단계, 및 초임계 유체 (SCF) 중에서 통상적으로 용매화되고, 화학적으로 변성된 무기 오염물을 제거시키는 단계로 이루어진다. SCF에 노출시키기 전이나 노출시키는 동안 무기 오염물을 화학적으로 변성시킬 수 있다. 본 발명의 중요한 측면은 천연 산화물 내의 무기 오염물을 노출시켜 후속적으로 개질화 및 제거시킨다는 점, 미리 화학적으로 변성시키지 않으면 무기 오염물이 초임계 CO2유체에 용해되지 않는다는 점, 및 화학적 변성 단계와 동시에 화학적으로 변성된 무기 오염물을 용매에 의해 제거시킬 수 있다는 점이다.
본 발명의 일 태양은 기재 상부층의 오염물을 제거하는 방법에 관한 것으로, 이 방법은 기재 상부층을 1종 이상의 제거제로 제거시키는 단계, 무기 오염물을 1종 이상의 전환제와 반응시켜 무기 오염물을 전환시키는 단계, 전환된 무기 오염물을 제1 초임계 유체에 포함된 1종 이상의 용매제로 처리하여 제거시키는 단계로 이루어지고, 전환된 무기 오염물은 무기 오염물보다 용매제에 더욱 잘 용해된다. 바람직하게는, 전환제는 산제, 염기제, 킬레이트제, 리간드제, 할로겐 함유제, 및 이의 조합물로 이루어진 군으로부터 선택된다. 더욱 바람직하게는, 전환제는 HF로 이루어지고, 초임계 CO2에 포함된다. 바람직하게는, 용매제는 극성 기체, 비극성 기체, 극성 초임계 유체, 비극성 초임계 유체, 극성종, 비극성종, 계면활성제, 세제, 양쪽성계 물질, 또는 킬레이트제로 이루어진 군으로부터 선택되고, 용매제는 초임계 CO2에 포함된다. 상부층은 천연 산화물로 이루어질 수 있다. 기재 상부층을 제거제로 제거시키는 단계, 및 무기 혼합물을 전환제와 반응시키는 단계를 동시에 수행할 수 있다. 별법으로, 기재 상부층을 제거제로 제거시키는 단계, 무기 오염물을 전환제로 반응시키는 단계, 전환된 무기 오염물을 제거시키는 단계를 동시에 수행하거나, 기재 상부층을 제거제로 제거시키는 단계 후 무기 오염물을 전환제와 반응시키는 단계 및 전환된 무기 오염물을 제거시키는 단계를 동시에 수행한다. 바람직하게는, 제거제는 HF로 이루어지고, 제2 초임계 유체에 포함된다. 제2 초임계 유체는 바람직하게는 초임계 CO2로 이루어진다.
본 발명의 다른 태양은 기재 상부층으로부터 무기 오염물을 제거시키는 방법에 관한 것으로, 이 방법은 기재 상부층을 제1 초임계 유체에 포함된 1종 이상의 제거제로 제거시키는 단계, 무기 오염물을 제2 초임계 유체에 포함되는 1종 이상의 전환제와 반응시켜 무기 오염물을 전환시키는 단계, 전환된 무기 오염물을 제3 초임계 유체에 포함되는 1종 이상의 용매제로 처리하여 제거시키는 단계로 이루어지고, 전환된 무기 오염물은 무기 오염물보다 용매제에 더욱 잘 용해된다. 기재 상부층을 제거제로 제거시키는 단계 및 무기 오염물을 전환제와 반응시키는 단계를 동시에 수행할 수 있다. 그러나, 기재 상부층을 제거제로 제거시키는 단계, 무기 오염물을 전환제와 반응시키는 단계, 및 전환된 무기 오염물을 제거시키는 단계를 모두 동시에 수행할 수 있다. 별법으로, 기재 상부층을 제거제로 제거시키는 단계를 수행한 후 무기 오염물을 전환제와 반응시키는 단계 및 전환된 무기 오염물을 제거시키는 단계를 동시에 수행한다.
도 1은 본 발명의 방법을 실행하기위해 사용될 수 있는 공정 시스템을 도시한다. 세정될 시료 (무기 오염물 함유 반도체 웨이퍼)를 용기 (16)에 채운다. 초임계 유체 (바람직하게는 CO2기체)는 밸브 (32)를 포함하는 도관 (30)에 의해 연결된 가스 저장소 (28)로부터 가스에 대한 압력을 약 32 ℃ 이상에서 약 70 내지 75 기압 이상으로 증가시키는 가압 유닛에 공급되어 초임계 유체가 형성된다. 밸브 (1,3)가 개방되고 밸브 (2)가 밀폐되는 동안 초임계 유체 (SCF)는 밸브 (36) 및 도관 (38)을 통해 고체, 액체 또는 기체 제거제를 보유한 저장소 (11)로 이동한다. 가능한 제거제는 하기와 같다. SCF를 제거제에 통과시키는 것은 개질제를 SCF에 유입시키는 작용을 한다. 제거제와 함께 유입된 SCF는 저장소 (11)에서 용기 (16)내로 유입된다. SCF 혼합물 및 무기 오염물이 도입되어, 무기 오염물 함유 최상층이 제거되고, 무기 오염물이 노출된다 (동시에 무기 오염물을 개질시킬 수 있다).
무기 오염물 함유 최상층을 제거제로 제거시켜서 무기 오염물을 노출시키는 단계에 후속적으로 또는 이와 동시에, 그리고 개질된 무기 오염물을 제거시키는 단계에 후속적으로 또는 이와 동시에, SCF는 밸브 (36) 및 도관 (38)을 통해 고체, 액체, 또는 기체 개질제를 보유한 저장소 (12)로 이동한다. 가능한 개질제는 하기와 같다. 밸브 (1,3 및 5)를 밀폐시키고 밸브 (2,4 및 6)를 개방시켜 상기를 수행한다. SCF를 제거제에 통과시키는 것은 개질제를 SCF에 유입시키는 작용을 한다. 제거제와 함께 유입된 SCF는 저장소 (14)에서 용기 (16)내로 유입된다. SCF 혼합물 및 노출된 무기 오염물이 도입되어, 시료 (바람직하게는, 반도체 웨이퍼) 표면 상에 위치한 무기 오염물이 개질된다.
최상층을 제거시켜서 무기 오염물을 노출시키는 단계에 후속적으로 또는 이와 동시에, 그리고 개질제로 반도체 시료 상의 무기 오염물을 개질시키는 단계에 후속적으로 또는 이와 동시에, SCF는 밸브 (36) 및 도관 (38)을 통해 고체, 액체, 또는 기체 용매제를 보유한 저장소 (14)로 이동한다. 가능한 용매제는 하기와 같다. 밸브 (1,3,4,6 및 9)를 밀폐시키고 밸브 (2,5 및 8)를 개방시켜 상기를 수행한다. SCF를 용매제에 통과시키는 것은 용매제를 SCF에 유입시키는 작용을 한다. 용매제와 함께 유입된 SCF는 용매제를 저장소 (14)에서 용기 (16)내로 유입시킨다. SCF 혼합물 및 노출되어 개질된 무기 오염물이 도입되어, 시료 (바람직하게는, 반도체 웨이퍼) 표면으로부터 노출되어 개질된 무기 오염물이 제거된다.
개질된 무기 오염물 및 CO2가 제거되고 감압 밸브 (18)를 통과하여 무기 오염물이 용기 (20) 내에 침전된다. 이어서, CO2기체는 펌프 (24)에 의해 관(26)을 통해 저장소 (28)로 재순환한다. 무기 오염물은 관 (22)을 통해 제거될 수 있다.
본 발명의 일 태양은 천연 산화물 층에서 또는 반도체 하부층과 천연 산화물 층 사이에서 무기 (바람직하게는 금속성) 오염물을 제거시키는 방법이다. 바람직하게는, 이 방법은 하기 단계를 포함한다. 제1 단계에서 두께가 30 Å인 천연 산화물을 제거제로 처리하여 제거시킨다. 제2 단계에서 무기 오염물을 전환제와 반응시켜 무기 오염물을 바람직하게는, 더욱 가용성으로 전환시킨다. 제거제 및 전환제는 동일한 성분으로 이루어질 수 있다. 제3 단계에서 전환된 무기 오염물을 용매제로 제거시킨다. 제거제, 개질제, 및 용매제는 동일한 성분으로 이루어지고, 동시에 또는 연속적으로 투여될 수 있다.
제거제는 플루오르화수소산으로 이루어질 수 있다. 또한, 제거제는 증기 노출, 플라즈마 노출시키거나, 또는 반도체 웨이퍼를 HF 함유 초임계 유체 (바람직하게는 CO2)에 노출시켜 도입될 수 있다. 전환제는 HF로 이루어지거나 임의의 기타 할로겐 함유제 (바람직하게는, 염소)를 포함할 수 있다. 전환제는 증기 노출시켜 웨이퍼에, 플라즈마 노출시켜 웨이퍼에 도입되거나, 웨이퍼를 전환제 함유 초임계 유체 (바람직하게는, CO2)에 노출시켜 도입될 수 있다. 바람직하게는, 전환제는 산 (바람직하게는, KCN, HF, HCl 또는 KI), 염기 (바람직하게는, NH4OH, KOH, 또는 NF3), 킬레이트제 (바람직하게는, 디베타케톤), 또는 할로겐 함유제 (바람직하게는, CO, NH3, NO, COS, NH4OH, 물 또는 H2O2)로 이루어진다. 바람직하게는, 용매제는 극성 기체 (바람직하게는 CO, COS, NO, NH3또는 NF3), 비극성 기체 (바람직하게는 N2, H2, O2또는 F2), 극성 SCF (바람직하게는, NO2), 비극성 SCF (바람직하게는, CO2), 극성종 (바람직하게는, 물, 에탄올, 메탄올, 아세톤 또는 글리콜), 비극성종 (바람직하게는, 테트라히드로푸란, 또는 디메틸포름아미드), 계면활성제, 세제, 또는 양쪽성계 물질 (바람직하게는, 소듐 도데실 술페이트, 4차 암모늄 염, 또는 양이온계, 음이온계, 비이온계 또는 양쪽성 이온계 계면활성제), 또는 킬레이트제 (바람직하게는, 베타-디케톤, 플루오르화 또는 비플루오르화 크라운 에테르)로 이루어지고, 바람직하게는 초임계 유체 (바람직하게는 CO2)에 포함된다.
도 2a 내지 2d를 참조하면, 본 태양의 방법은 천연 산화물 (102) 위에, 천연 산화물 (102) 내에, 또는 천연 산화물 (102)과 하부층 (100) 사이에 위치한 무기 오염물 (104)을 제거시킬 수 있다. 이 방법을 이용하여 천연 산화물 층 (102)을 제거시키고 (도 2a 및 도 2b 참조), 무기 오염물을 전환시켜서 이후의 제거 단계에서 더욱 가용성이 되게하여 (도 2b 및 도 2c 참조: 무기 오염물 (104)이 개질되어 더욱 가용성인 무기 오염물 (106)이됨) 전환된 무기 오염물을 제거시킬 수 있다 (도 2d 참조). 전환제는 무기 오염물을 후속적인 제거 단계에서 더욱 가용성이 되게하는 (또는 더욱 용이하게 제거되게 하는) 임의의 작용제를 포함할 수 있다. 즉, 전환제는 킬레이트제를 포함할 수 있다. 또한, 천연 산화물의 제거 및 무기 오염물의 전환을 한 단계로 행할 수 있다.
제거제는 SCF에 포함되거나 포함되지 않을 수 있고 전환제는 SCF에 포함되거나 포함되지 않을 수 있다. 또한, 제거제, 전환제, 및 용매제를 모두 동시에 도입시키거나, 또는 제거제와 전환제를 함께 도입한 후 용매제를 도입시키거나, 또는 제거제를 도입한 후 전환제와 용매제 조합물을 도입시킬 수 있다.
예컨대, 무기 오염물 (104)이 나트륨으로 이루어지고, 이들 오염물이 천연 산화물 전체에 분산되는 경우 (도 2a 참조), 천연 산화물 (102)을 제거시키기 위해 HF를 장치 (108)에 도입시킨다. HF는 SCF에 유입되거나 되지 않는다. 이같은 단계의 결과를 도 2b에 도시한다. 이후, 장치 (108)를 HF에 노출시킨다 (상기 단계와 동시에 행해질 수 있다). 이 단계에서 나트륨 오염물이 전환/변성된다. 결과물은 NaF이다 (도 2c의 오염물 (106) 참조). 이후, 장치 (108)를 용매 (초임계 CO2로 유입된 물)로 처리하여 더욱 가용성인 오염물 (106)을 제거한다. 천연 산화물 및 오염물이 제거된 결과를 도 2 d에 도시한다.
본 발명에 의해 천연 산화물 층과 반도체 웨이퍼 사이에서 금속 오염물을 제거시키는 방법 및 고유한 산화물 층과 반도체 웨이퍼 사이에서 무기 오염물을 제거시키는 방법이 제공된다.
본 발명의 특정 태양을 기재하지만, 이로인해 본 발명의 범위가 제한되지 않는다. 본 발명의 많은 태양은 본원의 방법에 따라 본 발명의 숙련자에게 명백해질 것이다. 본 발명의 범위는 첨부된 특허청구범위에 의해서만 제한된다.

Claims (18)

  1. 기재 상부층을 1종 이상의 제거제로 제거시키는 단계, 무기 오염물을 1종 이상의 전환제와 반응시켜 무기 오염물을 전환시키는 단계, 전환된 무기 오염물을 제1 초임계 유체에 포함되는 1종 이상의 용매제로 처리하여 제거시키는 단계로 이루어지고, 전환된 무기 오염물이 무기 오염물보다 용매제에 더욱 잘 용해되는 것을 특징으로 하는 기재 상부층으로부터 무기 오염물을 제거시키는 방법.
  2. 제1항에 있어서, 상기 전환제가 산제, 염기제, 킬레이트제, 리간드제, 할로겐 함유제, 및 이의 조합물로 이루어진 군으로부터 선택되는 방법.
  3. 제1항에 있어서, 상기 전환제가 HF로 이루어지는 방법.
  4. 제1항에 있어서, 상기 전환제가 초임계 CO2에 포함되는 방법.
  5. 제1항에 있어서, 상기 용매제가 극성 기체, 비극성 기체, 극성 초임계 유체, 비극성 초임계 유체, 극성종, 비극성종, 계면활성제, 세제, 양쪽성계 물질, 또는 킬레이트제로 이루어진 군으로부터 선택되는 방법.
  6. 제1항에 있어서, 상기 용매제가 초임계 CO2에 포함되는 방법.
  7. 제1항에 있어서, 상기 상부층이 천연 산화물로 이루어지는 방법.
  8. 제1항에 있어서, 상기 기재 상부층을 제거제로 제거시키는 단계 및 상기 무기 오염물을 전환제와 반응시키는 단계를 동시에 수행하는 방법.
  9. 제1항에 있어서, 상기 기재 상부층을 제거제로 제거시키는 단계, 상기 무기 오염물을 전환제와 반응시키는 단계, 및 상기 전환된 무기 오염물을 제거시키는 단계를 동시에 수행하는 방법.
  10. 제1항에 있어서, 상기 기재 상부층을 제거제로 제거시키는 단계를 수행한 후, 상기 무기 오염물을 전환제와 반응시키는 단계 및 상기 전환된 무기 오염물을 제거시키는 단계를 동시에 수행하는 방법.
  11. 제1항에 있어서, 상기 제거제가 HF로 이루어지는 방법.
  12. 제11항에 있어서, 상기 제거제가 제2 초임계 유체에 포함되는 방법.
  13. 제12항에 있어서, 상기 제2 초임계 유체가 초임계 CO2로 이루어지는 방법.
  14. 제1항에 있어서, 상기 제거제가 HF로 이루어지는 방법.
  15. 기재 상부층을 제1 초임계 유체에 포함된 1종 이상의 제거제로 제거시키는 단계, 무기 오염물을 제2 초임계 유체에 포함된 1종 이상의 전환제와 반응시켜 무기 오염물을 전환시키는 단계, 전환된 무기 오염물을 제3 초임계 유체에 포함된 1종 이상의 용매제로 처리하여 제거시키는 단계로 이루어지고, 전환된 무기 오염물은 무기 오염물보다 용매제에 더욱 잘 용해되는 것을 특징으로 하는 기재 상부층으로부터 무기 오염물을 제거시키는 방법.
  16. 제15항에 있어서, 상기 기재 상부층을 제거제로 제거시키는 단계 및 상기 무기 오염물을 전환제와 반응시키는 단계를 동시에 수행하는 방법.
  17. 제15항에 있어서, 상기 기재 상부층을 제거제로 제거시키는 단계, 상기 무기 오염물을 전환제와 반응시키는 단계, 및 상기 전환된 무기 오염물을 제거시키는 단계를 모두 동시에 수행하는 방법.
  18. 제15항에 있어서, 상기 기재 상부층을 제거제로 제거시키는 단계를 수행한 후, 상기 무기 오염물을 전환제와 반응시키는 단계 및 상기 전환된 무기 오염물을 제거시키는 단계를 동시에 수행하는 방법.
KR1019970036355A 1996-08-01 1997-07-31 입출력포트 및 램 메모리 어드레스 지정기술 KR19980018262A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2291396P 1996-08-01 1996-08-01
US60/022,913 1996-08-01

Publications (1)

Publication Number Publication Date
KR19980018262A true KR19980018262A (ko) 1998-06-05

Family

ID=21812079

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970036355A KR19980018262A (ko) 1996-08-01 1997-07-31 입출력포트 및 램 메모리 어드레스 지정기술

Country Status (5)

Country Link
US (1) US5868862A (ko)
EP (1) EP0822583A3 (ko)
JP (1) JPH10135170A (ko)
KR (1) KR19980018262A (ko)
TW (1) TW408362B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100693691B1 (ko) * 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955776A (en) * 1996-12-04 1999-09-21 Ball Semiconductor, Inc. Spherical shaped semiconductor integrated circuit
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6755871B2 (en) * 1999-10-15 2004-06-29 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US7097715B1 (en) * 2000-10-11 2006-08-29 R. R. Street Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6558432B2 (en) * 1999-10-15 2003-05-06 R. R. Street & Co., Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US20040011378A1 (en) * 2001-08-23 2004-01-22 Jackson David P Surface cleaning and modification processes, methods and apparatus using physicochemically modified dense fluid sprays
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
WO2002084709A2 (en) * 2001-04-10 2002-10-24 Supercritical Systems Inc. High pressure processing chamber for semiconductor substrate including flow enhancing features
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6946055B2 (en) * 2001-08-22 2005-09-20 International Business Machines Corporation Method for recovering an organic solvent from a waste stream containing supercritical CO2
US6838015B2 (en) * 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
WO2003033428A1 (en) * 2001-10-17 2003-04-24 Praxair Technology, Inc. Recycle for supercritical carbon dioxide
US7557073B2 (en) * 2001-12-31 2009-07-07 Advanced Technology Materials, Inc. Non-fluoride containing supercritical fluid composition for removal of ion-implant photoresist
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
CA2472478A1 (en) * 2002-01-07 2003-07-17 John Frederic Billingham Method for cleaning an article
JP2003224099A (ja) * 2002-01-30 2003-08-08 Sony Corp 表面処理方法
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6521466B1 (en) 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6905556B1 (en) 2002-07-23 2005-06-14 Novellus Systems, Inc. Method and apparatus for using surfactants in supercritical fluid processing of wafers
US7282099B2 (en) * 2002-09-24 2007-10-16 Air Products And Chemicals, Inc. Dense phase processing fluids for microelectronic component manufacture
US20080004194A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US20080000505A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US20040175948A1 (en) * 2002-10-10 2004-09-09 The University Of North Carolina At Chapel Hill Metal chelation in carbon dioxide
US6943139B2 (en) * 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
US7485611B2 (en) * 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
JP2004158534A (ja) * 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US20040168709A1 (en) * 2003-02-27 2004-09-02 Drumm James M. Process control, monitoring and end point detection for semiconductor wafers processed with supercritical fluids
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
EP1673802A1 (en) * 2003-10-14 2006-06-28 EKC Technology, INC. REMOVAL OF POST ETCH RESIDUES AND COPPER CONTAMINATION FROM LOW-K DIELECTRICS USING SUPERCRITICAL CO sb 2 /sb WITH DIKETONE ADDITIVES
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US7741012B1 (en) 2004-03-01 2010-06-22 Advanced Micro Devices, Inc. Method for removal of immersion lithography medium in immersion lithography processes
US7553803B2 (en) * 2004-03-01 2009-06-30 Advanced Technology Materials, Inc. Enhancement of silicon-containing particulate material removal using supercritical fluid-based compositions
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US20050288485A1 (en) * 2004-06-24 2005-12-29 Mahl Jerry M Method and apparatus for pretreatment of polymeric materials utilized in carbon dioxide purification, delivery and storage systems
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102591A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method and system for treating a substrate using a supercritical fluid
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20060180174A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060255012A1 (en) * 2005-05-10 2006-11-16 Gunilla Jacobson Removal of particles from substrate surfaces using supercritical processing
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP4963815B2 (ja) * 2005-09-07 2012-06-27 ソニー株式会社 洗浄方法および半導体装置の製造方法
US7588995B2 (en) * 2005-11-14 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method to create damage-free porous low-k dielectric films and structures resulting therefrom
US7951723B2 (en) * 2006-10-24 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated etch and supercritical CO2 process and chamber design
KR101047862B1 (ko) * 2009-03-13 2011-07-08 주식회사 에이앤디코퍼레이션 고압 처리기를 이용한 기판처리장치 및 고압 처리기의 가스재활용방법
SG181638A1 (en) * 2009-12-11 2012-07-30 Lam Res Corp Process to keep substrate surface wet during plating
KR101187375B1 (ko) 2011-01-27 2012-10-05 부경대학교 산학협력단 반도체 기판의 실리콘 산화막의 식각방법
JP7246305B2 (ja) * 2017-04-25 2023-03-27 東京応化工業株式会社 洗浄方法、洗浄装置、記憶媒体、及び洗浄組成物
WO2019005095A1 (en) 2017-06-30 2019-01-03 Halliburton Energy Services, Inc. CHANGING GEOCHIMICALLY ASSISTED WETTING FOR UNDERGROUND SURFACES
CN110899248A (zh) * 2019-06-21 2020-03-24 杭州杭氧股份有限公司 一种利用超临界流体批量清洗超高纯气体钢瓶的系统及其方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01204427A (ja) * 1988-02-10 1989-08-17 Hitachi Ltd 半導体装置
EP0391035A2 (en) * 1989-04-03 1990-10-10 Hughes Aircraft Company Dense fluid photochemical process for substrate treatment
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
JPH06196472A (ja) * 1992-12-22 1994-07-15 Soltec:Kk ウェットエッチング方法及びウェット洗浄方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4475993A (en) * 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
CA2059841A1 (en) * 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5225173A (en) * 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5274129A (en) * 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5213622A (en) * 1991-10-11 1993-05-25 Air Products And Chemicals, Inc. Cleaning agents for fabricating integrated circuits and a process for using the same
EP0617713A1 (en) * 1991-12-18 1994-10-05 Schering Corporation Method for removing residual additives from elastomeric articles
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5352327A (en) * 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) * 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
JP3338134B2 (ja) * 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW274630B (ko) * 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc., Dallas Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
JP2598250B2 (ja) * 1994-12-10 1997-04-09 三星電子株式会社 空気調和機のエアフィルタ取付装置
US5681398A (en) * 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
US5679169A (en) * 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
KR100345214B1 (ko) * 1999-08-17 2002-07-25 이강춘 생체적합성 고분자가 수식된 펩타이드의 비점막 전달

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
JPH01204427A (ja) * 1988-02-10 1989-08-17 Hitachi Ltd 半導体装置
EP0391035A2 (en) * 1989-04-03 1990-10-10 Hughes Aircraft Company Dense fluid photochemical process for substrate treatment
JPH06196472A (ja) * 1992-12-22 1994-07-15 Soltec:Kk ウェットエッチング方法及びウェット洗浄方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100693691B1 (ko) * 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치

Also Published As

Publication number Publication date
EP0822583A2 (en) 1998-02-04
TW408362B (en) 2000-10-11
JPH10135170A (ja) 1998-05-22
EP0822583A3 (en) 1998-04-01
US5868862A (en) 1999-02-09

Similar Documents

Publication Publication Date Title
KR19980018262A (ko) 입출력포트 및 램 메모리 어드레스 지정기술
KR100478865B1 (ko) 화학유도및추출법에의해무기오염물을제거하는방법
JP6048400B2 (ja) 超臨界乾燥装置及び超臨界乾燥方法
Reinhardt et al. Handbook for cleaning for semiconductor manufacturing: fundamentals and applications
US20020033186A1 (en) Processes and apparatus for treating electronic components
KR100303933B1 (ko) 메가소닉스보조세정의효율제어방법
KR100853354B1 (ko) 초임계수산화법에 의한 오염된 물품의 세척 방법
EP0496899A1 (en) Method for cleaning
US20020066717A1 (en) Apparatus for providing ozonated process fluid and methods for using same
WO1998050947A1 (en) Methods for cleaning semiconductor surfaces
KR100238234B1 (ko) 반도체소자용 인-시튜 세정장치 및 그를 이용한 반도체 소자의 세정방법
US6783602B2 (en) Multistep single chamber parts processing method
KR100597656B1 (ko) 반도체의 제조를 위한 세정방법 및 세정장치
TW404853B (en) Wet processing methods for the manufacture of electronic components using ozonated process fluids
CN100362633C (zh) 一种去除刻蚀工艺后硅片表面颗粒的等离子体清洗方法
KR20010032446A (ko) 전자 부품 제조를 위한 습식 공정 방법
TWI763374B (zh) 處理半導體裝置的方法
Rubin et al. Carbon dioxide-based supercritical fluids as IC manufacturing solvents
US6939408B1 (en) Method for surface preparation of workpieces utilizing fluid separation techniques
JP2023529269A (ja) 基板上の粒子又はフォトレジストを除去する方法及び装置
JP2002066498A (ja) 有機塩素化合物pcb油入りの容器及び部材類の洗浄処理方法
Jung et al. Carbonized Photoresist Stripping using Supercritical Carbon Dioxide
KR100608477B1 (ko) 전리과산화오존수를 이용한 디스플레이 및 반도체 기판세정방법
Rosamilia et al. CLEANING TECHNOLOGY IN SEMICONDUCTOR DEVICE MANUFACTURING: APPROACHES TO ENVIRONMENTALLY RESPONSIBLE PROCESSING
KR940007053B1 (ko) 반도체 기판의 세정방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application