KR100478865B1 - 화학유도및추출법에의해무기오염물을제거하는방법 - Google Patents

화학유도및추출법에의해무기오염물을제거하는방법 Download PDF

Info

Publication number
KR100478865B1
KR100478865B1 KR1019970034640A KR19970034640A KR100478865B1 KR 100478865 B1 KR100478865 B1 KR 100478865B1 KR 1019970034640 A KR1019970034640 A KR 1019970034640A KR 19970034640 A KR19970034640 A KR 19970034640A KR 100478865 B1 KR100478865 B1 KR 100478865B1
Authority
KR
South Korea
Prior art keywords
inorganic contaminants
contaminants
converted
inorganic
supercritical
Prior art date
Application number
KR1019970034640A
Other languages
English (en)
Other versions
KR980012049A (ko
Inventor
몬테 에이. 더글라스
알렌 씨. 템플튼
Original Assignee
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텍사스 인스트루먼츠 인코포레이티드 filed Critical 텍사스 인스트루먼츠 인코포레이티드
Publication of KR980012049A publication Critical patent/KR980012049A/ko
Application granted granted Critical
Publication of KR100478865B1 publication Critical patent/KR100478865B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/902Capping layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning In General (AREA)
  • Extraction Or Liquid Replacement (AREA)

Abstract

본 발명의 실시예는 실질적으로 반도체 기판의 표면으로부터 무기 오염물을 제거하는 방법으로서, 이 방법은 무기 오염물을 적어도 하나의 변환제와 반응시켜 무기 오염물을 변환시키는 단계, 및 변환된 무기 오염물에 제1 초임계 유체(양호하게는, 초임계 CO2)에 포함된 적어도 하나의 용매제를 가하여 변환된 무기 오염물을 제거하는 단계를 포함하며, 변환된 무기 오염물은 무기 오염물보다 용매제에 대한 용해도가 높다.

Description

화학 유도 및 추출법에 의해 무기 오염물을 제거하는 방법{METHOD OF REMOVING INORGANIC CONTAMINATION BY CHEMICAL DERIVITIZATION AND EXTRACTION}
본 발명은 반도체 디바이스의 제조 및 처리에 관한 것으로, 특히 반도체 구조체의 표면의 무기 오염물을 제거하는 것에 관한 것이다.
예를 들어, 집적 회로 및 액정 디스플레이의 제조시, 기판 및 후속 반도체층의 오염은 많은 문제점들을 야기하므로 가능한 한 감소되어야 한다. 그러한 오염의 예로는 잔여 입자, 유기물 및 금속이 있다. 게다가, 오염물은 반도체층의 표면 상에 위치될 수도 있고 반도체층과 다른 층(예를 들어, 산화물 층) 사이에 위치될 수도 있다. 통상적으로, 반도체 디바이스의 제조에는 습식 공정이 이용되고 있다. 습식 세정 공정은 일련의 입자 제거 및 금속 제거 공정으로 이루어지며, 이들 공정 사이에 세척 공정이 수행되며 마지막으로 건조 공정이 수행된다. 건조는 통상적으로 웨이퍼를 회전시켜 웨이퍼 상의 액체가 원심력에 의해 제거되게 하거나, 웨이퍼의 표면 상에 응축되어 물과 치환하는 고온 이소프로필 알콜 증기의 클라우드로부터 웨이퍼를 들어 올림으로써 수행된다.
이러한 유형의 습식 세정 공정은 특별히 심각한 결점을 갖는데, 특히 이러한 유형의 대부분의 금속 제거 공정(통상, 강산성 혼합물을 이용함)은 웨이퍼의 표면에 입자들을 첨가하며, 입자 제거 공정(통상, 염기성/산화제 혼합물을 이용함)은 웨이퍼의 표면에 금속을 첨가한다. 게다가, 대부분의 습식 세정 공정은 다음과 같은 문제점, 즉 전자 등급의 습식 화학 물질을 획득하는 비용, 습식 세정 공정에서 사용되는 가성(caustic) 화학 물질의 처리 비용, 트랜치처럼 높은 종횡비 특성에 대해 습식 화학 세정을 제한하거나 방지하는 액체 표면 장력; 및 (반도체 처리시 보다 자주 사용되는) 모든 건조 처리와의 부조화와 같은 문제점을 갖는다. 따라서, 금속 제거 공정 후에 입자 제거 공정을 실시하면 잔여 금속이 발생되고, 반대로 입자 제거 공정 후에 금속 제거 공정을 실시하면 입자는 보다 적어지지만 최종 세척 시 금속에 의해 오염될 수 있다.
최근, 초임계 유체[(supercritical fluid), 즉 초임계 CO2]가 큰 주목을 받아왔다. 커피의 카페인 제거 및 리넨(linen)/파인(fine) 의류의 드라이 크리닝을 포함하는 분야에서 특히 그렇다. 게다가, 반도체 웨이퍼로부터 유기 오염물을 제거하는 데에 초임계 CO2가 사용될 수 있다. "International Journal of Environmentally Conscious Design & Manufacturing" 제 2권 p83(1993)의 "supercritical carbon dioxide is best applied to the removal of organic compounds with mid-to-low volatilities"를 참조하라. 그러나, 초임계 CO2는 일반적으로 반도체 웨이퍼로부터 무기 오염물(즉, 금속)을 제거하는 데에는 비효율적인 것으로 여겨진다.
또 다른 분야와 관련하여, 연구자들은 식물에 초임계 CO2를 가하고 킬레이트제(chelating agent)로 금속을 중화하여 식물로부터 금속을 제거하는 방법을 발견하였다. 엘리자벳 케이. 윌슨(Elizabeth K. Wilson)의 "Toxic Metals Extracted with Supercritical Carbon Dioxide", C&EN 27(1996. 4. 15) 및 미국 특허 제5,356,538호를 참조하라. 그러나, 이러한 문헌은, "양으로 하전된 중금속 이온을 용해(solvate)시키는 데에 있어서, 비극성 초임계 CO2는 거의 쓸모없다"고 언급하고 있다. 그러나, 연구자들은 금속이 킬레이트제에 의해 중화되면 용해될 수 있으며, 더욱이 킬레이트제가 플루오르화되면 용해도가 매우 증가한다는 것을 발견하였다. 그러나, 이러한 방식에는 여러가지 문제점이 존재한다. 첫째로, 하전되지 않은 금속을 제거하기가 어렵다. 둘째로, 플루오르화되지 않은 킬레이트제는 고가이다. 셋째로, 플루오르화된 킬레이트제의 대량 합성은 많은 비용이 든다. 넷째로, 플루오르화된 킬레이트제 및 플루오르화되지 않은 킬레이트제는 독성이 강하고, 정제하여 처리하는데 많은 비용이 든다. 다섯째로, 플루오르화된 킬레이트제에 의해 쉽게 용해되는 금속의 범위가 제한된다. 여섯째로, 상기 문헌의 방법을 이용하는 경우 킬레이트화되지 않은 금속이 하부 반도체 기판으로 확산하면 피해가 극심하다.
그러므로, 본 발명의 목적은 반도체 웨이퍼로부터 금속 오염물을 제거하는 방법을 제공하는 것이다. 본 발명의 또 다른 목적은 반도체 웨이퍼로부터 무기 오염물을 제거하는 방법을 제공하는 것이다.
요약하면, 본 발명의 일 실시예는 이온성 및 중성의 경질 및 중질 무기(금속) 물질의 화학 변성에 수반되는 문제점을 해결하고, 이러한 이온성 및 중성의 경질 및 중질 무기(금속) 물질을 종래 염가의 고순도 무독성 용매에 노출시킴으로써 용해되도록 하는 방법이다. 본 발명의 방법은 자연히 존재하는 산화물(반도체 기판 상부에 존재함)의 표면 상의 금속 무기 오염물을 화학적으로 변화시키는 공정을 포함한다. 이것은 양호하게는, 매우 넓은 범위의 변성제/화학 물질을 이용하여 달성하는 것이 바람직하며, 초임계 유체(양호하게는, CO2)에 노출시키기 전 또는 노출시키는 동안 금속의 변화가 발생될 수도 있다. 그 후, 화학적으로 변화된 금속을 초임계 CO2 유체에 배합한 통상의 용매에 노출시킨다. 마지막으로, 종래 방식으로 용해되고 화학적으로 변화된 금속을 초임계 CO2 용매 내에서 제거한다. 본 발명의 주요 특징은 무기 오염물이 사전에 화학적인 변화가 없었다면 초임계 CO2 유체에 용해되지 않으며 화학적으로 변화된 무기 오염물은 그 화학 변화 공정과 동시에 용매에 의해 제거된다는 것이다.
본 발명의 실시예는 실질적으로 반도체 기판의 표면으로부터 무기 오염물을 제거하는 방법으로서, 이 방법은, 상기 무기 오염물을 적어도 하나의 변환제(conversion agent)와 반응시켜 상기 무기 오염물을 변환시키는 단계; 및 상기 변환된 무기 오염물을 제1 초임계 유체(supercritical fluid)에 함유된 적어도 하나의 용매제(solvent agent)에 가하여, 상기 변환된 무기 오염물을 제거하는 단계를 포함하며, 상기 변환된 무기 오염물은 상기 무기 오염물보다 용매제에 대한 용해도가 높은 것을 특징으로 한다. 양호하게는, 상기 변환제는 산, 염기, 킬레이트제, 리간드제, 할로겐 함유제, 및 이들의 임의의 조합으로 이루어진 그룹에서 선택된다. 상기 무기 오염물은 상기 기판 상에 존재하는 자생 산화물의 실질적인 표면에 위치하며, 이는 금속 오염물로 구성될 수도 있다. 상기 변환제는 제2 초임계 유체 내에 함유될 수도 있으며, 상기 제2 초임계 유체는 초임계 CO2 인 것이 바람직하다. 상기 무기 오염물을 적어도 하나의 변환제와 반응시키는 단계 및 상기 변환된 무기 오염물을 적어도 하나의 용매제에 가하여 상기 변환된 무기 오염물을 제거하는 단계는 동시에 또는 순차적으로 수행될 수 있다. 양호하게는, 상기 용매제는 극성 가스(polar gas), 비극성 가스(nonpolar gas), 극성 초임계 유체, 비극성 초임계 유체, 극성 물질(polar species), 비극성 물질(nonpolar species), 계면 활성제, 세정제, 양성 물질 또는 킬레이트제로 이루어진 그룹에서 선택된다.
본 발명의 또 다른 실시예는 실질적으로 반도체 기판의 표면으로부터 금속 오염물을 제거하는 방법으로서, 상기 방법은, 상기 금속 오염물을 제1 초임계 CO2 유체에 함유된 적어도 하나의 변환제와 반응시켜 상기 금속 오염물을 변환시키는 단계; 및 상기 변환된 무기 오염물을 제2 초임계 CO2 유체에 함유된 적어도 하나의 용매제에 가하여, 상기 변환된 무기 오염물을 제거하는 단계를 포함하며, 상기 변환된 금속 오염물은 상기 금속 오염물보다 용매제에 대한 용해도가 높은 것을 특징으로 한다. 상기 금속 오염물을 적어도 하나의 변환제와 반응시키는 단계 및 상기 변환된 무기 오염물을 적어도 하나의 용매제에 가하여 상기 변환된 금속 오염물을 제거하는 단계는 동시에 또는 순차적으로 수행될 수 있다.
이제, 첨부 도면을 참조하여 본 발명을 보다 상세히 설명하기로 한다.
도 1은 본 발명의 방법을 수행하는 데에 사용될 수 있는 처리 시스템을 도시한다. 세정될 샘플(무기 오염물이 존재하는 반도체 웨이퍼)이 용기(16) 내에 보유되어 있다. 초임계 유체(양호하게는, CO2 가스)는, 밸브(32)를 포함하는 도관(30)에 의해 접속된 가스통(28)으로부터, 대략 32℃ 이상의 온도에서 대략 70 내지 75 기압 이상으로 기체에 압력을 가하여 초임계 유체를 생성하는 가압 유닛(34; pressurization unit)에 공급된다. 초임계 유체(SCF)는, [밸브(1 및 3)가 개방되고 밸브(2)가 폐쇄되는 한] 밸브(36) 및 도관(38)을 통해 고체, 액체 또는 기체 변성제를 보유하는 통(12)으로 이동한다. 이하, 가능한 변성제를 나열하기로 한다. SCF를 변성제에 통과시키면 변성제가 SCF와 결합한다. 변성제와 결합된 SCF는 통(12)을 떠나 용기(16)로 유입된다. SCF 혼합물 및 무기 오염물이 도입되어 무기 오염물을 변성시킨다.
변성제에 의한 반도체 샘플 상의 무기 오염물의 변성 이후 또는 동시에, SCF는 밸브(36) 및 도관(38)을 통해 고체, 액체 또는 기체 용매제를 보유하는 가스통(14)으로 이동한다. 이것은 폐쇄 밸브(1, 3 및 5) 및 개방 밸브(2, 4 및 6)에 의해 달성된다. 용매제에 SCF를 통과시키면 용매제가 SCF에 섞여 들어간다. 용매제와 배합된 SCF는 통(12)을 나와 용기(16)로 유입된다. SCF 혼합물 및 변성된 무기 오염물이 도입되어 샘플(양호하게는, 반도체 웨이퍼)의 표면으로부터 변성된 무기 오염물이 제거된다.
변성된 무기 오염물 및 CO2는 제거되며 감압 밸브(18)를 통과하여 무기 오염물이 용기(20) 내에 침전된다. 그 후, CO2 가스는 펌프(24)에 의해 도관(26)을 통해 가스통(28)으로 재순환된다. 무기 오염물은 도관(22)을 통해 제거되게 된다.
본 발명의 한 실시예는 전도성, 반도전성 또는 절연성 층의 상부 단층(top monolayer)으로부터 무기 오염물(양호하게는, 금속)을 제거하는 방법이다. "상부 단층"은 일반적으로 층의 상부(5)를 지칭하며, 그 층은 일반적으로 산화물(자연히 존재하는 산화물 층; native oxide layer)로 구성된다.
일반적으로, 본 발명의 실시예는 기판 상에 성장된 자연히 존재하는 산화물의 표면 또는 기판 표면으로부터 금속을 포함한 무기 오염물을 초임계 유체(양호하게는, 초임계 CO2) 내의 용매제에 의해 나중에 제거하기 위하여, 그 용매제에 대하여 용해도가 보다 높은 다른 별도의 물질로 화학 변환시킴으로써 제거하는 방법이다. 특히, 본 발명의 방법은 양호하게는, 변환제와 무기 오염물을 반응시켜 그 변환된 무기 오염물 생성물을 용매(양호하게는, 완전 또는 부분적으로 CO2와 같은 초임계 유체 내에 포함되어 있는 용매)에 의해 제거하는 단계를 포함한다. 변환제는 산(양호하게는, KCN, HF, HCl 또는 KI), 염기(양호하게는, NH4OH, KOH 또는 NF3), 킬레이트 및/또는 리간드제(양호하게는, 디베타케톤) 또는 할로겐 함유제(양호하게는, CO, NH3, NO, COS, NH4OH, 물 또는 H2O2)일 수도 있다. 변환제는 증기 노출, 플라즈마 노출에 의해 또는 초임계 유체(양호하게는 CO2) 내의 변환제를 배합하여 이 혼합물에 웨이퍼를 노출시킴으로써 반도체 웨이퍼에 도입될 수도 있다. 용매제는 극성 가스(양호하게는, CO, COS, NO, NH3 또는 NF3), 비극성 가스(양호하게는, N2, H2, O2 또는 F2), 극성 SCF(양호하게는, NO), 비극성 SCF(양호하게는, CO2), 극성 물질(양호하게는, 물, 에탄올, 메탄올, 아세톤 또는 글리콜), 비극성 물질(양호하게는, 테트라하이드로퓨안(tetrahydrofuan) 또는 디메틸포르아미드), 계면 활성제, 세정제, 또는 양성 물질(양호하게는, 소듐 도데실 설파이트, 4가 암모늄염 또는 양이온, 음이온, 무이온 또는 양성 이온 계면 활성제) 또는 킬레이트제(양호하게는, 베타디케톤, 플루오르화되거나 플루오르화되지 않은 크라운 에테르로 이루어진 그룹에서 선택된다.
본 발명의 특정 실시예가 본 명세서에서 기술되었지만, 본 발명의 범위를 제한하는 것으로 해석되지는 않는다. 본 기술 분야의 숙련자라면 본 명세서의 방법으로부터 본 발명의 많은 실시예들을 분명히 알 수 있을 것이다. 본 발명의 범위는 첨부된 특허 청구 범위에 의해서만 제한된다.
도 1은 본 발명의 실시예에 따른 예시적 세정 시스템의 개략도.
<도면의 주요 부분에 대한 부호의 설명>
10 : 세정 시스템
12 : 변성제
14 : 용매제
16 : 세정용 샘플 용기
24 : 펌프
28 : 가스통
34 : 초임계 유체 가압 장치

Claims (11)

  1. 실질적으로 반도체 기판의 표면으로부터 무기 오염물을 제거하는 방법에 있어서,
    상기 무기 오염물을 적어도 하나의 변환제(conversion agent)와 반응시켜 상기 무기 오염물을 변환시키는 단계; 및
    상기 변환된 무기 오염물을 제1 초임계 유체(supercritical fluid)에 함유된 적어도 하나의 용매제(solvent agent)에 가하여, 상기 변환된 무기 오염물을 제거하는 단계
    를 포함하며,
    상기 변환된 무기 오염물은 상기 무기 오염물보다 용매제에 대한 용해도가 높은 것을 특징으로 하는 무기 오염물 제거 방법.
  2. 제1항에 있어서,
    상기 변환제는 산, 염기, 킬레이트제, 리간드제, 할로겐 함유제, 및 이들의 임의의 조합으로 이루어진 그룹에서 선택되는 것을 특징으로 하는 무기 오염물 제거 방법.
  3. 제1항에 있어서,
    상기 제1 초임계 유체는 초임계 CO2인 것을 특징으로 하는 무기 오염물 제거 방법.
  4. 제1항에 있어서,
    상기 무기 오염물은 상기 기판 상에 자연히 존재하는 산화물(native oxide)의 실질적인 표면 상에 위치하는 것을 특징으로 하는 무기 오염물 제거 방법.
  5. 제1항에 있어서,
    상기 변환제를 제2 초임계 유체에 함유시키는 것을 특징으로 하는 무기 오염물 제거 방법.
  6. 제5항에 있어서,
    상기 제2 초임계 유체는 초임계 CO2인 것을 특징으로 하는 무기 오염물 제거 방법.
  7. 제1항에 있어서,
    상기 무기 오염물을 적어도 하나의 변환제와 반응시키는 단계 및 상기 변환된 무기 오염물을 적어도 하나의 용매제에 가하여 상기 변환된 무기 오염물을 제거하는 단계는 동시에 수행되는 것을 특징으로 하는 무기 오염물 제거 방법.
  8. 제1항에 있어서,
    상기 용매제는 극성 가스(polar gas), 비극성 가스(nonpolar gas), 극성 초임계 유체, 비극성 초임계 유체, 극성 물질(polar species), 비극성 물질(nonpolar species), 계면 활성제, 세정제, 양성 물질(amphoteric material) 또는 킬레이트제로 이루어진 그룹에서 선택되는 것을 특징으로 하는 무기 오염물 제거 방법.
  9. 제1항에 있어서,
    상기 무기 오염물은 금속 오염물인 것을 특징으로 하는 무기 오염물 제거 방법.
  10. 실질적으로 반도체 기판의 표면으로부터 금속 오염물을 제거하는 방법에 있어서,
    상기 금속 오염물을 제1 초임계 CO2 유체에 함유된 적어도 하나의 변환제와 반응시켜 상기 금속 오염물을 변환시키는 단계; 및
    상기 변환된 무기 오염물을 제2 초임계 CO2 유체에 함유된 적어도 하나의 용매제에 가하여, 상기 변환된 무기 오염물을 제거하는 단계
    를 포함하며,
    상기 변환된 금속 오염물은 상기 금속 오염물보다 용매제에 대한 용해도가 높은 것을 특징으로 하는 금속 오염물 제거 방법.
  11. 제10항에 있어서,
    상기 금속 오염물을 적어도 하나의 변환제와 반응시키는 단계 및 상기 변환된 무기 오염물을 적어도 하나의 용매제에 가하여 상기 변환된 금속 오염물을 제거하는 단계는 동시에 수행되는 것을 특징으로 하는 금속 오염물 제거 방법.
KR1019970034640A 1996-07-25 1997-07-24 화학유도및추출법에의해무기오염물을제거하는방법 KR100478865B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2281196P 1996-07-25 1996-07-25
US60/022,811 1996-07-25

Publications (2)

Publication Number Publication Date
KR980012049A KR980012049A (ko) 1998-04-30
KR100478865B1 true KR100478865B1 (ko) 2005-05-16

Family

ID=21811559

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970034640A KR100478865B1 (ko) 1996-07-25 1997-07-24 화학유도및추출법에의해무기오염물을제거하는방법

Country Status (6)

Country Link
US (1) US5868856A (ko)
EP (1) EP0829312B1 (ko)
JP (1) JPH1099806A (ko)
KR (1) KR100478865B1 (ko)
DE (1) DE69722542T2 (ko)
TW (1) TW399226B (ko)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6846789B2 (en) * 1998-03-30 2005-01-25 The Regents Of The University Of California Composition and method for removing photoresist materials from electronic components
WO1999049998A1 (en) 1998-03-30 1999-10-07 The Regents Of The University Of California Composition and method for removing photoresist materials from electronic components
US6187911B1 (en) * 1998-05-08 2001-02-13 Idaho Research Foundation, Inc. Method for separating metal chelates from other materials based on solubilities in supercritical fluids
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6558432B2 (en) * 1999-10-15 2003-05-06 R. R. Street & Co., Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6355072B1 (en) 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US7097715B1 (en) * 2000-10-11 2006-08-29 R. R. Street Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6755871B2 (en) * 1999-10-15 2004-06-29 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
CN1216415C (zh) * 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
WO2002011191A2 (en) * 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6641678B2 (en) 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6602351B2 (en) 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6613157B2 (en) 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6905555B2 (en) 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
KR100777892B1 (ko) * 2001-04-10 2007-11-21 동경 엘렉트론 주식회사 반도체 기판 처리용 고압 챔버
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6946055B2 (en) * 2001-08-22 2005-09-20 International Business Machines Corporation Method for recovering an organic solvent from a waste stream containing supercritical CO2
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
CA2465902C (en) * 2001-11-06 2011-05-31 The Procter & Gamble Company Multi-motion toothbrush
JP2003224099A (ja) * 2002-01-30 2003-08-08 Sony Corp 表面処理方法
WO2003070846A2 (en) * 2002-02-15 2003-08-28 Supercritical Systems Inc. Drying resist with a solvent bath and supercritical co2
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
US7128840B2 (en) 2002-03-26 2006-10-31 Idaho Research Foundation, Inc. Ultrasound enhanced process for extracting metal species in supercritical fluids
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6905556B1 (en) 2002-07-23 2005-06-14 Novellus Systems, Inc. Method and apparatus for using surfactants in supercritical fluid processing of wafers
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US20080000505A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US20080004194A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US7282099B2 (en) * 2002-09-24 2007-10-16 Air Products And Chemicals, Inc. Dense phase processing fluids for microelectronic component manufacture
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US20040175948A1 (en) * 2002-10-10 2004-09-09 The University Of North Carolina At Chapel Hill Metal chelation in carbon dioxide
US7485611B2 (en) * 2002-10-31 2009-02-03 Advanced Technology Materials, Inc. Supercritical fluid-based cleaning compositions and methods
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
JP2004158534A (ja) * 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US6997197B2 (en) * 2002-12-13 2006-02-14 International Business Machines Corporation Apparatus and method for rapid thermal control of a workpiece in liquid or dense phase fluid
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US6875709B2 (en) * 2003-03-07 2005-04-05 Taiwan Semiconductor Manufacturing Comapny, Ltd. Application of a supercritical CO2 system for curing low k dielectric materials
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US20050107274A1 (en) * 2003-10-14 2005-05-19 Jerome Daviot Removal of post etch residues and copper contamination from low-k dielectrics using supercritical CO2 with diketone additives
US7741012B1 (en) 2004-03-01 2010-06-22 Advanced Micro Devices, Inc. Method for removal of immersion lithography medium in immersion lithography processes
US20050241672A1 (en) * 2004-04-28 2005-11-03 Texas Instruments Incorporated Extraction of impurities in a semiconductor process with a supercritical fluid
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7195676B2 (en) * 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US20060180174A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060255012A1 (en) * 2005-05-10 2006-11-16 Gunilla Jacobson Removal of particles from substrate surfaces using supercritical processing
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP4963815B2 (ja) * 2005-09-07 2012-06-27 ソニー株式会社 洗浄方法および半導体装置の製造方法
JP2007251081A (ja) * 2006-03-20 2007-09-27 Dainippon Screen Mfg Co Ltd 基板処理方法
US10144874B2 (en) * 2013-03-15 2018-12-04 Terrapower, Llc Method and system for performing thermochemical conversion of a carbonaceous feedstock to a reaction product
CN110899248A (zh) * 2019-06-21 2020-03-24 杭州杭氧股份有限公司 一种利用超临界流体批量清洗超高纯气体钢瓶的系统及其方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
JPH01204427A (ja) * 1988-02-10 1989-08-17 Hitachi Ltd 半導体装置
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
EP0496605B1 (en) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5356538A (en) * 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
SK74094A3 (en) * 1991-12-18 1996-01-10 Schering Corp Method for removing residual additives from elastomeric articles
US5352327A (en) * 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) * 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
JP3338134B2 (ja) * 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
TW274630B (ko) * 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5681398A (en) * 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (ja) * 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
US5679169A (en) * 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers

Also Published As

Publication number Publication date
KR980012049A (ko) 1998-04-30
EP0829312A3 (en) 1999-09-15
US5868856A (en) 1999-02-09
JPH1099806A (ja) 1998-04-21
DE69722542D1 (de) 2003-07-10
EP0829312B1 (en) 2003-06-04
DE69722542T2 (de) 2004-05-13
EP0829312A2 (en) 1998-03-18
TW399226B (en) 2000-07-21

Similar Documents

Publication Publication Date Title
KR100478865B1 (ko) 화학유도및추출법에의해무기오염물을제거하는방법
US5868862A (en) Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US6248704B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductors devices
CN100499018C (zh) 用于从物体的微结构中清除残余物的方法和组合物
US7223352B2 (en) Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7119052B2 (en) Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20060065294A1 (en) Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6624127B1 (en) Highly polar cleans for removal of residues from semiconductor structures
JP3978023B2 (ja) 高圧処理方法
KR20050074511A (ko) 포토레지스트를 제거하기 위한 초임계 이산화탄소/케미컬조성물
KR20050032943A (ko) 반도체의 제조를 위한 세정방법 및 세정장치
KR20050059329A (ko) 미세구조체의 세정방법
CN100362633C (zh) 一种去除刻蚀工艺后硅片表面颗粒的等离子体清洗方法
JP2007536730A (ja) 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
Jung et al. Carbonized Photoresist Stripping using Supercritical Carbon Dioxide
Malhouitre et al. Stripping of ion implanted photoresist by CO2 cryogenic pre-treatment followed by wet cleaning
Spuller et al. CO2-expanded liquids as alternatives to conventional solvents for resist and residue removal
Wagener et al. Non-damaging particle removal using cryogenic aerosols
JP2000010301A (ja) レジスト除去方法
JP2004241585A (ja) 微細構造体の洗浄方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 13

EXPY Expiration of term