CN1675401A - 衬底处理设备及相关的系统与方法 - Google Patents

衬底处理设备及相关的系统与方法 Download PDF

Info

Publication number
CN1675401A
CN1675401A CNA038193035A CN03819303A CN1675401A CN 1675401 A CN1675401 A CN 1675401A CN A038193035 A CNA038193035 A CN A038193035A CN 03819303 A CN03819303 A CN 03819303A CN 1675401 A CN1675401 A CN 1675401A
Authority
CN
China
Prior art keywords
main chamber
chamber interior
substrate
sub
equipment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038193035A
Other languages
English (en)
Inventor
J·C·迪金森
F·简森
D·P·莫菲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Messer LLC
Original Assignee
BOC Group Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BOC Group Inc filed Critical BOC Group Inc
Publication of CN1675401A publication Critical patent/CN1675401A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

处理微电子衬底(s)的设备(10)与方法包括主室(20)和可移动边界(15),主室包括封闭主室室内(25)的主室壁(27,29),可在第一位置(图4B)与第二位置(图4A)之间移动。在第一位置,可移动边界至少部分地限定可处理衬底的副室(90)。副室与主室室内流动隔离,提供适于高压处理衬底诸如清洗或表面制备的环境。副室能保持于高压,而主室保持于低压、大气压或真空。该设备能直接耦合外部衬底搬运和/或制造模块(210,401),因而主室室内在副室与外部模块之间提供缓冲区。在可移动边界在第二位置,例如通过传入设置的任何外部模块或从其中取出,可将衬底装入该设备或从其中取出。

Description

衬底处理设备及相关的系统与方法
相关申请
本申请要求2002年6月13日提交的美国专利申请连续号10/170,578的利益,其整个内容通过引用包括在这里。
技术领域
本发明一般涉及例如作为微小器件制造工艺组成部分的衬底处理,尤其涉及高压力环境中的衬底处理(如剥离、清洗、干燥、表面制备等),高压力环境可与大气或真空环境隔离但功能上相耦合。
背景技术
微小器件诸如集成电路(IC)、光电子、微机械、精微机电与微流体器件,都含有按一系列精密制造步骤在严密控制的加工状态下构成的微米与亚微米尺寸的特征。通常提供的半导体晶片等衬底,都含有晶体管、电阻器与电容器等有源与无源电路元件。要对衬底改变层或增加层,要应用半导体与薄膜淀积技术。如在导电平面或电极、导电平面间的绝缘层、光导管、限定微机械元件的结构层或控制蚀刻工艺作用的蚀刻阻挡层的情况下,对衬底及其部分添加的层可以是永久的。在衬底与结构层之间限定并在后来被除去而使这种结构层或其一部分与衬底分开的中间牺牲层的情况下,或在光刻胶限定在衬底上作为限定电子或机械特征的样模的情况下。其它层或层部分是临时的。上述许多层要作去除处理诸如蚀刻(沿所需方向各向同性或各向异性地进行),以便完全去除该层或限定:(1)如孔径、通孔、微腔室、微流体通道与沟道等特征;(2)如触点、导线、光学窗与偏转膜等二维结构;或(3)如致动器与悬梁等三维结构。也可用化学机械抛光或其它表面精密机加工技术去除这些层或部分层。制造加工时起先应用的衬底例如硅或玻璃衬底,本身可作整体精加工而在其内限定腔或孔。另外,光刻胶材料等临时层可用显影法部分去除,用化学剥离法或等离子碳化法完全去除。
在制造加工过程中,去除各种污物或其它不需要的材料或者制备供以后淀积层的表面,要执行一个或多个清洗步骤,例如整块原料诸如衬底的顶面可以先被氧化。氧化使得衬底表面与后道沉积步骤不相容,此时,为在衬底表面上淀积一附加层,就需去除氧化。在另一例中,把金属层沉积至半导体衬底上,要作先解吸步骤将衬底除气。另在例如等离子碳化处理后,除去光刻胶层会留下残余物,故要求清洗步骤以除去此类残余物。此外,用蚀刻法限定深沟等细微尺寸的特征,会造成要求清除的残余物或颗粒。抛光和平面化处理是残余物污染的其它来源。各种清洁媒介物都已用过,最近尤令人关注的是,在处理室等自备环境中用超临界二氧化碳(CO2)来清洁衬底表面。
在制造加工中,要求的许多步骤都出现在腔室和模块内,这些腔室和模块使用时与周围环境密封而保持所需的加工条件(如压力、温度、电场强度、流速)。根据执行的具体处理步骤,这类腔室和模块保持于减压(如等离子体增强型淀积)、大气压或近大气压(如大气压与低气压化学蒸发淀积)。然而,大多数淀积处理在减压的受控气氛内进行的,而普通清洁处理则在环境压力或近环境压力下进行(如每平方英寸“量规”为0~20磅,psig)。淀积与清洁处理各自使用的设备分开,一般要求将指定的衬底从淀积室传送到远处的清洁设备,因而整个制造加工流程分散,通常在预清洁与淀积或淀积以后清洁之间的间隔内,要将衬底暴露于周围环境。
因此,最好提供一种方法与设备,使衬底能在自制的环境内在对清洁处理优化的条件下(如高压力)作清洁,同时以兼容的方式将清洁处理与制造加工(要求若干组不同的优化条件)连在一起,无须通过周围环境传送衬底。
发明内容
概括的说,本分明包括一种处理微电子衬底等的设备与方法。一般在第二室内限定第一室,第一室接第一闭合机构,第二室接第二闭合机构、第一闭合机构设计成使第一室处于开或闭状态,第二闭合机构设计成使第二室处于开或闭状态。与第一室关联的第一闭合机构在机械上参照第二室,与第二室关联的第二闭合机构在机械上参照第二室。
在本发明至少一实施例中,第一闭合机构包括在第二室内可移动的边界,可相对第二室选择性地开闭第一室。在第一室处于闭状态时,该边界在结构上限定第一室内部,该内部与第二室内部流动隔离。在特别有利的实施例中,边界与衬底支承或压住元件(如晶片压板或吸盘)关联,在后一情况下,该边界还作为与设备连成一体的衬底移动(如提起)机构的一部分,有利于与衬底处理一起执行任何衬底搬运任务(如衬底传递)。与第二室关联的第二闭合机构在第二室与第二室外部环境之间提供密封界面。举一实例,第二闭合机构包括闸阀或类似装置。
该设备的设计在第二室与第一室开或闭时,让第二室包含和维持合适气体(如氮气)受控气氛的内容积基本上处于环境压力,在第二室闭而第一室开和闭时,还让第二室包含和维持受控气氛的内容积基本上处于环境压力或亚大气压力。另外,设备的设计让第一室关闭时包含和维持压力比环境压力更高的有关处理媒体(如高达约500psig),而第二室维持在接近大气压力或处于真空。
第一室设计成接受一块或多块衬底。至少在一实施例中,连续驱动第二与第一闭合机构,把衬底传到第一室。例如打开第二闭合机构,通过打开的第二闭合机构把衬底送入第一室,衬底被装入第一室,第一闭合机构就关闭。在上述设置了支承与移动衬底的可移动边界的实施例中,衬底通过打开的第二闭合机构传送到该边界上,而边界通过第二室移入闭态。在该闭态,衬底约束在第一室内,与第二室流体分离。
第二室设计成在机械上以密封方式连接第三室。例如第二闭合机构可用作第二室与衬底传递模块之间的接口。第三室(如衬底传递模块)在维持于环境大气压或亚大气压的受控气氛中包含衬底处理机器人。利用这种配置,第二室可在高压的第一室与环境压力或亚大气的第三室之间充当缓冲室。
根据本发明的一个实施例,处理微电子衬底的设备包括主室、容纳微电子衬底的副室和流体管道。主室包括封闭主室室内的主室壁。副室置于主室内,包括封闭副室室内的副室壁。副室包括边界,副室室内适于与主室流体隔离。流体管道通过主室壁限定,与副室室内联通。
根据该实施例一个方面,副室壁边界有一个相对腐蚀室内表面移动的衬底支承面;或者边界包括可相对衬底支承面移动的副室内表面;再或者,边界包括相互可相对移动的衬底支承面和衬底内表面。
根据本发明另一实施例,处理微电子衬底的设备包括主室和可移动边界。主室包括封闭主室室内的主室壁。可移动边界位于主室室内在第一与第二位置之间可移动。在第一位置,可移动边界至少部分限定与主室室内流体隔离的副室。
根据本发明又一实施例,处理微电子衬底的设备包括主室、衬底支承器和流体管道。主室包括封闭主室室内的主室壁和室内面。衬底支承器可在主室内开闭位置间移动,衬底支承器包括衬底支承面。在闭位置,衬底支承面与主室室内面至少部分限定与主室室内流体隔离的副室。流体管道穿过主室壁与副室联通。根据该例子一个方面,该设备还包括在机械上参照主室壁的阻挡器,该阻挡器较佳地包括致动器、限制件与互耦致动器与限制件的顺从连杆。如下面详述,阻挡器有利于维护副室所含的密封环境。
根据本发明再一个实施例,处理微电子衬底的设备包括可移动衬底支承结构、处理室、主室与致动器。可移动衬底支承结构包括衬底支承面与密封元件。处理室以衬底支承面和密封元件为界。主室围绕或至少邻近处理室并封闭主室室内,主室室内与主室外环境和处理室可流动密封。致动器耦接衬底支承面。控制开闭态之间的处理室。在闭态,密封元件在处理室与主室之间设置流动隔离的边界,在开态,使衬底支承面暴露于主室室内。
根据本发明某些实施例,至少一部分衬底支承器用高抗屈强度材料构成。较佳地,这种材料的特征是抗屈强度接近120兆帕(Mpa)或以上。如3A-723钢。另在这些或其它实施例中,主室壁内表面和衬底支承面用耐腐蚀材料构成和处理,诸如HASTELLOY(R)C-22或C-276(购自Haynes Intermational公司·Kokomo,Iowa,United States of America)、AL-6XN(R)(购自AlleghenyLudlum公司,Pittsburgh Pennsylvania,United States of America)、合金25-6Mo、镍电镀或包层、聚四氟乙烯(PTFE)或全氟烷氧基(PFA)。
根据本发明再一个实施例,衬底处理设备可在衬底处理模式与衬底存取模式之间调节。设备包括主室、接口元件和边界。主室包括封闭主室室内的主室壁。接口元件装到主室可在开闭态之间操作,在开态,接口元件允许从主室外环境进入主室室内;在闭态,接口元件将主室与外环境密封。边界可在主室内移到对应于衬底处理模式的第一位置,或移到对应于衬底存取模式的第二位置。在第一位置,边界至少部分限定可增压的副室,该副室与主室室内密封分开,适合约束衬底;在第二位置,边界允许衬底通过开态接口元件传入主室并从中传出。
如下面的详述,本发明能使衬底处理设备耦接其它用于衬底传递与制造目的的模块,而且本发明诸实施例可直接耦接气氛或真空操作的模块。因此根据本发明另一实施例,设备还包括封闭主室外环境的衬底输送模块。该例中,接口元件互耦主室与衬底输送模块。在该例一个方面,衬底输送模块包括衬底传递室与在其内设置的机器人终端执行器。接口元件适应终端执行器通过接口元件朝向和离开衬底传递室与主室室内的运动。
本发明还提供一种处理微电子衬底的方法。根据该方法,提供了包括主室与副室的设备,主室包括封闭主室室内的主室壁,副室包括封闭副室室内的副室壁且位于主室室内,副室壁有一边界。微电子衬底被引入副室室内,副室室内与主室室内是流动隔离。处理媒体被引入副室室内,将副室室内增压到大于大气压并接触衬底。
因此,本发明一个目的是提供一种在较大的室内限定流动隔离的副室的方法与设备,其中副室可用处理媒体增压,而较大的室保持低压。大气或真空状态。
本发明另一目的是提供一种把清洗与制造衬底和限定在衬底上的器件的各别工具集成在一起的方法与设备,从而在连续的工艺流程中把清洗与制造步骤组合起来。
本发明再一个目的是通过直接耦合各清洗与制造工具而实现这样的集中,使处理的衬底在清洗与制造步骤之间不承受环境条件。
上述和其它目的由本发明全部和部分实现。
以上说明了本发明的一些目的,其它目的通过结合附图所作的详述将变得更清楚了。
图1A是本发明提供的衬底处理设备示意图,其中设备定位于衬底装载/取出状态;
图1B是图1A所示衬底处理设备的示意图,其中设备定位于高压处理态;
图2A与2B是图1A与图1B所示衬底处理设备诸替代实施例的示意正视图,示出在与周围主室隔离的内部限定增压副室的诸替代方法;
图2C与2D是图1A与1B所示衬底处理设备诸替代实施例的示意俯视平面图,示出可在周围主室内部限定增压副室并与周围主室相隔离的其它替代法;
图3A是按本发明较佳实施例构成的衬底处理设备的透视图;
图3B是图3A所示实施例的透视剖面图;
图4A-4D是本发明衬底处理设备的连续正视剖面图,示出设备在其衬底装载/取出位置与高压衬底处理位置之间的调整。
图5是本发明衬底处理设备包括的阻挡机构的俯视平面图;
图6示意示出本发明衬底处理设备与一独立气氛衬底处理系统的集成;
图7示意示出衬底处理设备与集结型气氛衬底处理系统的集成;和
图8示意输出衬底处理设备与集结型真空衬底处理系统的集成。
发明的详细描述
为便于说明,这里使用术语“联通”(如第一元件“联通”或“联通于”第二元件)表示两个或更多元件或单元之间在结构、功能、机械、光学或流体上的关系及其任何组合,所以一个元件被说成联通第二元件,并不排除在其间出现其它元件和/或在操作上与第一和第二元件关联或结合的可能性。
这里使用术语“大气压”、“基本上大气压”和“近大气压”,表示压力等于或基本上等与本发明提供的设备和/或系统外部环境的环境压力。因此应理解,精密的大气压值可以变化,例如取决于设备或系统所在的海拔或者安装了设备和/或系统的设施内保持的环境条件,比方说,海平面的大气压一般被认为等于14.7Psi绝对值(Psia)或0Psi量规(Psig),而在较高的海拔,大气压值略低些。另外,这里使用的“大气压”还被认为包括一小正压(如在0与近20Psig之间),该小正压在流体如合适的冲洗流体(如N2)通过封闭的容积循环时限定,如下所述。
为方便起见,这里一般用“微电子衬底”包括范围广泛的按传统集成电路(IC)制造技术处理的微小工件和精机加工领域作为现代适应这种技术的阱。举个例说,但不限于本发明的范围,“微电子衬底”包括单一衬底;诸如用阳极或粘剂结合在一起的组合衬底;以及与淀积或限定在其上的一个或多个层或膜(如导电、介质、半导体、牺牲、外延、品格匹配。粘合或结构等层)组合和/或与通过添加技术(如膜淀积、热氧化、核化、电镀、旋涂)和/或去除技术(如湿蚀、干蚀、深度反应离子蚀刻(即DRIE)、离子轰击、抛光、平面化、钻孔)和/或替代法或注入技术如掺杂法的基本衬底。
“微电子衬底”可以是前体或源材料如块硅、或是用硅坯片切成的晶片,或是用晶片制作的小片。“微电子衬底”可在某一中间阶段构成逐渐加工的工件,或构成完成的或接近完成的器件。“微电子衬底”可以是器件或仪器,诸如有逻辑电路或数据存储器的IC芯片;组合了数据处理与系统功能诸如在单块衬底上检测与发送的“单片系统”;处理毛细管液体流或压印生物样品阵列的微流体芯片或“单片实验室”;发光二极管(LEO)或激光二极管(LO);微机电系统(MEMS)器件(如中继开关、陀螺仪、加速度计、电容压力传感器、微型泵、墨水喷口);微光机电系统(MDEMS)器件(如波导、可变光学衰减器(VOA)、光闸);光电子器件;光子器件;平板显示器;或基于半导体的生物或化学传感器。
“微电子衬底”的材料成分不作任何限制,非限制性例子包括半导体、金属和介质。在这些种类内,其它例子包括硅;含硅化合物(如氧化物、碳化物、氮化物与硅氧化氮);VIII-V族化合物(如GaN、AIN、InGaN);硅绝缘体(SoI);蓝宝石;光刻胶成分等聚合物;玻璃;石英;各种氧化物等。‘“微电子衬底”或其它任一元件的结晶主要为单晶、多晶或非晶。
这里使用的术语“稠密CO2”、“稠密二氧化碳”、“增稠CO2”与“增稠二氧化碳”可互换使用,表示比1大气压和20℃下的二氧化碳的密度(g/ml)更大的二氧化碳,还表示在21℃下置于压力通常超过约800Psi的二氧化碳(在标准或室温与压力下(STP)下一般为气体)。
增稠的二氧化碳一般是被置于大于大气压或低温的增大密度的二氧化碳。相对于用于增压容器里提供发泡制品如灭火器或修面膏的二氧化碳,增稠二氧化碳较佳地保持大的多的压力,如800Psi和以上。已发现,密度而不是单一的温度或压力对增强二氧化碳的溶剂类特征具有大得多的作用。参见Brogle,(1982)chem.Ind.-London37:385-390,其内容通过引用包括在这里。
这里使用的“超临界”与“超临界相”指某一物质如二氧化碳超过临界温度(如二氧化碳为31℃)和压力(如二氧化碳为71大气压)的状态,材料在该点不能被变成液相,再加压力也无用。
术语“液体二氧化碳”与“液体CO2”可互换使用,表示液态的二氧化碳。当在216.8K(相当于三相点)~304.2K(相当于临界点)温度范围内承受至少约5.11巴(相当于三相点)的压力时,二氧化碳就取液态。液体二氧化碳的密度为0.7~1.2g/ml,粘度约0.07mN/m2。根据其表面张力(液体二氧化碳为5达因/cm),可将液体二氧化碳与二氧化碳的其它相区分开来。
“超临界流体二氧化碳”表示临界温度为31℃、临界压力为71大气压或以上的二氧化碳,再加压力也不能变为液相。
增稠的二氧化碳较佳地为液体或超临界的流体二氧化碳,可应用于本发明的方法与设备。注意,具有增稠特性的其它分子也可以单独或混合使用,这些分子包括但不限于:甲烷、乙烷、丙烷、氨、丁烷、n-戊烷、n-己烷、环己烷、n-庚烷、乙烯、丙稀、甲醇、乙醇、异丙醇、苯、甲苯、p-二甲苯、二氧化硫、氯三氟甲烷、三氯氟甲烷、全氟丙烷、氯二氟甲烷、六氟化硫、臭氧与氧化亚氮。
术语“流体”指物质主要不是固体的任一相。固体能抵抗静态形变施加的剪切应力,流体则不能。只要流体承受剪切应力,流体将通过移动和/或形变作出响应。因而举例来说,“流体”包括液体、蒸气与气体等可流动的媒体。此外,“流体”还包括超临界流体。而且,“流体”还包括液体、蒸气、气体和带固体粒状物质的超临界流体的混合物,如在流体流动流里载粒子的时候。
术语“处理媒体”一般指适合接触衬底以对衬底执行操作的任何流体。
术语“高压力”一般包括从标准大气压(Opsig)以上的标称正压力到约5000psig的压力。
术语“真空”通常包括从10-7乇到大气压的压力。
术语“处理”指衬底上的任何操作,包括制造加工(如加层;去除层、层部分或衬底石印术部分;金属化;淀积;和对衬底或层有意掺杂);处理加工(如退火、烧结、加热、涂布、电镀、应力或应变释放);剥离加工(如去除光刻胶);清洗加工(如去除后蚀刻残余、防反层,或微电子元件制造中使用的其它残余物、污物或过渡材料);和干燥加工(如去除粘着的表面流体)。
术语“高强度材料”指抗屈强度为120Mpa或以上的任何材料,一非限制性例子是SA-723钢。
术语“耐腐材料”指耐与处理媒体如清洗流体接触而造成的不希望的反应的任何材料。“耐腐材料”能构成固体(如不锈钢,HASTELLOY(R)级合金如C-22与C-276)、超奥氏不锈钢如AL-6XN(R)与合金25-6M、双重不锈钢、MONEL(R)合金(购自Inco Alloys国际公司,Huntington,West Virginia,美国)、至少包括8%重量镍或至少10%重量铬或者施加阻挡层或处理的铁金属材料。适合加阻挡材料的非限制性例子包括聚四氟乙烯(PTFE)、聚醚醚酮(PEEK)、全氟烷氧基(PFA)、聚单氯氟乙烯(PCTFE)、聚偏二氟乙烯(PVDF)、氟化半晶聚合物、带镍或铬或镍铬合金的薄膜/电镀层/包层。
现参照附图,其中同样的标号指同样的部件。具体参照图1A、1B与2A,示出了本发明一般标为10的衬底处理设备。衬底处理设备10较佳地工作于两个不同的操作状态,即衬底装载/取出态与高压衬底处理态,可在这两态之间调节。图1A表示衬底处理设备10处于衬底装载/取出态,图1B表示衬底处理设备10处于衬底处理态。
继续参照图1A与1B,衬底处理设备10一般包括主室20和可在其内移动的边界15。在一个实施例中,可移动边界15由连接可移动衬底支承40的衬底支承面提供。主室20包括限定主室内25的主室结构23。衬底支承器40支持晶片等衬底S,衬底S一般为直径200或300mm的晶片,但本发明不限于处理这种标准尺寸,可包括直径为50~450mm的衬底。衬底S可构成上述广义限定的微电子衬底,其上制有或没有膜、层或微小特征。在图示例中,衬底支承器40在主室室内25的降低位置(对应于图1A所示的衬底装载/取出态)与抬高位置(对应于图1B所示的高压衬底处理态)之间垂直移动,因此衬底支承器40一般较佳地沿主室室内25的中心纵轴线L平行地移动,如箭头A所示。
继续参照图1A与1B,衬底处理设备10较佳地还包括下室60。衬底支承器40的至少一部分被约束在下室60内。下室60包括限定下室内65的下室结构63。在一实施例中,下室室内65通过分隔装置如板73与主室室内25在物理和流体学上分离,板73与纵轴线L呈横向定向。合适的密封元件如波纹管74(见图3B~4D)确保下室室内65与主室室内25隔离,因而巴下室60有利的设备成防止污染材料进入主室室内25。如下所述,由于诸元件在下室60内移动操作,令产生这种污染材料,在图3B~4D中可看出,波纹管74还将主室20与衬底处理设备10的外部环境隔离开来。
再参照图1A与1B,衬底处理设备10还包括接口元件75如真空闸阀开口与伴随的阀装置,在主室室内25与衬底处理设备10的外部环境之间提供密封的接口。适合构成接口元件75的阀装置购自VAT Vakunmventile公司(CH-q469,Hang,瑞士)。接口元件75可打开而接纳衬底搬运装置如机器人元件(图1A与1B中未示出)。当接口元件75处于开态时,衬底搬运装置可进入主室室内25,把衬底S装到衬底支承器40上,待衬底S处理之后,把衬底S从主室室内25取出。衬底支承器通过接口元件75进入主室室内25并从中取出的运动,用箭头13表示。
上述的外部环境可以是周围环境。然而,接口元件75更有利地在衬底处理设备10与另一衬底搬运和/或处理模块之间用作密封的直接接口。根据本发明,衬底处理设备10耦接工作于内部大气压或真空的模块。例如接口元件75可在主室室内25与集结工具即构成一部分设备前端模块(EFEM)的气氛机器人的中央传递室抽空室内之间提供流体联通。为适应主室室内25与气氛或真空环境的直接耦接,衬底处理设备10包括将主室室内25连到合适的真空源和/或排气源79的排气管线77。因此,在打开接口元件75准备使主室20与另一抽空环境流动耦合之前,先对主室20抽气。或在希望冲洗主室20的污物以防止衬底S污染时,主室20可以保持大气压或正量规压力。对后一目的,衬底处理设备10可包括管道81,将惰性气流如氮气从惰性气源83引入主室,于是该惰性气体从主室室内25经排气管线77连到真空源或排气装置79。而在衬底处理设备10耦接气氛模块时,若在下述的高压清洗处理中出现漏泄,接口元件72还可对气氛模块用作辅助密封件。
图1B示出处于高压衬底处理态的衬底处理设备10。如下面的详述,衬底处理设备10设计成在主室20内限定一副室90。副室90耐高压(如高达5000psig),同时与主室20维持的受控高纯度小环境保持流体隔离。副室90由上副室表面90A、一个或多个横向副室表面90B与下副室表面90C封闭。在衬底S为圆形或基本上圆形晶片的情况下,设置了描述限定副室90室内的圆柱容积的单一连续的横向副室表面90B。这室内圆柱形型面较佳地接近衬底S的圆形,室内内径比衬底S的直径略大些。这种配置将所需的副室S的容积减至最小,有利于处理媒体(如清洗流体)对衬底S表面的均匀分布,从而提高期望处理事项的效率与功效。
再具体参照图1B,为使衬底处理设备10能在衬底装载/取出态与衬底处理之间可调地操作,至少一个表面封闭副室90(如上面对图1A描述过的可移动边界15)。或者至少一部分这种表面移成与一个或多个其它封闭副室90的表面密封接合。因此在图示例中,副室上表面90A和副室横表面90B被固定,将副室下表面90C移成与副室横表面90B接合。在该例中,副室下表面90C与衬底支承器40关联,还包括衬底支承面和其上安装衬底支承的任何基底元件(见图3B~4D所示的可移动室基底45)。这样,较佳地将衬底支承器40和衬底S本身抬高到在副室90内密封地约束衬底S的抬起位置,构成副室90。
衬底处理设备10不限于图1A与1B所示的实施例,即其中副室下表面90C构成可移动边界15,而可移动边界15在机械上参照衬底支承器40。图2A~2D的简图以非限制性实例示出了本发明提供的诸替代结构。在这些替代结构中,可移动边界15独立于衬底支承器40,故衬底支承器40无须用作衬底提升机构。图2A中,副室90的横表面90B和下表面90C不动,可移动边界15向下驱动而与副室横表面90B密封接合,限定副室90的上表面90A。图2B中,可移动边界15是一扇门,它沿平行于纵轴线L的方向驱动而封闭副室表面90B中的开口。图2C中,可移动边界15是一扇门,其驱动方向一般与纵轴线L相切且与上面有衬底S的平面(即图2C的层品平面)平行。图2D中,可移动边界15被驱动成沿曲线路径相对纵轴线L旋转。
本发明能实现的一种特别有利的衬底处理是高压力衬底清洗处理,一般是将衬底S或至少其一个表面暴露于处理媒体,较佳地是一种增稠流体如超临界CO2、液体CO2或其它上例描述的化学品。为此,再回看图1B,衬底处理设备10设置一条引入副室90的处理媒体供应管线101,进行处理来自媒体供应源103里的媒体。还设置一条处理媒体返回管线105,把流体和流体夹带的任何污粒从衬底处理设备10中引出到处理媒体返回线路107。较佳地,处理媒体返回管线105穿过衬底支承器40本体。
副室90被处理媒体充到高压后,副室90与主室20之间就限定明显的压差。用于将衬底支承器40移至其抬高位置的有动力的致动器(图1A与1B中未示出,但在下面描述),可用来帮助副室90对抗副室90高压室内传递的压力而保持闭合。另外,衬底支承器40抬高的位置较佳地与衬底支承其40下端109与下室60下端109之间增大的间隙相关联。更佳的如下所述,设置阻挡机构140(见图4A1~5),以将限制件143插入该增大的间隙而帮助将衬底支承器40保持在其抬高位置上,从而保持由副室90限定的密封的高压环境,并减轻衬底支承件40所承受的轴向负荷和/或衬底支承器40驱动机构所承受的负荷。箭头C表示限制件143径向向内到限制位置和径向向外到非活动位置的运动。
现参照图3A与3B,图中示出衬底处理设备10较佳的结构配置。如图3B所示,衬底处理设备10定位成工作于衬底处理态,主室20内存在副室90。较佳地,副室90的容积为10ml~10L,直径为50mm~450mm。衬底处理设备10的主室结构23包括用作主室盖的上段27、横段或主室壁29和中间板73,它们共同封闭主室室内25。主室20的横段29较佳地有一至少部分限定主室室内25的内里横表面29A。如图所示,内里横表面29A呈围绕主室室内25中央纵轴的圆柱形,这一圆柱形型面改善了气体流过主室室内25,并通过尽量减少锐形结构特征数量,防止在主室20内累积污染材料。通过横段29限定的孔29B,在接口元件75(见图1A~2D)与主室室内25之间提供入口。下室结构67封闭下室室内65,包括凸缘区67A、横区67B与外端区67C。以类似于压力容器结构的副室,把上段27、横段29、中间板73和下室60用合适的紧固件111A与111B紧固在一起,组装衬底处理设备10的主要结构。紧固件111A与111B包括例如平行于主室室内25纵轴定向的高强度螺栓,用螺纹旋入主室结构23上段27的凸缘区27A和下室结构67的凸缘区67A。较佳地,上段27和横段29用高强度材料构成,以承受副室90产生的高压。一例合适的高强度材料是SA-723钢。
图3B表示得最清楚。衬底支承器40较佳地包括轴向定向的细长件如转轴43和连接转轴43上端的室基底45。下室结构67的中间板73和外端区67C都具有转轴43通过其运动的中央设置的轴膛113与115。转轴43包括大直径段43A,可以是压配到转轴43上的环形元件,其运动距离限于中间板73与外端区67C之间的下室室内65。若衬底支承器40响应于副室90增压的室内施加的力而向下移动,则大直径段43A就与阻挡限制件143接触,于是阻挡限制件143对衬底支承器40的向下移动提供下限。如图3A所示,下室结构67的横区67B具有膛孔117,与阻挡限制件143关联的连接件(下述)通过该膛孔延伸。此外,波纹管74绕转轴43环形安置并接在中间板73与大直径段43A之间。如上所述,波纹管74还在主室20与周围环境之间以及主室20与下室60之间提供密封,防止下室60里的元件操作时污染主室20。
再参照图3A与3B,衬底支承器40的室基底45在抬高以下降位置之间因而也在衬底处理设备10的衬底装载/取出与衬底处理态之间随转轴43作轴向运动。因此在该例中,室基底45和/或衬底按下装置120如装在室基底45上的晶片吸盘或压板(见图4A~4D)构成图1B标出的副室下表面90C。较佳地,室基底45最上面的区域包括可安置衬底的凹部45A,以把衬底按下装置120(图4A~4D)装到室基底45上。主室20上段27的内表面也包括凹部27B,可用作主室20与副室90各自室内的上边界。当衬底处理设备10处于衬底处理态时,室基底45与上段27密封接合,使凹部27B和45A共同部分地限定副室90。较佳地,所有直接接触而被处理媒体湿润的表面(诸如上段27和室基底45各自的内表面)用耐蚀材料构制。几例非限制性的合适耐蚀材料包括HASTELLOY(R)C-22或C-276,超奥不锈钢如合金AL-6XN(R)或25-6MO,MONEL(R)合金等。另外,对暴露于处理媒体的表面涂布或电镀耐蚀阻挡材料,如PTFE、PCTFE、PVDF、镍或铬。
现在参照图4A~4D描述衬底处理设备10的其它结构与操作特征。衬底按下装置120装在基底45的凹部45A内,它包括衬底支承面120A,可用任一期望的固定技术将衬底在其上面保持就位,本领域的技术人员在阅读了本文后可明白。在衬底按下装置120中限定内部流体通路120B与处理媒体出口管道123流动联通。处理媒体出口管道123较佳地穿过衬底支承器40,包括转轴43通过下室60轴膛孔115的下端43B,这样就不必通过衬底处理设备10的结构限定另一流体膛孔。当衬底处理设备10处于衬底处理态时(如见图4C与4D),副室90变成与处理媒体流路耦合成一体。自副室90上游的处理媒体流路部分,包括处理媒体供应源103、处理媒体供应管线101和通过主室20上段27限定的处理媒体入口管道127。在副室90下游的处理媒体流路部分,包括衬底按下装置120的内部通路120B、出口管道123、处理媒体回流管线105和处理媒体回路107。另外,通过横段29限定的径向通路129,在主室室内25与真空和/或排气源79之间通过排气管线77提供流体联通。通过主室20横段29限定的另一径向通路(未示出),在主室室内25与惰性气体(如N2)供应源83之间通过管线81(见图1A)提供流体联通。较佳地,这两条径向通路相互相对主室室内25的中心总轴心定为约60度。
图4A~4D还示出,直线致动器49耦接衬底支承器40转轴43的下段43B。直线致动器49较佳地包括步进电机与涡轮装置,但也可包括气动或液体驱动的柱塞或其它合适的装置,本领域的技术人员在阅读了本文后会明白。
图4A~4D还详细示出了本发明提供的阻挡机构140,其主要元件包括阻挡限制件143、直线阻挡致动器145和互连限制件143与阻挡致动器145的连杆147。阻挡机构140可以包括一个和多个单元,各单元包括相应的阻挡限制件143、阻挡致动器145与连杆147。阻挡致动器145较佳地以气动副室驱动连杆147与限制件143,包括合适的气缸与柱塞机构。另外,阻挡致动器145还可以是液压的,或包括步进电机与合适的力传递装置如导杆。限制件143的结构较佳地包括一种能承受副室90内高压环境所施加的压力,一例合适的限制件143的材料就是SA~723钢。
继续参照图4A~4D,阻挡致动器145置于下室60的外面,连杆147穿过下室60横区67B厚度的一个或多个膛孔117(如上所述和图3A与5所示)。连杆147设计成把阻挡致动器145产生的动力合适地传到限制件143。连杆147和限制件143沿通常横对衬底支承器40的运行方向的方向移动,一般横对主室室内25的纵轴线。同时,连杆147设计成有弹性地弯曲而响应于副室60通过衬底支承器40传递的负荷作有限制的偏移。这一弯曲或偏移的方向沿平行于衬底支承器40的运行方向的方向有一明显的分量。因此,连杆147的顺从性防止了阻挡机构140会碰到的故障模式,这种故障模式在操作中由衬底支承器40上的周期性动态负荷造成。但与此同时,为保持衬底支承器40的抬高位置和副室90与主室20间隔离的界面,顺从容限不对限制件143的正常功能产生有害的影响。
在图5的实施例中,连杆包括一根或多根固体杆147A与147B,更佳的包括一对杆147A与147B。每根杆147A与147B长约10~50mm(较佳为35mm),直径为1~5mm(较佳为3mm)。较佳地,各杆147A与147B用AISI6150弹簧钢构制。
现特地参照图4A,彻底处理设备10示成处于衬底装载/取出态,衬底支承器40缩回其下降位置。在该位置,衬底按下装置120和其上安装的任何衬底都暴露于主室室内25内所包容的环境,并不完全限定独立的副室。衬底按下装置120处于操作上对准接口元件75的高度,在该位置,机器人终端操纵器能横向通过打开的接口元件75进入主室20的范围,以便在处理操作前把衬底装到衬底暗线装置120上,或在处理操作后取出衬底。要注意,衬底支承器40只提供衬底在主室20内正确操纵与定向所需的Z轴运动,即用于装载和/或取出衬底的任何机器人终端操纵器只需能独特地运动,或至少主要在X-Y平面内运动。
应用组合衬底支承与衬底提升能力的结构有若干优点。首先与完全工作于空间的机器人相比,与衬底处理设备10一起用来实现衬底传递任务的机器人工具有更简单、更便宜的设计。与该优点相关地,该结构利于衬底处理设备10与另一封闭型模块如真空操作的中央操纵器的集成。通常配备这类真空模块的机器人,垂直运动能力有限。最后,由于副室90的尺寸不必适应机器人工具明显的Z轴运动,故副室90所需的容积被优化为最小。
先参照图4B,衬底处理设备10示成处于高压衬底处理态,衬底支承器40延伸至完全抬高的位置,限定副室90。另在该提高位置,在转轴43的大直径段43A与下室60的外端区67C之间限定充分的轴向间隙,可将阻挡限制件143径向朝里地插入该间隙。在室基底45上装一合适的密封件151,可增强或保证副室90与主室20间的隔离。
在一个实施例中,在衬底按下装置120与室基底45的环形肩部45B之间在径向限定环形空间155,里面安置环形密封件151。副室90用处理媒体增压后,处理媒体通过衬底按下装置120与主室20上段27的朝内一侧之间的界面漏入环形空间155,然后遇到密封件151,防止透入主室室内25。较佳地,密封件151是杯形密封件而不是简单的环或气密垫。杯形密封件151面向衬底按下装置120的内侧呈凹形。这种密封件响应于液压自动赋能,因而若环形空间155和杯形密封件151的凹部被漏泄现象增压,该凹部就对室基底45和上段27胀压。提供密封质量。为此,杯形密封件151用合适的材料构成,该材料有弹性,在化学上可暴露于处理媒体化学品,且能保持高达5000psig或以上的压差。几例非限制性的合适的杯形密封件151材料包括PTFE与PCTFE。合适的杯形密封件151是MSE密封件,购自Greene,Tweed & Co.(Kulpsville,Pemnsylvania,美国)。作为另一种面向环形密封件设计的表面密封件,其它密封件151的例子包括面向杯形密封件与环形密封件的柱塞密封件。
现特地参照图4C,图示的阻挡限制件143处于其在转轴43大直径段43A与下室60外端区67C之间间隙内完全扩展的活动位置。在该活动位置,各限制件143接触大直径段43A的下边,防止衬底支承器40在副室90增压时不希望地缩回,保持衬底在清洗处理时在原来的位置。
图4D示出在副室90充分处理媒体后,引入阻挡机构140设计的顺从特征的工作状况。响应于副室90高压容积施加的力,顺从连杆147偏移至某一点,使阻挡限制件143压能到转轴43大直径段43A的下边和下室60的外端区67C二者进行压力接触。连杆147偏移一定量(入0.5mm),可在衬底支承器120内自由地活动二不必对连杆147和/或其各自的阻挡致动器145施加不希望的应力。
现主要参照图4A~4D描述衬底处理设备10的操作。先把衬底S置于衬底处理设备10的外部环境,该外部环境通常是一种自备的环境,包括一衬底搬运机器人,可以有气氛或抽空。一例外部气氛环境是EFEM(如见图6的EFEM210),一例外部抽空环境是真空集结工具(如见图8的集结工具410)。外部环境经接口元件75耦接衬底处理设备10。本领域技术人员都明白,接口元件75的内部门可在开闭位置之间移动,有选择的提供通过接口元件75进入主室室内25的通道。还应理解,在将衬底S装入衬底处理设备10之前,衬底S一般将经历一次或多次制造加工(例如涉及施加光刻胶、显影剂与紫外辐射的掩蔽或其它石印术技术;腐蚀;磨光;膜淀积;电镀;粘附接合片;平面化;离子注入;掺杂;微细机加工;抛光;应力释放;加热等),以作必要或期望的后道清洗或表面制备处理。
把衬底S装入衬底处理设备10之前,可执行若干其它前期步骤,例如主室室内25与外部环境流体耦接前先作准备,准备方式取决于衬底处理设备10是否与真空模块或气氛模块相接口。在衬底处理设备10耦接真空模块时,主室室内25通过与真空/排气源建立流体联通而被抽空和清洗。在衬底处理设备10耦接气氛模块时,如上述使惰性气体如N2通过主室室内25循环,冲洗主室室内25。
此外,在将衬底S装入衬底理设备10之前,或至少在与这里所述的高压清洗处理一起将处理媒体注入副室90之前,要求制备处理媒体。在执行清洗处理的情况下,本发明应用的处理媒体是任一种适合清洗衬底S的流体,清洗方法是溶解衬底S上所含的不希望的残余材料和/或通过将清洗流体高压注入副室90,冲击衬底S表面而切掉不希望的材料。清洗流体可以具有单一成分,或者是多元素的混合物、溶液或乳剂。在一个实施例中,清洗液体是稠液CO2,在引入副室90前被加热压到超临界态。其容积、试剂、钝化剂、干燥剂、氧化剂、盐基、表面活化剂等添加物或其它化学品可引入流过供应管线101的CO2流,或在注入副室90前与CO2流合并。CO2达到超临界态所需的温度与压力,取决于是否有这类添加物。对纯液体CO2,超临界温度为31℃,超临界压力为71大气压。在实施例中,以1500~5000psig的压力供给CO2
制备了处理媒体和主室室内25后,把衬底支承器40移到图4A所示的下降位置,打开接口元件75。机器人衬底搬运工具通过接口元件75传递衬底S,把衬底S放在衬底按下装置120上,而后者设计成应用任一种已知的固定技术,一个例子是在衬底按下装置120最上面的表面120A限定吸力。衬底S固定到衬底按下装置120上之后,接口元件75闭合,衬底支承器40升到图4B所示的抬高位置。在该位置,限定的副室90封闭衬底S。然后,阻挡机构140经赋能而将限制件143插入图4C所示的位置,轴向支持衬底支承器40,并保持副室90与主室室内25之间界面的密封完整性。此时,准备清洗衬底S。打开沿处理媒体入口管线101适当定位的一个或多个阀,把处理媒体泵入副室90。副室90用处理媒体增压到20~5000psig的压力。需要的话,在增压之前,处理媒体以低压流入副室90并传到返回管路107,以清除副室90和位于其上下游的相关流体通路里的空气。在高压清洗处中,需要的话,副室90能以循环方式迅速地增压与减压而限定压力脉冲,可增强清洗效果。这一循环的减压相有利于从微规模特征诸如衬底S上限定的沟道或通孔中去除不希望的材料(诸如在增压相时软化的光刻胶或蚀刻残余淀积物)。
清洗处理完成后,打开处理媒体回路中正确定位的阀,使处理媒体从副室90流向返回管路107。冲洗步骤包括通过副室90循环附加的纯处理媒体。之后,如上所述,将衬底支承器40移至其下降位置,将衬底处理设备10调回到图4A所示的装载/取出态。然后打开接口元件75,让衬底搬运工具进入主室室内25,从衬底处理设备10中取出衬底S,对需要的不同模块作处理。为了回收至少一部分清洗衬底S的处理媒体,将污染材料与处理媒体分离,再使纯化的处理媒体循环回入系统至新使用,可设置一些元件(下面描述)。
现参照图6~8,在图示的系统级实施例中,衬底处理设备10集成了处理媒体分配电路与其它衬底处理模块。图6示出一独立的气氛系统200,其中衬底处理设备10通过接口元件75直接耦合“设备前端模块”(EFEM)210,它包括气氛衬底传递模块15和衬底装载和/或分标装置220。衬底传递模块215为常规设计,包括外壳215A与衬底传递机器人225。衬底传递模块215一般按标准机械接口(SMIF)技术耦接衬底装载器220,后者为一般设计的晶片盒或容器(如SMIF容器或正面打开的标准容器(FOUP))。按这一结构,机器人225通过接口元件75将衬底从衬底装载器220传入衬底处理设备10。
图6中,耦接衬底处理设备10的处理媒体分配电路包括一供应/增压子系统230(在图1B和4A~4D中处理媒体供应源103关联),把增压的处理媒体从大容器存贮器中供给衬底处理设备10。在一实施例中,该子系统230提供的处理媒体通过一合适的热交换器235,把处理媒体加热到或超过其超临界温度。设置的添加物注入子系统240,将添加物与上述的处理媒体混合在一起。同样如上所述,为回收并纯化用过的处理媒体,设置了一再循环子系统245。最后,解压缩子系统250(与图1B和4A~4D中的真空/排气源79关联)用作排气系统,用于冲洗、排气和/或抽空衬底处理设备10。
图7示出集结型气氛系统300,其中的EFEM310包括可集结的气氛衬底传递模块315和多个衬底装载器320A与320B(示出其中的两个)。衬底传递模块315通常仍包括外壳315A与衬底传递机器人325。系统300还设置多个衬底处理装置10A与10B(该例示出两个),它们通过各自的接口元件75A与75B耦接衬底传递模块315。举例说,供应/增压子系统330和解压缩子系统350为所有的衬底处理设备10A与10B共用,同时对各个衬底处理设备10A与10B设置了专用元件,诸如添加物注入子系统340A与340B热交换器335A与335B及再循环子系统345A与345B。
图8示出集结型真空系统400,其中的衬底处理设备10直接耦合真空集结工具410。真空集结工具410为普通设计,包括外壳410A与衬底传递机器人425。外壳410A保持的抽空容积,要求把一个或多个装载锁定器420A与420B用作真空集结工具410与兼容的衬底装载模块(未示出)之间的接口。一个或多个微规模器件模块427A与427B,利用各自的密封阀429A与429B耦接真空集结工具410,并被衬底传递机器人425接触到。在衬底处理设备10执行上述衬底清洗处理之前或之后,器件制造模块427A与427B可对衬底实行制造操作,几例非限制性的制造操作包括物理气相淀积、化学气相淀积、蒸发、纯化、氧化、碳化、氮化、掺杂、退火、湿或干法蚀刻、磨光、微规模组装、单层自动组装、石印术、晶片间粘合或封装、精细机加工、平面化等。处理媒体分配电路(单元430~450)的安置方式类似于图6、7。
从前面对图6~8所示的各别系统200、300与400的说明中可以看出,本发明灵活得足以在衬底处理设备10与工作于高纯气氛区或真空区的其它衬底处理模之间作直接集成,并能结合任何数量与种类的期望的处理步骤。在各种处理模块之间传递衬底不必使衬底暴露于周围环境,从而优化了系统用户要实行的制造与处理媒体的任何组合。
应该理解,可以改变本发明的各种细节而不违背发明的范围。而且,以上说明仅出于示例目的,并非用来限制,故发明由权项限定。

Claims (77)

1.一种微电子衬底处理设备,其特征在于包括:
(a)包括主室壁的主室,主室壁封闭主室室内;
(b)含微电子衬底的副室,副室包括封闭副室室内的副室壁且置于主室室
内里面,其中副室壁包括边界,副室室内与主室流体隔离;和
(c)限定通过主室壁且与副室室内联通的流体管道。
2.如权利要求1的设备,其中副室可在其内保持比主室更高的压力。
3.如权利要求2的设备,其中在主室内基本上处于大气压时,副室可在其内保持高于大气压。
4.如权利要求2的设备,其中主室室内处于低于大气压时,副室可在其内保持高于大气压。
5.如权利要求1的设备,其特征在于包括通过流体管道与副室室内联通的处理媒体供应源,用于把副室室内增压到大于主室室内的压力。
6.如权利要求1的设备,其特征在于包括与主室室内流动联通的真空源。
7.如权利要求1的设备,其特征在于包括与主室室内流动联通的排气口。
8.如权利要求1的设备,其特征在于包括与主室室内流动联通的气体供应源。
9.如权利要求1的设备,其中通过主室壁限定的流体管道是一流体入口管道,设备还包括与副室室内流动联通的流体出口管道。
10.如权利要求1的设备,其特征在于包括密封元件,该密封元件置于副室室内与主室室内之间的界面,可增强副室室内与主室室内之间的流体隔离。
11.如权利要求10的设备,其中密封元件由置于副室内的衬底支承面支持。
12.如权利要求1的设备,其特征在于包括在主室室内与主室外部环境之间提供接口的密封门,其中所述门可选择地提供进入主室室内的通道。
13.如权利要求1的设备,其中副室室内的容积为10mL~10L。
14.如权利要求1的设备,其中副室室内的直径为50mm~450mm。
15.如权利要求1的设备,其中副室壁的边界在主室室内里的闭位置与开位置之间可移动,在闭位置,边界至少部分地封闭副室室内,并至少部分地使副室室内与主室流动隔离。
16.如权利要求15的设备,其中在边界的闭位置,边界与设备内表面共同封闭副室室内,并使副室室内与主室室内流动隔离。
17.如权利要求15的设备,其中副室壁的边界包括下列之一:(I)可相对副室室内表面移动的衬底支承面;或(ii)可相对置于副室室内的衬底支承面移动的副室室内表面;或(iii)衬底支承面和副室室内表面,其中衬底支承面与副室室内表面可相互相对移动。
18.一种微电子衬底处理设备,其特征在于包括:
(a)包括主室壁的主室,主室壁封闭主室室内;和
(b)置于主室室内可在第一与第二位置之间移动的可移动边界,其中在第一位置,可移动边界至少部分地限定一副室,包括与主室室内流动隔离的副室室内。
19.如权利要求18的设备,其特征在于还包括穿过主室壁与副室室内联通的流体管道。
20.如权利要求19的设备,其中通过主室壁限定的流体管道是流体入口管道,设备还包括与副室室内流动联通的流体出口管道。
21.如权利要求18的设备,其特征在于包括与副室室内联通的处理媒体供应源,用于把副室室内增压到大于主室室内的压力。
22.如权利要求18的设备,其中在可移动边界的第一位置,副室室内的压力高于主室室内。
23.如权利要求22的设备,其中在可移动边界的第一位置,副室室内具有高于大气压的压力,主室室内基本上为大气压。
24.如权利要求22的设备,其中在可移动边界的第一位置,副室室内高于大气压,主室室内低于大气压。
25.如权利要求18的设备,其中在可移动边界的第一位置,该边界与设备的内表面一起封闭副室室内,并使副室室内与主室室内流动隔离。
26.如权利要求18的设备,其中可移动边界包括下列之一:(I)可相对于副室室内表面移动的衬底支承面;或(ii)可相对于位置副室室内的衬底支承面移动的副室室内表面;或(iii)衬底支承面与副室室内表面,其中衬底支承面与副室室内表面可相互相对移动。
27.如权利要求18的设备,其特征在于包括与主室室内流动联通的真空源。
28.如权利要求18的设备,其特征在于包括与主室室内流动联通的排气口。
29.如权利要求18的设备,其特征在于包括与主室室内流动联通的气体供应源。
30.如权利要求18的设备,其特征在于包括置于主室内的密封元件,其中在可移动边界的第一位置,密封元件使副室室内与主室室内流动隔离。
31.如权利要求30的设备,其中密封元件由置于副室内的衬底支承面支持。
32.如权利要求18的设备,其特征在于包括在主室室内与主室外部环境之间提供界面的密封门,其中所述门有选择地提供进入主室室内的通道。
33.如权利要求18的设备,其中副室室内的容积为10mL~10L。
34.如权利要求18的设备,其中副室室内的直径为50mm~450mm。
35.一种微电子衬底处理设备,其特征在于包括:
(a)包括主室壁的主室,主室壁封闭主室室内并包括室内表面;
(b)在主室室内的开闭位置之间可移动的衬底支承器,所述衬底支承器包括衬底支承面,其中在闭位置,衬底支承面与室内表面至少部分限定与主室室内流动隔离的副室;和
(c)穿过主室壁与副室联通的流体管道。
36.如权利要求35的设备,其中至少一部分衬底支承器用高抗屈强度材料制成。
37.如权利要求35的设备,其中至少一部分主室壁用高抗屈强度材料构成。
38.如权利要求35的设备,其中主室壁的室内表面和衬底支承面用耐蚀材料构成。
39.如权利要求35的设备,其中主室壁的室内表面和衬底支承面用耐蚀材料处理。
40.如权利要求35的设备,其特征在于包括在主室室内与主室外部环境之间提供界面的密封门,其中在衬底支承器的开位置,衬底支承面一般对准所述门,允许从外部环境进入衬底支承面。
41.如权利要求35的设备,其特征在于包括置于主室内的密封元件,其中在衬底支承器的闭位置,密封元件在副室与主室室内之间提供流动密封的边界。
42.如权利要求41的设备,其中密封元件由衬底支承器支持并确定衬底支承面的范围。
43.如权利要求35的设备,其中在衬底支承器的闭位置,副室高于大气压,主室室内基本上为大气压。
44.如权利要求35的设备,其中在衬底支承器的闭位置,副室高于大气压,主室室内低于大气压。
45.如权利要求35的设备,其中主室有一端部,端部有一通入主室的膛孔,衬底支承器通过膛孔移动,而设备还包括设置于膛孔的密封元件,使主室室内与主室的外部环境流动隔离。
46.如权利要求35的设备,其特征在于包括耦接衬底支承器的致动器,用于在开闭位置间移动衬底支承器。
47.如权利要求35的设备,其特征在于包括在机械上参照主室壁的阻挡器。
48.如权利要求47的设备,其中阻挡器包括可在衬底支承器与参照主室壁的结构之间移动的限制件。
49.如权利要求48的设备,其中阻挡器包括致动器和互耦致动器与限制件的顺从连杆。
50.如权利要求49的设备,其中顺从连杆包括一杆。
51.如权利要求50的设备,其中杆的长度为10mm~100mm。
52.如权利要求50的设备,其中杆直径为1mm~5mm。
53.如权利要求49的设备,其中顺从连杆至少有两根杆。
54.一种衬底处理设备,其特征在于包括:
(a)包括衬底支承面与密封元件的可移动衬底支承结构;
(b)以衬底支承面和密封元件为界的处理室;
(c)包围处理室并封闭主室室内的主室,可与主室外部环境和处理室流动密封;和
(d)耦接衬底支承面并适合在开态与闭态之间控制处理室的致动器,其中在闭态,密封元件在处理室与主室之间提供流动隔离的边界,在开态,衬底支承面暴露于主室室内。
55.一种处理微电子衬底且可在衬底处理模式与衬底存取模式之间调整的设备,其特征在于所述设备包括:
(a)包括主室壁的主室,主室壁封闭主室室内;
(b)装到主室可在开态与闭态之间操作的接口元件,其中(I)在开态,接口元件允许从主室外部环境进入主室室内,和(ii)在闭态,接口元件将主室与外部环境密封起来;和
(c)可在主室内移到对应于衬底处理模式的第一位置和交替移到对应于衬底存取模式的第二位置的边界,其中(I)在第一位置,边界至少部分地限定与主室室内密封地分开而适于约束衬底的可增压的副室,而(ii)在第二位置,边界允许衬底通过开态接口元件传入主室并从中取出。
56.如权利要求55的设备,其特征在于包括封闭外部环境的衬底搬运模块,其中接口元件与主室与衬底搬运模块相互耦合。
57.如权利要求56的设备,其中衬底搬运模块包括衬底传递室和置于衬底传递室内的机器人终端执行器,接口一件适应终端执行器通过其从衬底传递室与主室室内的往来运动。
58.如权利要求56的设备,其中主室和衬底搬运模块封闭各自基本上为大气压的环境。
59.如权利要求58的设备,其特征在于包括耦接衬底搬运模块的衬底装载器。
60.如权利要求56的设备,其中主室和衬底搬运模块封闭各自的真空环境。
61.如权利要求60的设备,其特征在于包括密封耦接衬底搬运模块的真空衬底制造模块。
62.一种微电子衬底处理方法,其特征在于所述方法包括:
(a)提供设备,包括:
(I)包括主室壁的主室,主室壁封闭主室室内;和
(II)包括副室壁的副室,副室壁封闭副室室内且置于主室室内,其中副室壁包括边界;
(b)把微电子衬底引入副室室内;
(c)使副室室内与主室室内流动隔离;和
(d)把处理媒体引入副室室内,由此使处理媒体将副室室内增压到大于大气压而接触衬底。
63.如权利要求62的方法,其中处理媒体通过经主室壁限定的流体管道被引入副室室内。
64.如权利要求62的方法,其特征在于包括步骤:在副室室内与主室室内流动隔离时,将主室室内保持于或低于大气压。
65.如权利要求62的方法,其中流动隔离副室室内的步骤包括将副室壁的边界从开位置移到闭位置。
66.如权利要求65的方法,其特征在于包括步骤:在边界处于开位置时,通过主室的可密封界面将衬底传入主室。
67.如权利要求66的方法,其特征在于包括将衬底置于边界的步骤。
68.如权利要求65的方法,其特征在于包括步骤:用耦接边界的致动器在开与闭位置间移动边界。
69.如权利要求62的方法,其中副室壁的边界包括下列之一:(I)可相对副室室内表面移动的衬底支承面;或(ii)可相对位于副室室内的衬底支承面移动的副室室内表面;或(iii)衬底支承面和副室室内表面,其中衬底支承面与副室室内表面相互可相对移动。
70.如权利要求62的方法,其特征在于包括通过移动边界与参照主室壁的结构之间的阻挡器而保持副室室内流体隔离的步骤。
71.如权利要求70的方法,其中使阻挡器与主室壁限定机械接触。
72.如权利要求71的方法,其中阻挡器在机械上参照主室壁。
73.如权利要求62的方法,其特征在于包括用真空源降低主室室内的压力。
74.如权利要求62的方法,其特征在于包括通过与主室室内流动联通的排气口冲洗主室室内的步骤。
75.如权利要求62的方法,其中处理媒体包括增稠的二氧化碳。
76.如权利要求75的方法,其中增稠的二氧化碳包括超临界的流体二氧化碳。
77.如权利要求75的方法,其中增稠的二氧化碳包括液体二氧化碳。
CNA038193035A 2002-06-13 2003-05-09 衬底处理设备及相关的系统与方法 Pending CN1675401A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/170,578 US6846380B2 (en) 2002-06-13 2002-06-13 Substrate processing apparatus and related systems and methods
US10/170,578 2002-06-13

Publications (1)

Publication Number Publication Date
CN1675401A true CN1675401A (zh) 2005-09-28

Family

ID=29732533

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038193035A Pending CN1675401A (zh) 2002-06-13 2003-05-09 衬底处理设备及相关的系统与方法

Country Status (7)

Country Link
US (1) US6846380B2 (zh)
EP (1) EP1549780A4 (zh)
JP (1) JP2005530343A (zh)
CN (1) CN1675401A (zh)
AU (1) AU2003249616A1 (zh)
TW (1) TWI296816B (zh)
WO (1) WO2003107396A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102683259A (zh) * 2011-03-11 2012-09-19 Ap系统股份有限公司 衬底卡盘单元、包含所述衬底卡盘单元的衬底处理设备,以及衬底转移方法
CN103247553A (zh) * 2012-02-10 2013-08-14 株式会社Mm科技 湿式处理设备
CN104051299A (zh) * 2013-03-12 2014-09-17 三星电子株式会社 基板处理装置、基板处理系统以及处理基板的方法
CN108580445A (zh) * 2018-06-29 2018-09-28 东莞塔菲尔新能源科技有限公司 一种动力电池顶盖的清洗装置及清洗方法
CN108971078A (zh) * 2017-05-01 2018-12-11 朗姆研究公司 使用高蒸汽压气雾剂进行原位清洁
CN110060942A (zh) * 2013-03-12 2019-07-26 三星电子株式会社 基板处理装置、基板处理系统以及处理基板的方法
CN110618377A (zh) * 2019-09-12 2019-12-27 中国科学院近代物理研究所 一种用于线路板测试的主副室结构靶室系统及其使用方法
TWI803805B (zh) * 2020-01-23 2023-06-01 德商Lpkf激光電子股份有限公司 用於積體半導體晶圓裝置之安裝方法及能用於該方法之安裝裝置

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4182539B2 (ja) * 2002-09-30 2008-11-19 日本精工株式会社 位置決め装置
AU2003275341A1 (en) * 2002-10-01 2004-04-23 Microtome Precision, Inc. Reduction of electric-field-induced damage in field-sensitive articles
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7153388B2 (en) * 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4121413B2 (ja) * 2003-03-31 2008-07-23 株式会社神戸製鋼所 板状被処理品の高圧処理装置
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP2006526407A (ja) * 2003-06-05 2006-11-24 バイオプロセッサーズ コーポレイション プロセス自動化のためのシステムおよび方法
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7648584B2 (en) * 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
JP4248989B2 (ja) * 2003-10-10 2009-04-02 大日本スクリーン製造株式会社 高圧処理装置および高圧処理方法
KR20060095763A (ko) * 2003-10-21 2006-09-01 가부시키가이샤 니콘 환경 제어장치, 디바이스 제조장치, 디바이스 제조방법, 및노광장치
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US20050115492A1 (en) * 2003-11-28 2005-06-02 Chia-Cheng Liu Method and apparatus of the chemical metal organic vapor epitaxy for the multi-chamber epitaxy layer deposition
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US8323420B2 (en) * 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US20050155874A1 (en) * 2004-01-21 2005-07-21 Noah Chen SMIF box and loading system of reticle
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
WO2005113167A1 (en) * 2004-05-07 2005-12-01 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US7137301B2 (en) * 2004-10-07 2006-11-21 Mks Instruments, Inc. Method and apparatus for forming a reference pressure within a chamber of a capacitance sensor
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8288180B2 (en) * 2005-07-04 2012-10-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing light emitting device
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8480810B2 (en) * 2005-12-30 2013-07-09 Lam Research Corporation Method and apparatus for particle removal
EP2428557A1 (en) * 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
KR100753493B1 (ko) * 2006-01-21 2007-08-31 서강대학교산학협력단 세정장치
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20090205964A1 (en) * 2006-06-20 2009-08-20 Advanced Technology Materials, Inc. Electrochemical sampling head or array of same
US20090200171A1 (en) * 2006-06-20 2009-08-13 Advanced Technology Materials, Inc. Electrochemical sensing and data analysis system, apparatus and method for metal plating
US8245663B2 (en) * 2006-08-22 2012-08-21 Nordson Corporation Apparatus and methods for handling workpieces in a processing system
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
JP2008192642A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US8388762B2 (en) * 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US20090179158A1 (en) * 2008-01-16 2009-07-16 Varian Semiconductor Equpiment Associate, Inc. In-vacuum protective liners
US8871024B2 (en) 2008-06-05 2014-10-28 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US8097081B2 (en) 2008-06-05 2012-01-17 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US9157167B1 (en) 2008-06-05 2015-10-13 Soraa, Inc. High pressure apparatus and method for nitride crystal growth
US20090301388A1 (en) * 2008-06-05 2009-12-10 Soraa Inc. Capsule for high pressure processing and method of use for supercritical fluids
US9404197B2 (en) 2008-07-07 2016-08-02 Soraa, Inc. Large area, low-defect gallium-containing nitride crystals, method of making, and method of use
WO2010017148A1 (en) 2008-08-04 2010-02-11 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US10036099B2 (en) 2008-08-07 2018-07-31 Slt Technologies, Inc. Process for large-scale ammonothermal manufacturing of gallium nitride boules
US8979999B2 (en) 2008-08-07 2015-03-17 Soraa, Inc. Process for large-scale ammonothermal manufacturing of gallium nitride boules
US8021481B2 (en) 2008-08-07 2011-09-20 Soraa, Inc. Process and apparatus for large-scale manufacturing of bulk monocrystalline gallium-containing nitride
US20100031873A1 (en) * 2008-08-07 2010-02-11 Soraa, Inc. Basket process and apparatus for crystalline gallium-containing nitride
US8323405B2 (en) 2008-08-07 2012-12-04 Soraa, Inc. Process and apparatus for growing a crystalline gallium-containing nitride using an azide mineralizer
US8430958B2 (en) 2008-08-07 2013-04-30 Soraa, Inc. Apparatus and method for seed crystal utilization in large-scale manufacturing of gallium nitride
US8148801B2 (en) * 2008-08-25 2012-04-03 Soraa, Inc. Nitride crystal with removable surface layer and methods of manufacture
US7976630B2 (en) 2008-09-11 2011-07-12 Soraa, Inc. Large-area seed for ammonothermal growth of bulk gallium nitride and method of manufacture
US8354679B1 (en) 2008-10-02 2013-01-15 Soraa, Inc. Microcavity light emitting diode method of manufacture
US8455894B1 (en) 2008-10-17 2013-06-04 Soraa, Inc. Photonic-crystal light emitting diode and method of manufacture
US8461071B2 (en) 2008-12-12 2013-06-11 Soraa, Inc. Polycrystalline group III metal nitride with getter and method of making
US8878230B2 (en) 2010-03-11 2014-11-04 Soraa, Inc. Semi-insulating group III metal nitride and method of manufacture
US8987156B2 (en) 2008-12-12 2015-03-24 Soraa, Inc. Polycrystalline group III metal nitride with getter and method of making
US20100147210A1 (en) * 2008-12-12 2010-06-17 Soraa, Inc. high pressure apparatus and method for nitride crystal growth
US9543392B1 (en) 2008-12-12 2017-01-10 Soraa, Inc. Transparent group III metal nitride and method of manufacture
USRE47114E1 (en) 2008-12-12 2018-11-06 Slt Technologies, Inc. Polycrystalline group III metal nitride with getter and method of making
US9589792B2 (en) 2012-11-26 2017-03-07 Soraa, Inc. High quality group-III metal nitride crystals, methods of making, and methods of use
JP2010148632A (ja) * 2008-12-25 2010-07-08 Sharp Corp 洗浄装置
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8299473B1 (en) 2009-04-07 2012-10-30 Soraa, Inc. Polarized white light devices using non-polar or semipolar gallium containing materials and transparent phosphors
US8306081B1 (en) 2009-05-27 2012-11-06 Soraa, Inc. High indium containing InGaN substrates for long wavelength optical devices
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US8435347B2 (en) 2009-09-29 2013-05-07 Soraa, Inc. High pressure apparatus with stackable rings
US9175418B2 (en) 2009-10-09 2015-11-03 Soraa, Inc. Method for synthesis of high quality large area bulk gallium based crystals
JP2011187539A (ja) * 2010-03-05 2011-09-22 Sinfonia Technology Co Ltd ガス注入装置、ガス排出装置、ガス注入方法及びガス排出方法
US8623145B2 (en) * 2010-03-25 2014-01-07 Parker-Hannifin Corporation Substrate processing apparatus with composite seal
US9564320B2 (en) 2010-06-18 2017-02-07 Soraa, Inc. Large area nitride crystal and method for making it
FR2961630B1 (fr) * 2010-06-22 2013-03-29 Soitec Silicon On Insulator Technologies Appareil de fabrication de dispositifs semi-conducteurs
US8729559B2 (en) 2010-10-13 2014-05-20 Soraa, Inc. Method of making bulk InGaN substrates and devices thereon
WO2012099579A1 (en) * 2011-01-18 2012-07-26 Applied Materials Israel Ltd. Chamber elements and a method for placing a chamber at a load position
US9302358B2 (en) 2011-01-18 2016-04-05 Applied Materials Israel, Ltd. Chamber elements and a method for placing a chamber at a load position
US8786053B2 (en) 2011-01-24 2014-07-22 Soraa, Inc. Gallium-nitride-on-handle substrate materials and devices and method of manufacture
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US8492185B1 (en) 2011-07-14 2013-07-23 Soraa, Inc. Large area nonpolar or semipolar gallium and nitrogen containing substrate and resulting devices
US9694158B2 (en) 2011-10-21 2017-07-04 Ahmad Mohamad Slim Torque for incrementally advancing a catheter during right heart catheterization
US10029955B1 (en) 2011-10-24 2018-07-24 Slt Technologies, Inc. Capsule for high pressure, high temperature processing of materials and methods of use
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US9153463B2 (en) 2011-11-25 2015-10-06 Nhk Spring Co., Ltd. Substrate support device
US8482104B2 (en) 2012-01-09 2013-07-09 Soraa, Inc. Method for growth of indium-containing nitride films
US10145026B2 (en) 2012-06-04 2018-12-04 Slt Technologies, Inc. Process for large-scale ammonothermal manufacturing of semipolar gallium nitride boules
US9385017B2 (en) 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9275912B1 (en) 2012-08-30 2016-03-01 Soraa, Inc. Method for quantification of extended defects in gallium-containing nitride crystals
US9299555B1 (en) 2012-09-28 2016-03-29 Soraa, Inc. Ultrapure mineralizers and methods for nitride crystal growth
KR101443792B1 (ko) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9650723B1 (en) 2013-04-11 2017-05-16 Soraa, Inc. Large area seed crystal for ammonothermal crystal growth and method of making
CN110600399B (zh) * 2013-08-12 2023-06-27 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
KR101821636B1 (ko) * 2013-08-28 2018-03-08 에이피시스템 주식회사 기판 안착 장치
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9416003B2 (en) * 2014-02-24 2016-08-16 Freescale Semiconductor, Inc. Semiconductor die with high pressure cavity
KR101795994B1 (ko) 2014-06-20 2017-12-01 벨로3디, 인크. 3차원 프린팅 장치, 시스템 및 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US9676145B2 (en) 2015-11-06 2017-06-13 Velo3D, Inc. Adept three-dimensional printing
WO2017100695A1 (en) 2015-12-10 2017-06-15 Velo3D, Inc. Skillful three-dimensional printing
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US20170239719A1 (en) 2016-02-18 2017-08-24 Velo3D, Inc. Accurate three-dimensional printing
KR102228219B1 (ko) 2016-06-01 2021-03-17 어플라이드 머티어리얼스, 인코포레이티드 3d 낸드 응용들을 위한 터널 산화물의 고압 암모니아 질화
US11691343B2 (en) 2016-06-29 2023-07-04 Velo3D, Inc. Three-dimensional printing and three-dimensional printers
US10286452B2 (en) 2016-06-29 2019-05-14 Velo3D, Inc. Three-dimensional printing and three-dimensional printers
US20180095450A1 (en) 2016-09-30 2018-04-05 Velo3D, Inc. Three-dimensional objects and their formation
US20180126460A1 (en) 2016-11-07 2018-05-10 Velo3D, Inc. Gas flow in three-dimensional printing
US10611092B2 (en) 2017-01-05 2020-04-07 Velo3D, Inc. Optics in three-dimensional printing
JP6697640B2 (ja) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US20180250745A1 (en) 2017-03-02 2018-09-06 Velo3D, Inc. Three-dimensional printing of three-dimensional objects
US20180281282A1 (en) 2017-03-28 2018-10-04 Velo3D, Inc. Material manipulation in three-dimensional printing
US10174438B2 (en) 2017-03-30 2019-01-08 Slt Technologies, Inc. Apparatus for high pressure reaction
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102358561B1 (ko) 2017-06-08 2022-02-04 삼성전자주식회사 기판 처리 장치 및 집적회로 소자 제조 장치
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
DE102017216962A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Mikromechanische Sensoranordnung
JP7112490B2 (ja) * 2017-11-11 2022-08-03 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10272525B1 (en) 2017-12-27 2019-04-30 Velo3D, Inc. Three-dimensional printing systems and methods of their use
US10144176B1 (en) 2018-01-15 2018-12-04 Velo3D, Inc. Three-dimensional printing systems and methods of their use
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11127606B1 (en) * 2018-07-26 2021-09-21 Seagate Technology Llc Cooling station with integrated isolation valves
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11466384B2 (en) 2019-01-08 2022-10-11 Slt Technologies, Inc. Method of forming a high quality group-III metal nitride boule or wafer using a patterned substrate
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US12000552B2 (en) 2019-01-18 2024-06-04 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system for a vehicle
KR20210124443A (ko) * 2019-02-12 2021-10-14 어플라이드 머티어리얼스, 인코포레이티드 진공 시스템을 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법, 및 기판을 진공 프로세싱하기 위한 장치
KR102012389B1 (ko) * 2019-04-03 2019-08-20 (주)에이이 로드 포트용 퍼지노즐 모듈
CA3148849A1 (en) 2019-07-26 2021-02-04 Velo3D, Inc. Quality assurance in formation of three-dimensional objects
US12091771B2 (en) 2020-02-11 2024-09-17 Slt Technologies, Inc. Large area group III nitride crystals and substrates, methods of making, and methods of use
US11721549B2 (en) 2020-02-11 2023-08-08 Slt Technologies, Inc. Large area group III nitride crystals and substrates, methods of making, and methods of use
CN115104174A (zh) 2020-02-11 2022-09-23 Slt科技公司 改进的iii族氮化物衬底、制备方法和使用方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20230019295A (ko) * 2021-07-29 2023-02-08 세메스 주식회사 기판 처리 장치
US20230113063A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. Dynamic processing chamber baffle
US12119209B2 (en) * 2021-10-11 2024-10-15 Applied Materials, Inc. Dynamic processing chamber baffle

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439261A (en) * 1983-08-26 1984-03-27 International Business Machines Corporation Composite pallet
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5496901A (en) 1992-03-27 1996-03-05 University Of North Carolina Method of making fluoropolymers
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
US5780553A (en) 1993-07-30 1998-07-14 University Of North Carolina At Chapel Hill Heterogeneous polymerizations in carbon dioxide
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
DE69610652T2 (de) 1995-01-26 2001-05-10 Texas Instruments Inc., Dallas Verfahren zur Entfernung von Oberflächenkontamination
JP3277114B2 (ja) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
JP2832173B2 (ja) 1995-05-31 1998-12-02 信越半導体株式会社 半導体基板の洗浄装置および洗浄方法
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5860467A (en) 1996-12-03 1999-01-19 The University Of North Carolina At Chapel Hill Use of CO2 -soluble materials in making molds
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6165560A (en) 1997-05-30 2000-12-26 Micell Technologies Surface treatment
US6287640B1 (en) 1997-05-30 2001-09-11 Micell Technologies, Inc. Surface treatment of substrates with compounds that bind thereto
US6344243B1 (en) 1997-05-30 2002-02-05 Micell Technologies, Inc. Surface treatment
JP2002511907A (ja) 1997-05-30 2002-04-16 マイセル・テクノロジーズ 表面処理
US6001418A (en) 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
US6067728A (en) 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6208751B1 (en) 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6085762A (en) 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6113708A (en) 1998-05-26 2000-09-05 Candescent Technologies Corporation Cleaning of flat-panel display
US6343609B1 (en) 1998-08-13 2002-02-05 International Business Machines Corporation Cleaning with liquified gas and megasonics
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6176895B1 (en) 1998-11-04 2001-01-23 Desimone Joseph M. Polymers for metal extractions in carbon dioxide
US6083565A (en) 1998-11-06 2000-07-04 North Carolina State University Method for meniscus coating with liquid carbon dioxide
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
JP3398936B2 (ja) * 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6576066B1 (en) 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6521046B2 (en) * 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
AU2001228744A1 (en) 2000-02-18 2001-08-27 Eco2 Sa Device and method for the precision cleaning of objects
EP1425115A4 (en) 2000-04-18 2006-03-01 S C Fluids Inc SUPERCRITICAL FLUID DELIVERY AND RECOVERY SYSTEM FOR PROCESSING SEMICONDUCTOR WAFERS
CN1216415C (zh) 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
JP2004510321A (ja) 2000-05-18 2004-04-02 エス.シー.フルーイズ,インコーポレイテッド 精密な表面のための超臨界流体洗浄プロセス
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
KR100559017B1 (ko) 2000-08-14 2006-03-10 동경 엘렉트론 주식회사 초임계 이산화탄소를 이용하는 반도체로부터의포토레지스트 및 포토레지스트 잔사의 제거법
US6340936B1 (en) * 2000-08-18 2002-01-22 Mcgaffey John F. Accessible pedestrian signal system

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102683259A (zh) * 2011-03-11 2012-09-19 Ap系统股份有限公司 衬底卡盘单元、包含所述衬底卡盘单元的衬底处理设备,以及衬底转移方法
TWI497639B (zh) * 2011-03-11 2015-08-21 Ap Systems Inc 基底夾盤單元、包含該基底夾盤單元的基底處理裝置以及基底轉移方法
CN103247553A (zh) * 2012-02-10 2013-08-14 株式会社Mm科技 湿式处理设备
CN104051299A (zh) * 2013-03-12 2014-09-17 三星电子株式会社 基板处理装置、基板处理系统以及处理基板的方法
CN104051299B (zh) * 2013-03-12 2019-01-25 三星电子株式会社 基板处理装置、基板处理系统以及处理基板的方法
CN110060942A (zh) * 2013-03-12 2019-07-26 三星电子株式会社 基板处理装置、基板处理系统以及处理基板的方法
CN110060942B (zh) * 2013-03-12 2023-06-27 三星电子株式会社 基板处理装置、基板处理系统以及处理基板的方法
CN108971078A (zh) * 2017-05-01 2018-12-11 朗姆研究公司 使用高蒸汽压气雾剂进行原位清洁
CN108580445A (zh) * 2018-06-29 2018-09-28 东莞塔菲尔新能源科技有限公司 一种动力电池顶盖的清洗装置及清洗方法
CN110618377A (zh) * 2019-09-12 2019-12-27 中国科学院近代物理研究所 一种用于线路板测试的主副室结构靶室系统及其使用方法
CN110618377B (zh) * 2019-09-12 2020-08-11 中国科学院近代物理研究所 一种用于线路板测试的主副室结构靶室系统及其使用方法
TWI803805B (zh) * 2020-01-23 2023-06-01 德商Lpkf激光電子股份有限公司 用於積體半導體晶圓裝置之安裝方法及能用於該方法之安裝裝置

Also Published As

Publication number Publication date
WO2003107396A8 (en) 2005-04-07
TWI296816B (en) 2008-05-11
WO2003107396A3 (en) 2004-06-17
JP2005530343A (ja) 2005-10-06
TW200307976A (en) 2003-12-16
AU2003249616A1 (en) 2003-12-31
AU2003249616A8 (en) 2003-12-31
WO2003107396A2 (en) 2003-12-24
US6846380B2 (en) 2005-01-25
WO2003107396B1 (en) 2004-08-12
EP1549780A4 (en) 2007-10-03
US20030232512A1 (en) 2003-12-18
EP1549780A2 (en) 2005-07-06

Similar Documents

Publication Publication Date Title
CN1675401A (zh) 衬底处理设备及相关的系统与方法
CN1743254A (zh) 在微环境晶片传送盒和设备零件之间的真空接口
US8927435B2 (en) Load lock having secondary isolation chamber
US6722642B1 (en) High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US5433574A (en) Gas purge unit for a portable container
US6921456B2 (en) High pressure processing chamber for semiconductor substrate
CN1851890A (zh) 具有流量控制的平台真空气路系统及其控制方法
CN1179394C (zh) 晶片清洗和蒸汽干燥系统和方法
CN106920743A (zh) 基板处理方法及基板处理系统
CN101921999B (zh) 多反应腔金属有机物化学气相沉积设备
US20020148570A1 (en) Sample processing system
TW201901842A (zh) 薄板狀基板保持用指板及具備此指板的搬送機器人
CN1448799A (zh) 衬底处理装置和衬底处理方法
CN1258093A (zh) 样品处理系统
CN1834791A (zh) 减压干燥装置
CN1517769A (zh) 双重结构的丛集设备
EP0999578A2 (en) Sample processing system
US20020189543A1 (en) High pressure processing chamber for semiconductor substrate including flow enhancing features
US20020182870A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
CN1630049A (zh) 用来对晶片层面封装件进行流体填充的系统和方法
KR20050019129A (ko) 기판 처리 장치 및 기판 처리 방법
CN115705999A (zh) 基板处理方法
CN208513195U (zh) 单槽晶片净化清洗装置
CN1546743A (zh) 单片三腔式红外加热超高真空化学气相淀积外延系统
CN1977363A (zh) 真空处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned