KR0155572B1 - 감압처리 시스템 및 감압처리 방법 - Google Patents

감압처리 시스템 및 감압처리 방법 Download PDF

Info

Publication number
KR0155572B1
KR0155572B1 KR1019920009231A KR920009231A KR0155572B1 KR 0155572 B1 KR0155572 B1 KR 0155572B1 KR 1019920009231 A KR1019920009231 A KR 1019920009231A KR 920009231 A KR920009231 A KR 920009231A KR 0155572 B1 KR0155572 B1 KR 0155572B1
Authority
KR
South Korea
Prior art keywords
chamber
wall
atmosphere
heating
wafer
Prior art date
Application number
KR1019920009231A
Other languages
English (en)
Other versions
KR920022398A (ko
Inventor
데루오 이와다
노부오 이시이
마사시 사이토오
도오루 이케다
히로아키 사에키
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP3152634A external-priority patent/JP2973141B2/ja
Priority claimed from JP15263391A external-priority patent/JPH04349929A/ja
Priority claimed from JP18624191A external-priority patent/JP3238427B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼 가이샤 filed Critical 이노우에 아키라
Publication of KR920022398A publication Critical patent/KR920022398A/ko
Application granted granted Critical
Publication of KR0155572B1 publication Critical patent/KR0155572B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/006Processes utilising sub-atmospheric pressure; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Abstract

감압처리 시스템은, 웨이퍼를 처리하는 프로세스 분위기 또는/ 및 대기 분위기에 연이어 통하는 개구를 가진 로드록 챔버와, 이 챔버내부를 프로세스 분위기 또는/ 및 대기 분위기에 대하여 차단 또는 개방하기 위하여 개구에 설치된 게이트 밸브와, 웨이퍼를 챔버내에 반입/반출하는 로보트와, 챔버내를 배기하는 배기펌프와, 챔버의 벽을 가열하는 히이터와, 게이트 밸브, 로보트, 배기펌프, 및 히이터를 제어하는 콘트로울러와 를 가진다.

Description

감압처리 시스템 및 감압 처리방법
제1a도 내지 제1d도는, 각각 단일 또는 복수의 처리실을 가지는 종래의 갑압처리 시스템을 모식적으로 나타내는 레이아웃도.
제2도는, 제1d도에 나타내는 종래의 감압처리 시스템의 내부 개요를 나타내는 종단면도.
제3도는, 본 발명의 제1실시예에 관한 로드록 시스템의 일부를 절결하여 나타내는 기구블록도.
제4도는, 제1실시예의 로드록 챔버 및 웨이퍼 스테이지를 나타내는 종단면도.
제5도는, 웨이퍼 스테이지를 각 부품으로 분해하여 나타내는 분해 사시도.
제6도는, 로드록 챔버 웨리퍼를 로드/언로드하는 경우의 플로우 챠트.
제7a도는, 로드록 챔버의 내실 및 외실의 압력 변화를 시간 경과적으로 나타내는 그래프도.
제7b도는, 안쪽 챔버벽의 온도변화를 시간 경과적으로 나타내는 그래프도.
제7c도는, 게이트 밸브의 개폐상태를 나타내는 타이밍 챠트.
제8도는, 제1실시예 및 비교예의 각각에 관해 로드록 챔버의 내압 변화를 조사한 결과를 나타내는 그래프도.
제9도는, 제2실시예의 로드록 챔버 및 웨이퍼 스테이지를 나타내는 종단면도.
제10도는,제3실시예의 로드록 챔버 및 웨이퍼 스테이지를 나타내는 종단면도.
제11도는, 제4실시예의 로드록 챔버 및 웨이퍼 스테이지를 절결하여 나타내는 블록도.
제12도는, 이온주입 장치 및 부속 로드록 시스템을 나타내는 평면 레이아웃도.
제13도는, 제5실시예에 관한 로드록 시스템의 주요부를 절결하여 나타내는 종단면도.
제14도는, 제6실시예의 로드록 시스템의 주요부를 나타내는 종단면도.
제15도는, 제7실시예의 로드록 시스템의 주요부를 나타내는 종단면도.
제16도는, 제8실시예의 로드록 시스템의 주요부를 나타내는 종단면도.
제17도는, 제9실시예의 로드록 시스템의 주요부를 나타내는 종단면도.
제18도는, 제10실시예의 로드록 시스템의 주요부를 나타내는 종단면도.
제19도는, 제10실시예의 로드록 챔버의 개구부분을 확대하여 나타내는 종단변 확대도.
제20도는, 제11실시예에관한 로드록 시스템의 전체 개요를 나타내는 기구블록도.
제21도는, 제11실시예의 로드록 시스템의 개구부를 나타내는 종단면도.
제22도는, 제11실시예의 로드록 시스템의 개구부를 나타내는 정면도.
제23도는, 제12실시예에 관한 로드록 시스템을 나타내는 전체 개요도.
제24도는, 제12실시예의 로드록 챔버(트랩장치)의 내부를 나타내는 종단면도.
제25도는, 트랩장치에 있어서의 각 동작의 타이밍 챠트이다.
* 도면의 주요부분에 대한 부호의 설명
2 : 재치대 3 : 웨이퍼 반송기구(반송 로보트)
4 : 웨이퍼 카세트 5 : 배기 시스템
10 : 챔버 11 : 바깥쪽벽
11a, 12a : 창 (반사경) 12 : 안쪽벽
13 : 히터 14 : 수냉관
19 : 제1게이트 밸브 20 : 제2게이트 밸브
21 : 제1스페이스 22 : 제2스페이스
23,24 : 배기관 25,26 : 밸브
27 : 바이패스 밸브(드라이 펌프) 28 : 터보분자 펌프
31d :온도제어부 31c : 배기제어부
31 : 제1콘트롤러 31a : CPU
31b : 게이트 밸브 개폐/웨이퍼 반송 제어부
35,41 : 가스공급관 42,46 : 밸브
43,47 : 매스플로우 콘트롤러 (MFC) 44,48 : N2가스공급원
45 : 가스공급관 50 : 제2콘트롤러
51 : 차압계 51a,51b : 검출단
52 : 온도센서 52a : 검출단부
60 : 프로세스 챔버 61 : 외벽
70 : 웨이퍼 스테이지 72 : 아래플레이트
73 : 윗플레이트 74 : 급수구
75 : 수냉토로 76 : 배수구
77 : 윗플레이트부재 78 : 외피
79 : 전열체(히터) 80 : 웨이퍼 스테이지(재치대)
81 : 베이스 플레이트 82,83 : 핀
84 : 냉매 분사관 90 : 로드록 챔버
91 : 적외선 램프 92 : 반사경
100 : 웨이퍼 반송기구 101 : 다관절 아암
102 : 베이스부 104 : 홀더
105 : 핀 120 : 로드록 챔버
300 : 이온발 302 : 이온원
304 : 질량분석기 306 : 비임 정형기
308 : 회전 체이블 310 : 처리부
312 : 핸들링 장치 320 : 로드/언로드부
321 : 반송기구 322 : 챔버
323 : 배관 324 : 히터
326 : 할로겐 램프 328 : 가스공급관
329 : 배기장치 330 : 로드록 챔버
331 : 바깥쪽벽 332 : 안쪽벽
333 : 냉매배관 334 : 히터
340 : 서셉터 342 : 냉매도입관
344 : 냉매 배출관 346 : 리드선
348 : 배관 400 : 로드록 시스템
401 : 로드록 챔버 402 : 배기관
403 : 가스도입관 404 : 밸브
406 : 웨이퍼 스테이지 407 : 걸림핀
408 : 피드스루 409 : 지주
411 : 직루전원 412 : 고주파 전원
413 : 매치네트위크 420 : 로드록 시스템
412, 422 : 광투과성창 423,424 : 자외선 램프
430 : 로드록 시스템 431, 432 : 광투과성창
500 : 로드록 시스템 501 : 로드록 챔버
502 : 배기관 503 : 가스도입관
504 : 가스분사관 505,506 : 개구
507 : 분사구 508 : 통기실
520 : 트랩장치 521 : 냉각조
522 : 액체질소 523 : 에탄올 용액
524 : 트랩실 525 : 필터
610 : 로드록 챔버 612 : 반입쪽 로드록 챔버
614 : 반출쪽 로드록 챔버 616, 618 : 게이트 밸브
622 : 가스공급관 624 : 분기관
626 : 매스플로우 콘트롤러 628 : 밸브
630 : 필터 640 : 퍼지블록
642 : 통로 642a : 측벽
642b :바닥벽 642c : 윗벽
644 : 플렌지 646 : 퍼지박스
648 : 공간 650~654 : 슬릿
658~662 : 가이드판 664 : 경사면
666 : 수평돌출면 668 : 정류판
670 : 파형판
본 발명은, 반도체 기판 및 액정 기판등의 처리에 사용되는 감압처리 시스템 및 감압 처리방법에 관한 것으로, 특히, 프로세스챔버의 예비실로서 설치되는 로드록 챔버를 가지는 시스템에 관한 것이다.
반도체 디바이스나 액정패널등의 소재로 이루어지는 기판에의 이온 주입, 드라이 에칭, 막형성 처리등의 각종 프로세스에서는, 감압처리 시스템이 사용되고 있다.
감압처리 시스템은, 차버의 내부 분위기를 소망의 감압상태에 콘트롤하고, 프로세스 분위기와 대기 분위기를 나누는 역할을 가진다.
반도체 웨이퍼에 대하여 이온 주입 등의 진공처리를 행할 경우, 넓은 진공처리실내에 대기가 혼입하면, 재차 고진공 상태로 하는데에 긴 시간을 요한다.
이때문에, 통상 로드록실을 통하여 프로세스 챔버에 웨이퍼의 반입, 반출을 행하고 있다.
여기서, 로드록실은, 배기소요시간을 할수 있는한 짧게하기 위하여 필요 최소한의 크기로 되어있다.
그러나, 로드록실을 구성하는 벽에 수분이나 프로세스 가스 성분등이 흡착되어 있으면, 배기소요시간이 길어지고, 수율이 저하한다.
제1a도 내지 제1d도에, 종래의 감압처리 시스템의 대표적인 예를 나타낸다.
제1a도에는 프로세스 챔버(PC)를 단독으로 배치한 것을 나타낸다.
제1b도에는 PC의 전후에 로드록 챔버(LL1, LL2)를 각각 배열 설치한 것을 나타낸다.
제1c도에는 PC의 전후에 카세트 챔버(CC1, CC2)를 각각 배열 설치한 것을 나타낸다.
제1d도에는 PC의 전후에 로드록 챔버 (LL1, LL2) 및 카세트 챔버(CC1, CC2)를 각각 배열설치한 것을 나타낸다.
각 챔버의 입구 및 출구에는 자동 개폐 기구를 가지는 게이트 밸브(G)가 부착되어 있다.
게이트 밸브(G)는, 도시하지 않은 반송기구나 배기기구 등과 연이어 움직이도록, 콤퓨터 시스템에 의하여 백업된 콘트롤러에 의해 제어되도록 되어있다.
그러나, 제1a도 내지 제1c도에 나타낸 각 시스템은, 어느것도 웨이퍼의 출입시에 각 챔버내를 대기압으로 되돌릴 필요가 있으며, 각 챔버내를 재차 고진공의 상태까지 배기하는데에 장시간을 요하고, 수율이 저하한다.
그래서, 제1d도 및 제2도에 나타낸 바와같이, PC 및 각 CC1, CC2의 사이에 LL1, LL2를 각각 설치하고, PC에 미치는 대기의 영향을 억제하도록 한 감압처리 시스템이 있다.
이 경우에, PC, LL1, LL2, CC1, CC2의 각각은, 배기 시스템(5)에 의하여 배기되도록 되어 있다.
중앙의 프로세스 챔버(PC)내에는 반도체 웨이퍼(W)를 얹어놓기 위한 재치대(2)가 설치되어 있다.
또, 각 카세트 챔버 CC1, CC2내에는 웨이퍼 카세트(4)가 각각 수납되어있다.
각 카세트(4)에는 복수매의 반도체 웨이퍼(W)가 수납되어 있다.
각 로드록 챔보 LL1, LL2 내에는 웨이퍼 반송기구(반송 로보트)(3)가 각각 설치되어 있다.
반송기구(3)는, 다관절 아암을 가지며, 반도체 웨이퍼(W)를 CC1로부터 PC로, 또는PC로부터 CC2로 반송하는 핸들링 기능을 가진다.
그러나, 제2도에 나타난 시스템에서는, 가장 바깥쪽의 챔버(LL1, LL2, CC1, CC2)에 대해서는 프로세스 챔버(PC)내에서의 처리진행에 따른 일정한 빈도로 대기압으로 되돌리지 않으면 안되기 때문에, 내부를 재차 고징공 상태까지 배기하기 위한 시간이 걸리고 수율이 저하한다.
특히, 외기에 함유되는 수분이 챔버내벽에 부착하면,배기시의 기화열로 배출이 곤란한 얼음조각으로 변화하여 배기에 시간이 걸리고 수율이 저하한다.
이것을 방지하기 위하여 챔버내에 대기압으로의 개방에앞서 질소등의 불활성가스를 충전하고, 피처리 기판의 반입/반출 중에는 외부로 흐르게하는 등의 대책이 강구되고 있으나, 대기중을 고속의 분자속도로 비행하는 물분자를 충분히 저지하는 것은 곤란하며, 그다지 효과사 없다,
또, 처리실에서의 처리가 CVD등의 막 형성처리의 경우, 처리에 사용한 재료가스나 이들로부터 생긴 반응가스등이 미량이면서 처리실내에 잔류하고, 이 잔류가스가 피처리 기판이나 처리완료 기판의 반입/반출시에 예비실이나 저장실쪽으로 흘러들어가 그 내벽등에 부착하고, 실내를 오염시켜버려 불량품을 발생 시킨다고 하는 문제도 있다.
또한, 반입대기의 피처리 기판 자체의 표면에도 대기와 접촉하고 있는 사이에 물분자 등 여러가지 분자가 흡착된다.
이와같은 피처리 기판을 챔버내에 반입하여 배기를 개시하여도 표면의 흡착분자는 빠르게는 유리되지 않기 때문에, 고진공 상태에의 도달에 시간이 걸리도 수율이 저하한다.
본 발명의 목적은, 로드록 챔버등의 예비챔버를 단시간에 소망 레벨까지 배기할 수 있는 감압처리 시스템 및 감압처리방법을 제공하는데에 있다.
본 발명의 한 형태에 의하면, 감압처리 시스템은, 피처리체를 처리하는 프로세스 분위기 또는/ 및 대기 분위기에 연이어 통하는 개구를 적어도 1개 가지는 챔버와, 상기 챔버의 내부를 프로세스 분위기 또는/및 대기분위기에 대하여 차단 또는 개방하기 위하여, 상기 개구에 설치된 게이트 수단과, 피처리체를 상기 챔버내에 반입/반출하는 반송수단과 상기 챔보내를 배기하는 배기수단과, 상기 챔버의 벽을 가열하는 가열수단과, 상기 게이트수단, 반송수단, 배기수단, 및 가열수단 중 적어도 1개를 제어하는 제어수단과를 가진다.
본 발명의 다른 형태에 의하면, 감압처리 방법은, 프로세스 분위기 또는 대기 분위기에서 피처리체를 챔버내로 반송수단에 의하여 반입하고, 챔보를 기밀 상태로 하여, 챔버내를 배기함과 동시에, 챔버의 벽을 가열하고, 상기 배기/가열 공정을 제어수단에 의해 제거하여, 챔버내압을 목표 설정치에 도달 시키고, 피처리체를 상기 반송수단에 의하여 챔버로부터 반출하는 공정으로 이루어진다.
챔버벽이 물의 기화온도 이상으로 가열되므로, 부착수분이 챔버벽을 이탈하기 쉽고, 챔버내압을 소망레벨까지 단시간에 도달할 수 있다.
또, 챔버벽에서 열에너지가 방사되므로, 챔보내에 가지고 들어가는 웨이퍼에 부착한 수분도 신속하게 제거된다.
[실시예]
제3도는, 본 발명의 제1실시예에 관한 감압처리 시스템의 주요부를 나타내는 요부 단면도이다.
감압시스템의 챔버(10)는, 바깥둘레가 이중의 벽(11), (12)으로 둘러싸이고, 양끝단 개구가 게이트 밸브(19), (20)에 의하여 각가 차단되어 있다.
이 챔버(10)는, 프로세스챔버(60)에 인접하여 설치되는 로드록 챔버로서 사용되는 것이다.
이중벽중 바깥쪽의 벽(11)은, 스테인레스 강판이나 알루미늄 합금판등의 두꺼운 판으로 만들어져있다.
이 바깥쪽의 벽(11)은, 프롤세스 챔버(60)의 외벽(61)에 연속하도록 만들어지며, 대기압과 내압(수십만분의 1기압)과의 압력창 견딜수 있게 충분한 강도를 구비하고 있다.
이중벽 중 안쪽의 벽(12)은, 스테인레스 강판등의 얇은판으로 만들어져있다.
이 안쪽의 벽(12)은, 그 외부면측에 작용하는 수십만분의 1기압과 내부면측에 작용하는 대략 영(zero)기압과의 차이에 동등한 대략 수십만분의 1기압의 낮은 압력에 견딜수 있게 충분한 강도를 구비하고 있다.
안쪽의 벽(12)의 외부면에는, 히터(13) 및 수냉관(14)이 소정 피치간격으로 감겨져 있다.
이 안쪽의 벽(12)은, 바깥쪽의 벽(11)과 동축적으로 설치되어 있다. 안쪽의 벽(12) 및 게이트 밸브(19), (20)에 의하여 제1스페이스(웨이퍼 반송통로)(21)가 형성된다.
또, 바깥쪽의 벽(11) 및 안쪽의 벽(12)에 의하여 제2스페이스(22)가 형성된다.
제1게이트 밸브(19)는 대기 분위기와 로드록 분위기를 분리 차단하기 위한 것이며, 제2게이트 밸브(20)는 프로세스 분위기와 로드록 분위기를 분리 차단하기 위한 것이다.
제1게이트 밸브(19)의 프론트측에는 카세트 스테이지(도시하지 않음)가 설치되어 있다.
카세트스테이지의 근방에 반송장치(도시하지 않음)가 설치되고, 이 반송장치에 의하여 스테이지 상의 카세트로부터 반도체 웨이퍼가 1매씩 꺼내지고, 로드록 챔버(10)내에 반입 되도록 되어있다.
배기관(23)이 내외벽(11),(12)을 관통하고, 그 한끝단쪽이 제1스페이스(21)에 연이어 통하고 있다.
배기관(23)의 다른 한끝단쪽은 밸브(25)를 통하여 터보분자 펌프(28)에 접속되어 있다.
또, 다른 배기관(24)이 바깥쪽의 벽(11)을 관통하고, 그 한끝단쪽이 제2스페이스(22)에 연이어 통하고 있다.
이 배기관(24)의 다른 끝단쪽은, 밸브(26)를 통하여 터보분자 펌프(28) 및 드라이 펌프(29)의 양자에 접속되어 있다.
또, 2개의 배기관(23) 및 (24)의 사이에는 바이패스관(35)이 설치되어 있다.
이 바이페스관(35)에는 밸브(27)가 설치되어 있다.
또 터보분자 펌프(28)의 배기축은 드라이 펌프(27)에 접속되어 있다.
제1의 콘트롤러(31)는, CPU(31a), 게이트 밸브 개폐/웨이퍼 반송 제어부(31b), 배기제어부(31c) 및 온도제어부(31d)를 가진다.
게이트 밸브 개폐/웨이퍼 반송 제어부(31b)는, 게이트 밸브(19),(20)를 개폐 제어함과 동시에, 웨이퍼 반송기구의 반입반출 동작을 제어하는 역할을 한다.
배기 제어부(31c)는, 펌프(28),(29)의 가동/정지나 밸브(25),(26)의 개폐 동작을 제어하는 역할을 한다.
온도제어부(31d)는, 히터(13)에의 급전량 및 수냉관(4)에의 급수량을 조절제어하는 역할을 한다.
CPU(31a)는, 내압 검출신호 및 온도 검출신호에 의거하여 각 제어부(31b),(31c),(31d)를 소정의 타이밍하에 연휴되는 역할을 한다.
또, 내압계(30)의 검출단부(30a)가 제1스페이스(21)내에 설치되며, 제1스페이스(21)의 내압이 검출되도록 되어있다.
이 압력계(30)는 CPU(31a)에 접속되어 있다.
또, 온도센서(2)의 검출단부(52a)가 안쪽의 벽(12)에 부착되며, 이 온도가 검출되도록 되어있다.
이 온도센서(52)는, CPU(31a)에 접속되어 있다.
또한, 이 실시예에서는 온도센서(52))의 검출단부(52a)를 안쪽의 벽(12)에 설치하고 있으나, 이것만에 한정되는 것이 아니며, 검출단부(52a)를 웨이퍼 스테이지에 설치하여도 좋다.
가스 공급관(41)의 한끝단쪽이 제1스페이스(21)에 연이어 통하고 있다.
가스 공급관(41)의 다른끝단쪽은, 밸브(42) 및 매스플로우 콘트롤러(이하, MFC라함)(43)를 통하여 N2가스공급원(44)에 연이어 통하고 있다.
또, 가스 공급원(45)의 한끝단쪽이 제2스페이스(22)에 연이어 통하고 있다.
가스 공급관(45)의 다른끝단쪽은 , 밸브(46) 및 MFC(47)를 통하여 N2가스공급원(48)에 연이어 통하고 있다.
밸브(42),(46) 및 MFC(43),(47)는 제2콘트롤러(50)의 출력측에 접속되어 있다.
한편, 차압계(51)가 제2콘트롤러(50)의 입력측에 접속되어 있다.
이 차압계(51)의 검출단(51a) 및 (51b)는, 제1및 제2 스페이스(21),(22)에 각각설치되어 있다.
제2컨트롤러(50)의 출력측은, 밸브(42),(46) 및 MFC(43),(47)및 바이패스 밸브(27)에 각각 접속되어 있다.
제2콘트롤러(50)는, 차압계(51)로부터의 검출신호에 의거하여 바이패스밸브(27)를 개폐제어하는 역할을 한다.
이 경우에, 제1스페이스(21)와 제2스페이스(22)와의 압력차가 10Torr이상이 되지 않도록, 바이패스 밸브(27)의 개도(開度)가 콘트롤될수 있도록 프로그램되어 있다.
또, 제2콘트롤러(50)는, 개폐/반송 제어부(31b)로부터의 지령신호에 의거하여 밸브(42),(46)의 개폐 및 MFC(43),(47)의 유량조절을 각각 제어하는 역할을 한다.
또한, 배기의 초기단계에서는 , 제1스페이스(21)는, 배기관(23), 바이패스 밸브(27), 밸브(26)를 통하여 드라이 펌프(7)에 연이어 통하고, 초기 배기된다.
또한, 배기의 중기 말기의 단계에서는, 제1스페이스(21)는, 최종적으로는 밸브(25)를 통하여 터보분자 펌프(28)에 연이어 통하고, 10-9Torr정도의 고진공 상태로 배기되도록 되어있다.
한편, 제2스페이스(22)는, 배기관(24)및 밸브(26)를 통하여 드라이 펌프(27)에 연이어 통하고, 10-2Torr정도의 저진공 상태로 배기 되도록 되어있다.
제4도에 나타난 바와같이, 상기 로드록 챔버(10)내에, 냉각 기능 및 가열기능을 가지는 웨이퍼 스테이지(70)를 설치하여도 좋다.
제5도에 나타난 바와 같이, 웨이퍼 스테이지(70)는, 냉각기수 부착 아래플레이트(71)와, 이것에 적층되는 가열기구 부착 윗 플레이트(77)를 가진다.
아래 플레이트(71)는, 위아래 플레이트 부재(72),(73)의 상호간에 수냉통로(75)가 형성되어 있다.
수냉통로(75)는, 급수구(74) 및 배수구(76)에 연이어 통하고 있다. 윗 플레이트 부재(77)는, 절연성 세라믹의 외피(78)와, 도전성 세라믹의 전열체(79)를 가진다.
전열체(79)는, 3개가 동심원 형상이 되도록 외피(78)의 내부에 매립되어 있다.
또한, 전열에(79)의 전원(도시하지 않음) 및 수냉통로(75)로의 냉각수 공급원(도시하지 않음)은, 원래 제1콘트롤러의 온도 제어부(31d)에 의하여 제어되도록 되어있다.
다음에, 제6도를 참조하면서, 제1실시예의 로드록 시스템에 의하여 반도체 웨이퍼(W)를 프로세스챔버에 로딩하는 경우에 대해 설명한다.
반송장치에 의하여 카세트에서 반도체 웨이퍼(W)를 1매만 꺼내어, 제1게이트 밸브(19)을 열고, 챔버(10)내에 웨이퍼(W)를 반입한다(공정 201).
웨이퍼(W)를 스테이지(70)상에 얹어놓고, 반송장치의 아암을 끌어들여, 게이트 밸브(19)를 닫는다(공정202).
챔버(10)의 제1스페이스(21) 및 제2스페이스(22)를 배기한다(공정 203).
이때, 차압계(51)로부터의 차압 검출신호에 의거하여 콘트롤러(50)에 의하여 바이패스 밸브(27)를 제어하고, 양 스페이스(21),(22)의 압력차가 10Torr을 초과하지 않도록 제어한다(공정 204).
최종적으로, 제1스페이스(21)의 내압을 10-2Torr정도로 한다. 또, 배기공정 203,204와 동시 진행적으로, 안쪽의 챔버벽(12)을 히터(13)에 의하여 가열한다(공정 205).
온도센서(52)로부터의 온도 검출신호에 의거하여 콘트롤러(31)에 의하여 히터(13)로의 급전량을 제어한다(공정 206).
히터 가열에 의하여 안쪽의 챔버벽(12)은 약 140℃의 온도로 가열된다. 또한 이것과 동시 진행적으로, 웨이퍼 스테이지(70)의 히터(79)에 급전하고, 웨이퍼(W)를 가열한다(공정207).
이 경우도, 온도 검출신호에 의거하여 콘트롤러(31)에 의하여 히터(79)로의 급전량을 제어하고, 웨이퍼(W)의 가열온도를 제어한다(공정208).
제7A도는, 가로축에 게이트 밸브(19)를 닫힌 후의 경과 시간을 취하고, 세로축에 내압을 취하여, 양자의 관계에 대해 조사한 결과를 나타내는 그래프도이다.
도면중에서, 실선(P)은 제1스페이스(21)의 내압 변동을 나타내며, 파선(θ)은 제2스페이스(22)의 내압변동을 나타낸다.
제7B도는, 가로측에 게이트 밸브(19)를 닫힌후의 경과 시간을 취하고, 세로축에 온도를 취하여, 양자의 관계에 대해 조사한 결과를 나타내는 그래프도이다.
도면중에서, 실선(S)은 안쪽 챔버벽(12)의 온도 변동을 나타낸다.
또, 제7C도는, 제1게이트 밸브(19)의 개폐상태를 나타내는 타이밍 챠트이다.
시각(t1)에서 히터(13)로의 급전을 개시하고 안쪽 챔버벽(12)을 실온에서 약 140℃의 온도까지 급속히 상승시킨다.
동시에 스테이지(70) 상의 웨이퍼(W)를 가열한다.
또한 웨이퍼(W)는, 안쪽 챔버벽(12)에서 방사되는 복사열에 의해서도 가열된다.
이 배기 및 가열공정의 사이에 압력계(0)에 의하여 제1스페이스(21)의 내압을 검출하고, 검출신호를 CPU(31a)에 입력한다.
제1스페이스(21)의 내압이 10-9Torr이하로 도달하면, 제2게이트 밸브(20)를 연다(공정209).
이것에 의하여 로드록 챔버(10)와 프로세스 챔버(60)가 연이어 통한다.
반송장치(도시하지 않음)에 의하여 웨이퍼(W)를 로드록 챔버(10)에서 프로세스 챔버(60)로 반송한다(공정210).
제2게이트 밸브(20)를 닫는다(공정211).
시각(t1)에 배기 밸브(25),(26)를 닫는다. 이어서, N2가스를 제1스페이스(21) 및 제2스페이스(22)의 각각에 공급하고, 각 스페이스(21),(22)의 내압을 대기압 정도로 되돌린다(공정212).
여기서 일련의 웨이퍼(W)의 로딩공정을 종료시켜도 좋다.
또한 속행할 경우는, 시각(t2)에 제1게이트 밸브(19)를 연다(공정213).
이어서 상기 공정 201 내지 212를 동일하게 반복한다.
웨이퍼(W)의 로딩 종료후, 시각(t3)에 있어서, 제1게이트 밸브(19)를 닫는 동시에 배기밸브(25)와 (26)을 동시에 열고, 제1스페이스(21) 및 제2스페이스(22)의 초기배기를 개시한다.
제1스페이스(21)는, 드라이 펌프(9)에 의하여 초기배기 되고 이어서, 배기밸브(27)를 닫고, 밸브(26)를 열어서, 터보분자 펌프(28)에의한 최종배기를 개시한다.
시각(t4)에 있어서, 히터(13)로의 급전을 정지함과 동시에 수냉관(14)으로 냉각수를 급수한다.
이것에 의하여, 안쪽의 챔버벽(12)이 드라이 상태에서 급속히 강온한다.
상기 로드록 시스템에 의하면, 안쪽의 챔버벽(12) 및 웨이퍼 스테이지(70)를 가열하고 있으며, 제1스페이스(21)안이 대기에서 노출된 경우에서도, 챔버(10)내의 각부(챔버벽(12), 웨이퍼(W), 스테이지(70)등)로의 수분등 부착이 유효하게 방지된다.
또, 안쪽 챔버벽(12)을 박육화(搏肉化)함으로써 벽의 열용량을 적게하고 있으므로, 이것의 가열냉각에 대한 응답성이 높아지고 배기시간이 큰폭으로 단축되고 수율이 큰폭으로 향상한다.
또한, 제1및 제2스페이스(21),(22)가 각각 기밀하에 되어있기 때문에, 터보분자 펌프(28)의 부하가 경감된다.
제8도는, 가로축에 배기시간을 취하고, 세로축에 챔버의 제2스페이스(21)의 내압을 취하여, 배기/온도조절을 여러가지 바꾸어 실험을 반복하고, 양자의 관계에 관해 조사한 결과를 나타내는 그래프이다.
배기시간을, 안쪽의 챔버벽(12)을 대기에 노출한 후에, 제1스페이스(21)를 기밀하게 하여 배기를 개시한 시점에서의 경과시간에 상당한다.
도면 중에서, 곡선 F는, 게이트 밸브(19)를 직전에서 이것을 닫혀서 배기를 개시하기 까지의 3분간만 안쪽 챔버벽(12)을 140℃의 온도로 유지한 경우의 배기곡선이다.
곡선 G는, 안쪽 챔버벽(12)을 가열하지 않은 경우의 배기곡선이다.
곡선 H는 안쪽 챔버벽(12)을 대기에 노출하고 있는 사이는 모두 가열을 행하지 않고, 배기의 개시후 20분 경과한 시점에서 약 60분간에 걸쳐 안쪽 챔버벽(12)을 140℃로 유지한 경우의 배기곡선이다.
곡선 H의 것은, 베이킹(baking)의 배기특성으로서 알려져 있다.
도면에서 명백한 바와같이, 곡선 F에 나타낸 실시예의 배기 특성은 곡선 G,H에 나타낸 비교예의 배기특성보다 우수하며, 본 실시예에 의하면 배기 소요시간을 큰폭으로 단축할 수 있음을 알 수 있다.
이것은 곡선 G,H의 비교예의 경우, 챔버벽이 대기에서 노출되기전부터 가열을 행하지 않기 때문에 챔버벽에 대기중 수분이 부착하는 것에 기인하고 있다.
제8도의 곡선 G로부터 명백한 바와같이, 종래의 방법에서는 대기압에서 10-7Torr의 진공도로 도달하는데 약 40분, 10-8Torr로 도달하는 데는 약 1000분이 걸리고 있다.
이것에 대하여 본 실시예에서는 곡선F에서 명백한 바와같이, 각각의 진공도 도달시간은 약9분 및 약40분으로 종래의 방법에 비하여 1/4에서 1/25로 배기처리 시간이 단축되고 있다.
상기 실시예 장치에 있어서 제2스페이스(22)내에 열풍이나 액체질소의 증기를 도입하여도 좋다.
또 배기처리공정에 있어서 안쪽 챔버벽(12) 및 웨이퍼(W)를 가열하여 부착물을 제거한후, 가스 공급관(41)에서 예를들면 냉각된 건조질소나 드라이 아르곤 가스를 제1스페이스(21)에 소정량 도입하여 안쪽 챔버벽(12) 및 웨이퍼(W)를 냉각하여도 좋다.
또 안쪽 챔버벽(12)을 장시간 예를들면 1일 이상 상태에서 대기압으로 방치하여 놓은 경우에 있어서도, 배기 개시직전 예를들면 5분전부터 배기 개시후 서정의 시간 예를들면 5분간 가열상태로 하여 내벽면 부착물을 제거하고, 그후 안쪽 챔버벽(12) 및 웨이퍼(W)를 냉각함으로써 상기와 동일하게 배기처리 시간의 단축효과가 있다.
제9도는, 본 발명의 제2실시예에의 로드록 시스템을 나타내는 요부 단면도이다.
또한 이 제2실시예가 상술한 제1실시예와 공통되는 부분의 설명은 생략한다.
로드록 챔버(9)는, 창(11a),(12a)을 포함하는 이중벽 구조의 것이다.
바깥쪽의 챔버벽(11)은, 두꺼운 금속판으로 만들어지며, 그 상부중앙에 창(11a)이 끼워 넣어져있다.
또 안쪽의 챔버벽(12)은, 얇은 금속판으로 만들어지며, 그 상부중앙에도 창(12a)이 끼워넣어져 있다.
창(11a),(12a)은 석영등을 소재로 하는 투광성 플레이트로 만들어져있다.
창(11a),(12a)의 윗쪽에는 적외선 램프(91) 및 반사경(92)이 설치되어 있다.
창(12a)을 제거하고, 안쪽의 챔버벽(12)의 바깥둘레에 히터(13) 및 수냉광(14)이 감겨져 있다.
챔버(9) 내에는 웨이퍼 스테이지(80)가 설치되어 있다.
웨이퍼 스테이지(80)는, 베이스 플레이트(81) 및 핀(82)을 가진다.
베이스 플레이트(81)의 둘레 가장자리부분은 다른 부분보다 높게 솟아 있으며 중앙부에 오목 개소가 형성되어 있다.
플레이트(81)의 둘레 가장자리부의 상면에는 복수개의 핀(82)이 등간격으로 돌출설치되어있다.
이들 핀(82)은, 원격조작에 의하여 플레이트(81)로부터 돌출 또는 퇴입되도록 되어있다.
플레이트(81)의 중앙부를 관통하여 냉매분사관(84)이 개구되어 있다.
냉매분사관(84)은 챔버 외부의 액체 질소 공급원(도시하지 않음)에 연이어 통하고 있다.
또한, 이 로드록 시스템에서는, 도시되어 있지 않으나, 상기 제1실시예의 콘트롤러(31)와 동일한 콘트롤러가 병설되어 있다.
콘트롤러내의 온도 제어부(31d)는, 제1실시예의 경우로 행하면 동일한 타이밍으로 안쪽 챔버벽(12) 및 웨이퍼 스테이지(80)를 온도제어한다.
제2실시예의 로드록 시스템의 동작에대해 설명한다.
제1 및 제2게이트 밸브(19),(20)를 열기전에, 히터(13)와 적외선 램프(9)에 통전하여, 안쪽 챔버벽(12) 및 웨이퍼 스테이지(80)를 약 140℃로 가열한다.
이어서, 제1게이트 밸브(19)를 열고, 챔버(90)내에 웨이퍼(W)를 반입한다.
웨이퍼 스테이지(80) 상에 웨이퍼(W)를 얹어놓고, 제1게이트 밸브(19)를 닫는다.
이어서, 적외선 램프(91)를 점등하고, 웨이퍼(W)에 적외선을 조사한다.
이것에 의하여 웨이퍼(W)는 가열된다.
이 가열기간에 있어서는, 핀(82)이 베이스 플레이트(81)로부터 윗쪽으로 돌출하고, 웨이퍼(W)를 베이스 플레이트(81)로부터 들어올려서, 양자간의 열전도를 적게한다.
이것에 의하여 웨이퍼(W)는 단시간에 고온으로 가열된다.
웨이퍼(W)를 로드록 챔버(90)으로부터 프로세스 챔버(도시하지 않음)로 반출하고, 게이트 밸브(20)를 닫는다.
게이트 폐쇄로부터 소정시간 경과후에 히터(13) 및 적외선 램프(91)로의 급전을 정지한다.
그 직후에 수냉관(14)으로의 급수와 냉매 분사관(84)으로의 액체 질소의 공급을 개시하도, 안쪽 챔버벽(12) 및 재치대(80)를 강제 냉각한다.
이 온도 강하 기간중은, 핀 (82),(83)을 베이스 플레이트(81)의 상면에서 아랫쪽으로 퇴입시켜 놓는다.
이것에 의해, 웨이퍼(W)를 베이스 플레이트(81) 주변부가 접촉하고 양자간의 열전도도가 높아진다.
한편, 웨이퍼(W)를 베이스 플레이트(81) 주변부의 양자간에 있어서의 적절한 크기의 배기저항하에서 질소가스(폐가스)가 배기된다.
또한, 상기제2실시예에서는, 안쪽 챔버벽을 웨이퍼 재치대등의 내장 장치와함께 가열·냉각하는 구성을 예시하였으나 벽면과 재치대 등의 수용장치의 양자로부터 냉각기구를 생략하고 복사만에 의한 방사냉각을 행하여도 좋다.
제10도는, 제3실시예에 관한 로드록 시스템을 나타내는 요부단면도이다.
또한, 이 제3실시예가 상수한 제1실시예 및 제2 실시예와 공통되는 부분의 설명은 생략한다.
제3실시예의 로드록 시스템에서는, 상기 제2실시예의 로드록 챔버(90) 중에, 웨이퍼 스테이지(80) 대신에 웨이퍼 반송기구(100)를 설치하고 있다.
웨이퍼 반송기구(100)는, 웨이퍼(W)를 1매씩 반송하기 위한 다관절 아암(101)을 가진다.
다관절 아암(101)은, 핀결합된 다단링크기구(103)을 포함하며, 이것이 스테핑 모터(도시하지 않음)에 의하여 신축할 수 있도록 되어있다.
다관절 아암(101)의 베이스부(102)는, 다른 스테핑 모터(도시하지 않음)의 구동축에 수평면내에서 선회할수 있도록 지지되어 있다.
다관절 아암(101)의 선단(최상단)에는 웨이퍼(W)를 유지하기 위한 홀더(104)가 설치되어 있다.
홀더(104)의 상면에는 복수의 핀(105)이 돌출 설치되어 있다.
이들 핀(105)은, 원격 조작에 의하여 홀더(104)의 상면으로부터 돌출퇴입할수 있도록 되어있다.
이와같은 로드록 시스템을 이용하여 웨이퍼(W)를 프로세스 챔버에 반송하는 경우에 대하여 설명한다.
제1게이트 밸브(19)를 열고, 반송기구(100)의 다관절 아암(101)을 신장 및 신축시켜, 웨이퍼(W)를 챔버(90)내에 반입한다.
게이트 밸브(19)를 닫고, 챔버의 제1 및 제2 스페이스(21),(22)를 배기한다.
이것과 동시 진행적으로 안쪽 챔버벽(12)을 히터(13)에 의하여 가열함과 동시에 웨이퍼(W)를 적외선 램프(91)에 의하여 가열한다.
이때, 핀(105)을 돌출시켜 웨이퍼(W)를 홀더(104)로부터 들어올리고, 웨이퍼(W)로부터 홀더(104)로의 열에너지 로스를 저감한다.
이것에 의하여 안쪽 챔버벽(12) 및 웨이퍼(W)에 흡착한 물분자 등을 충분히 제거할 수 있고, 웨이퍼(90)의 내압을 단시간에 소망의 것으로 할 수 있다.
제11도는, 제4실시예에 관한 로드록 시스템을 나타내는 오부단면도이다.또한 이 제4실시예가 상술한 제1내지 제3실시예와 공통되는 부분의 설명은 생략한다. 제4실시예의 로드록 시스템에서는, 로드록 챔버(120)의 벽(11)을 단일의 것으로 하고 있다. 단일 챔버벽(11)의 상부중앙에는 창(11a)이 끼워넣어지며, 창(11a)을 통하여 램프(91)로부터 적외선이 웨이퍼(W)에 조사 되도록 되어있다. 또한 웨이퍼 반송장치(11)는, 상기 제3실시예의 반송기구(100)와 실질적으로 동일한 구성의 것이다.
이와같은 로드록 시스템을 이용하여 웨이퍼(W)를 반입하고, 챔버(12)내를 배기하는 동시에, 웨이퍼(W)를 적외선 조사 가열한다. 배기조작은 콘트롤러(31)에 의하여 제어된다. 먼저, 밸브(36)을 열고, 드라이 펌프(29)에 의하여 챔버(120)내를 초기 배기한다.
이어서, 밸브(25)를 열고, 터보분자 펌프(28)에 의하여 최종 배기한다. 이것에 의하여, 최종적으로 챔버(120)내를 10-9Torr정도로 배기하고, 제2게이트 밸브(20)를 열고, 웨이퍼(W)를 프로세스챔버(도시하지 않음)으로 반송한다.
다음에, 제5실시예의 로드록시스템을 이온주입장치(ion implanter)에 적용한 경우에 대해, 제12도, 제13도를 참조하면서 설명한다.
제12의 평면의 레이아웃에 나타낸바와같이, 이온 주입장치는, 이온 발생부(300), 처리부(310) 및 로드/언로드부(320)를 가진다.
이온발생부(300)의 이온통로를 따라서 이온원(302), 질량분석기(304) 및 비임 정형기(306)가 배열되어 있다.
이들은 기밀용기내에 수납되어 있으며, 도시하지 않은 배기수단에 의하여 진공배기되도록 되어있다.
이 이온발생부(300)와 동일 진공 분위기로 되도록, 처리부(310)가 연속하고 있다. 처리부(310)에는, 회전 테이블(308)과 핸들링 장치(312)가 설치되어 있다. 회전 테이블(308)의 상면에는 복수매의 반도체 웨이퍼 (W)가 등간격으로 얹어놓여지도록 되어있다.
이 회전 테이블(308)은, 콤퓨터 시스템에 백업된 콘트롤러 (도시하지 않음)에 의하여 핸들링 장치(312)와연동하듯이 제어되도록 되어있다.
핸들링 장치(312)는 신축 가능한 다관절 아암 및 웨이퍼 홀더를 가진다.
처리부(310)의 프로세스 쳄버 앞면에는 로드/언로드부(320)가 연속되어 있다.
로드/언로드부(320)는, 로드록 챔버 및 반송기구(321)를 구비한다.
반송기구(321)의 뒷쪽에는 복수의 카세트(4)를 대기 시켜놓은 카세트 스테이지가 설치되어 있다.
또한 이 반송기구(321)는 상술한 콘트롤러에 의하여 동작제어 되도록 되어있다. 제13도에 나타낸 바와같이, 로드/언로드부(320)의 챔버(322)는, 스텐레스 스틸판을 이용한 상자형상의 용접구조체이며, 약 세로 25cm×가로 25cm×높이 50cm 크기의 것이다.
챔버(322)의 바깥 둘레면에는 냉매순화용 배관(323) 및 히터(324)가 등피치 간격으로 스파이럴 형상으로 감겨져 있다.
배관(323)은, 외경 6mm의 동파이프로 만들어져 있으며, 열전도를 잘하기 위하여 챔버벽에 납땜되어 있다.
또, 배관(323)의 양 끝단은, 도시하지 않은 냉매 온도 조정용 장치 예를들면 틸러(tiller)에 접속되고, 냉매가 배관(323)을 순환하도록 되어있다.
히터(324)는, 시즈히터로 이루어지며, 배관(323)과 교호로 챔버벽에 감겨져 있다. 또한 히터(324)는, 외부의 전원(도시하지 않음)에 접속되어 있다.
복수의 할로겐 램프(326)가 챔버(322)의 상부내벽에 부착되어 있다.
램프(326)는 챔버(322) 내에서 카세트(4)내의 웨이퍼(W)를 가열하기 위한 것이다.
또한 카세트(4)는, 승강장치(도시하지 않음)에 지지되고, 챔버(32)내에서 상하로 승강되도록 되어있다.
챔버(32)의 대향하는 양쪽면에는 게이트 밸브(G1), (G2)가 설치되어 있다. 제1게이트 밸브(G1)는 대기 분위기에 대면하고 있으며 이것에 대하여 제2게이트 밸브(G2)는 프로세스 분위기에 대면하고 있다.
가스 공급관(328)의 한끝단쪽이 챔버(322)의 상부벽을 관통하여 내부에 연이어 통하고 있다.
가스공급관(328)의 다른끝단쪽은 질소 가스 공급원(도시하지 않음)에 연이어 통하고 있다.
배기장치(329)의 로터리 펌프(도시하지 않음) 및 터보분자 펌프(도시하지 않음)의 흡인쪽이 챔버(322)의 바닥부에 연이어 통하고 있다.
로터리 펌프의 능력은 250리터/분이며, 터보분자 펌프의 능력은 300리터/초이다. 이 배기장치(329)에 의하여, 챔버(322)의 내압을 10-6Torr에서 10-9Torr까지 감압할 수 있다.
다음에, 복수매의 처리완료 반도체 웨이퍼(W)가 수납된 카세트(4)가 챔버(32)내에 얹어놓여지며, 반도체 웨이퍼(W)를 카세트(4)와함께 챔버(322)에서 반출하는 경우에 대해 설명한다.
대기중의 수분이 챔버(322)의 내벽에 부착하는 것을 방지하기 위하여, 감압상태에서 히터(324)에 통전하고, 챔버(322)를 5분간만 240℃로 가열한다.
이어서 챔버(322)내에 가스 공급관(328)에서 질소가스를 대기압이 되기까지 도입한다.
챔버(322)의 내압이 대기압으로 된 후에 카세트(4)를 반출하기 때문에 제1게이트 밸브(G1)를 연다.
반송기구(321)에 의하여 처리완료 웨이퍼(W)가 들어간 카세트(4)를 챔버(322)에서 반출한다.
이어서 반송기구(321)에 의하여 미처리의 반도체 웨이퍼(W)가 들어간 카세트(4)를 챔버(322)내로 반입한다.
제1게이트 밸브(G1)를 닫고, 챔버(32)내를 배기장치(329)에 의하여 배기한다.
이 배기처리와 동시 진행적으로, 할로겐 램프(326)에 접등하고, 카세트(4)내의 웨이퍼(W)를 약 140℃로 가열한다.
약5분간의 가열에 의하여, 웨이퍼(W),카세트(4), 및 챔버내벽에 부착한 수분을 증발시킨다.
이것에 의하여 챔버내부의 배기가 촉진되며, 챔버내압이 단시간에 목표치에 도달한다.
그후 배관(323)에 냉매를 순환시키고, 챔버(322)의 벽돌 50℃/분~100℃/분의 속도로 실온이 되기까지 냉각한다.
다음에, 챔버(32)내가 목표내압에 도달한후에 제2게이트 밸브(G2)를 열고, 웨이퍼(W)를 로드/언로드부(320)으로부터 처리부(310)으로 반송하고, 회전테이블(308)상의 소정위치에 얹어놓는다.
회전 테이블(308)상에 소정매수의 웨이퍼(W)를 얹어놓으면, 회전테이블(308)을 직립하고, 이온발생부(300)에서 가속 이온을 각 웨이퍼(W)에 박아 넣는다.
다음에, 제14도를 참조하면서 제6실시예에 대해 설명한다.
또한 이 제6실시예가 상기 제5실시예와 공통되는 부분의 설명은 생락한다.
제6실시예의 로드록시스템의 챔버(330)는, 웨이퍼(W)를 1매씩 처리하기 위한 낱장식 타입의 것이다.
로드록챔버(330)는, 바깥쪽벽(331)및 안쪽벽(332)로된 이중구조를 이루고 있다.
바깥쪽벽(331)은 주로 대기 압력을 담당하기 위하여 기계구조적으로 견고하게 설계·제작되어있다.
이것에 대하여 안쪽벽(332)은 열응답성을 높이기 위하여 박육화 되어있다.
안쪽벽(332)에 둘러싸인 영역(제1스페이스(21))는, 압력이 1×10-9Torr정도까지 배기되도록 되어있다.
내외벽(331),(332) 상호간의 영역 (제2스페이스(22))은 압력이 1Torr에서 1×10-4Torr까지의 범위로 배기되도록 되어있다.
안쪽벽(332)은 열전도성이 높아지도록 설계·제작되어 있으며, 그 바깥 둘레면에는 실시예5와 동일하데 히터(334)와 냉매배관(333)이 설치되어 있다.
한쪽의 배기수단은 로터리 펌프를 가지며, 이 로터리 펌프의 흡인쪽이 배관(348)을 통하여 제1스페이스(21)에 연이어 통하고 있다.
로터리 펌프의 능력은 250리터/분이다.
다른쪽의 배기수단은 터보분자 펌프를 가지며, 이 로터리 펌프의 흡인쪽이 배관(349)을 통하여 제2스페이스(22)에 연이어 통하고 있다.
터보분자펌프 능력은 300리터/초이다.
서셉터(340)가 챔버(330)의 제1스페이스(21)냉 수납되어 있다.
서셉터(340)은, 절연성 세라믹 기판으로 이루어지며, 리드선(346)에 접속된 히터가 매립되어 있다.
또, 서셉터(340)는, 웨이퍼(W)를 냉각하기 위한 1쌍의 플레이트를 가진다.
1쌍의 플레이트에는, 냉매 도입관 (342) 및 냉매 배출관(344)에 각각 연이어 통하는 내부 통로가 형성되어 있다.
그런데, 물의 비점은 진공도 1Torr때에 59℃까지 저하되므로, 1Torr감압중의 경우에는 챔버(330) 및 웨이퍼(W)를 59℃ 이상의 온도로 가열하면 충분하다.
다음에, 제15도를 참조하면서 제7실시예의 로드록 시스템(400)에 대해 설명한다.
로드록 챔버(401)는, 웨이퍼(W)에 이온 주입하기 위한 프로세스 챔버의 부속 설비로서 설치되어 있다.
이 챔버(401)는, 2개의 게이트 밸브(G1),(G2)를 가지고 있다.
제1게이트 밸브(G1)는, 챔버(401)의 내부 분위기를 외부의 대기 분위기로부터 차단하기 위한 것이다.
제2게이트 밸브(G2)는, 챔버(401)의 내부 분위기를 프로세스 챔버의 내부 분위기로부터 차단하기 위한 것이다.
로드록챔버(401)에는 가스도입관(403) 및 배기관(402)이 설치되어 있다.
가스도입관(403)은, 밸브(404)를 통하여 수소가스 공급원(도시하지 않음), 질소가스공급원(도시하지 않음), 및 헬륨가스 공급원(도시하지 않음)에 연이어 통하고 있다.
배기관(402)은, 터보분자 펌프(도시하지 않음) 및 로터리 펌프(도시하지 않음)에 연이어 통하고 있다.
배기관(402)의 도중 경로에는, 3개이 밸브(도시하지 않음)이 설치되고, 각 밸브는 콘트롤러(도시하지 않음)에 의하여 시팍스제어되도록 되어있다.
링형상의 웨이퍼 스테이지(406)가 지주(409)에 지지되며, 이 위에 웨이퍼(W)가 얹어놓여지도록 되어있다.
스테이지(406)의 바깥 가장자리에는 3개의 걸림핀(407)이 등간격으로 세워 설치되어 있다.
또한 스테이지(406)에서 뻗어지는 리드선은, 절연체인 피드스루(408)에 의하여 챔버(401)의 바닥부로부터 절연되어 있다.
여기서, 챔버(401) 자체가 한쪽의 전극이 되고, 웨이퍼 스테이지(406) 및 지주(409)가 다른쪽이 전극이 되도록 회로가 형성되어 있다.
이와같은 회로는, 플라즈마 발생용의 전원부를 가진다.
전원부에는, 제15도중에 실선으로 나타난 바와같이 경극을 챔버(401) 및 어스로 취하고, 부극을 지주(409)로 취하여, 각각 접속한 직류전원(411)을 사용하여도 좋고, 혹은 제15도중에 점선으로 나타낸 고주파 전원(412) 및 매칭 네트워크(413)를 사용하여도 좋다.
다음에 상기 로드록 시스템(400)의 동작에 대해 설명한다.
밸브(404)를 열고, 챔버(401) 내에 질소가스를 도입하고, 챔버(401)내를 대기압으로 되돌린다.
이어서, 제1게이트 밸브(G1)를 여는 동시에 대기압보다도 양압의 질소가스 분위기로하여 챔버(401)내로의 대기의 침입을 억제한다.
미처리의 웨이퍼(W)를 외부에서 챔버(401)내로 반입하고, 스테이지(406)의 위에 얹어 놓는다.
제1게이트 밸브(G1) 및 가스도입밸브(404)를 다고, 터보분자 펌프 및 로터리 펌프에 의하여 챔버(401)내를 배기한다.
챔버(401)의 내압이 10-3~10-6Torr에 도달한 후에, 밸브(404)를 열고, 수소가스 및 헬륨가스를 각각10~500SCCM의 유량으로 챔버(401)내로 도입한다.
이때, 챔버(401)의 내압이 수백밀리 Torr로 되도록 압력 제어하면서 전극사이(즉,챔버(401)의 벽과,웨이퍼스테이지(407)와의 사이)에 100~1000V의 직류전압을 인가한다.
이 경우에, 고주파 전원(412)로부터 전극사이에 고주파 전류를 인가하도록 되어도 좋다.
이 결과, 웨이퍼(W)의 양면쪽에 플라즈마가 발생하고 이 플라즈마에 의하여 웨이퍼(W)가 방전 세정된다.
즉, 웨이퍼(W)의 표면(양면)에 흡착되어 있는 수분이나 하이드로 카본등이 이것으로 부터 이탈한다.
이와같은 방전세정을 10~300초간 행한후에, 밸브(404)를 닫는다.
이어서, 제2게이트밸브(G2)를 열고, 웨이퍼(W)를 프로세스챔버로 반송한다.
이와같은 조작을 차례로 반복함으로써, 웨이퍼(W)를 대기쪽에서 로드록 챔버(401)를 경유하여 프로세스 챔버로 반송한다.
상기 제7실시예의 로드록 시스템(400)에 의하면, 챔버(401)내를 단시간에 목표레벨까지 배기할 수 있다.
이것은 플라즈마 에너지가 웨이퍼 표면으로의 수분의 흡착에너지를 상회하기 때문에, 부착수분이 플라즈마 방전 세정 작용에 의하여 웨이퍼 표면에서 용이하게 이탈하기 때문이다.
여기서, 챔버(401)내를 밀폐하여 플라즈마 방전 세정을 행하여도 좋으나, 이탈한 성분의 일부가 웨이퍼(W)의 표면에 재부착할 염려가 있기 때문에, 챔버(401)내에 가스를 유통시키는 것이 바람직하다.
또, 도입가스에는, 챔버(401)의 내벽에 새로운 수분이 흡착하는 것을 방지하기 때문에, 가스중의 수분 함유량 ppb 오 더 정도까지 저감된 건조기체를 사용하는 것이 바람직하다.
다음에, 제16도를 참조하면서 제8실시예의 로드록 시스템(420)에 대해 설명한다. 또한 이제8실시예가 상기 제7실시예와 공통되는 부분의 설명은 생략한다.
로드록 시스템(420)에서는, 챔버의 상면이하면에 광투과성 창(421) 및(422)을 설치하고 있다.
각 창 (421), (422)의 가가가에 자외선 램프(423),(424)가 대면하고, 챔버 내부에 자와선이 조사되도록 되어있다.
챔버내에는 상술한 제7실시예의 것과 동일한 웨이퍼 스테이지(406)가 설치되어 있다.
이와같은 로드록 시스템(420)에서는, 제1게아트 밸브(G1)를 닫은 직후에, 자외선 램프(423),(424)에 점등한다.
챔버내의 웨이퍼(W)의 양면에 자외선이 조사되고, 그 조사 에너지에 의하여 웨이퍼 표면의 흡착성분이 제거된다.
다음에, 제 17도를 참조하면서 제9실시예의 로드록 시스템(430)에 대해 설명한다. 또한 이제9실시예가 상기 제7실시예와 공통되는 부분의 설명은 생략한다.
로드록 시스템(430)에서는, 챔버의 상면 및 하면에 광투과성(431) 및 (432)를 설치하고 있다.
각 창(431),(432)의 각각에 반사경(Mirror)(M3),(M4)이 대면하고 있다.
각 반사경 (M3),(M4)의 각각은, 하프미러 (M1),(M2)를 경유하는 레이저광원(436)로부터 레이저광을 반사하여 챔버내의 웨이퍼(W)의 표면에 반사광을 조사하도록 되어있다.
또한 이경우에 레이저광원(436)으로부터의 레이저 광로를 하프미러(M1) 및 반사경(M2)에 의하여 챔버(1)의 상하 양쪽에 분기하고 이들 분기 광로상에 각각 배치된 반사경 (M3),(M4)를 도시하지 않은 구동부에 의하여 회동시켜, 입사각을 바꾸도록 하여도 좋다.
이와같이 하면, 웨이퍼(W)의 표면전체에 걸쳐 레이저광을 조사할 수 있다.
또한 상기 실시예에만 한정되는 것은 아니며, 흡착성분이 웨이퍼(W)로부터 이탈하는데 필요한 에너지를 주는 수단에는, 챔버(내)의 온도 상승을 수반하지 않는것을 채용하는 것이 바람직하다.
이 이유는 온도상승에 의하여 흡착성분이 증기압이 높아지고, 그만큼 챔버내의 기체 성분량이 많게 되어버리기 때문이다.
또, 상기 실시예에서는 낱장식 로드록 시스템에 대해 설명하였으나, 이것만에 한정되는 것은 아니며, 복수매의 웨이퍼를 일괄하여 챔버내로 반입하는 배치식 시스템에 사용하여도 좋다.
이 경우에, 웨이퍼 흡착물의 총량이 증대하므로, 이들을 제거세정하는 것이 더욱 효과적이다.
다음에, 제 18도 및 제19도를 참조하면서 제10실시예의 로드록 시스템(500)에 대해 설명한다.
또한 이제10실시예가 상술한 다른 실시예와 공통되는 부분의 설명은 생략한다.
로드록 시스템(500)은 이온주입 등을 행하기 위한 프로세스 챔버에 인접하여 셜치되어 있다.
로드록 챔버(501)에는, 배기관(502), 가스도입관(503), 및 가스분사관(504)이 연이어 통하고 있다.
또, 가스도입관(503) 및 가스분사관(504)은 트랩장치(520)에 연이어 통하고 있다.
가스도입관(503)은, 밸브(V1),(V2)및 트랩장치(520)를 경유하여 도시하지 않은 질소가스 공급원에 연이어 통하고 있다.
이 가스도입관(503)은, 챔버(501)내에 질소가스를 도입하여 쳄버내압을 대기압보다도 크게(양압)하기 위한 것이다.
트랩장치(520)는, 냉각조(521)와 트랩실(524)을 가진다.
냉각조(521)에는 에탄올 용액(523) 및 액체질소(522)등의 냉각액이 저류되어 있다.
트랩실(524)은 냉각조(521)내의 에탄올 용액(523)에 침지되어 있다.
이 트랩실(524) 내부에는 필터(525)가 설치되어 있다. 필터(525)는 SUS 소결체로 만들어져 있다.
또한, 냉각조(521)내에서 에탄올 용액(523)은 액체질소(525)에 의하여 덮여져 있다.
이것에 의하여 에탄올 용액(523)은, 마이너스 100℃ 정도의 온도로 유지되고, 동결이 방지된다.
이와같은 트랩장치(520)에 의하면, 마이너스 100℃ 부근에 냉각된 필터(525)를 질소가스가 통과할 때에 질소가스 중에 함유된 수분이 결로하여 제거된다.
이 결과 질소가스의 수분함유량이 ppb오더까지 저감된다. 로드록 챔버(501)의 측벽에는, 대기쪽 및 진공처리실쪽의 각각에 통하는 개구부(505),(506)가 형성되어있다.
이들직사각형의 개구부(505),(506)에는, 개폐가능한 게이트 밸브(G1),(G2)가 각각 설치되어 있다.
또, 로드록 챔버(501) 중에 웨이퍼 스테이지(511)가 설치되어 있다.
제1 게이트 밸브(G1)쪽의 개구(505)를 둘러싸도록 가스분사관(504)의 분사구(507)가 개구되어 있다.
이 분사구(507)는 폭 0.1mm정도의 슬릿 형상을 이루며, 고압의 가스가 이것으로부터 분산되면, 분사가스에 의하여 개구(505)가 차단되도록 되어있다.
제19도에 나타낸 바와같이, 슬릿현상의 분사구(507)는, 횡단면이 1cm각의 통기실(508)에 연이어 통하고 있다.
이 통기실(508)은, 개구(505)를 둘러싸도록 형성되어 있다.
다음에 상기 로드록시스템(500)의 동작에 대해 설명한다.
미처리의 웨이퍼(W)가 로드록챔버(501)쪽으로부터 프로세스 챔버쪽으로 반입하고, 제2게이트 밸브(G2)가 닫혀져서, 다음의 웨이퍼를 대기쪽으로부터 챔버(501)내로 반입하도록 되어 있다.
먼저, 밸브(V1)~(V3)를 열고 수퍼드라이 질소가스(수분함량이 ppb오더)를 로드록 챔버(501)내로 도입한다.
이것과 동시 진행적으로 분사구(507)에서 질소가스를 분사한다.
그리고 로드록 챔버(501)내에 질소가스가 충만되고, 챔버내압이 대기압을 상회하였을때, 제1게이트밸브(G1)를 연다.
이때, 개구(505)에 있어서 가스 유속분포는, 제19도에 나타난 바와같은 경향으로 된다.
이것은 로드록 챔버(501)내의 질소가스가 개구부(505)를 통하여 외주로 향하여 나오기 때문이다.
개구(505)의 둘레 가장자리 영역은 가스 유속이 낮아지므로 이것에 따라서 외기가 챔버(501)내로 침입하려고 한다.
그러나 침입하려고 하는 외기능, 분사구(507)로부터의 분사가스에 의하여 차단되고 외기쪽으로 되돌아간다.
상기 제10실시예에 의하면, 수분 함유량이 ppb오더인 수퍼드라이 가스를 로드록 챔버(501)내로 도입하므로, 챔버(501)내의 수분량은 큰 폭으로 저감된다.
또한, 제1게이트밸브(G1)를, 개구부(505)의 로드록 챔버(501)쪽에 설치하여 두는 것이 바람직하다.
이것은 제1게이트 벨브(G1)를 닫고 있는 사이에 있어서도 분사구(507)에서 질소가스를 분사시켜 둘 수 있기 때문이다.
이것에 대하여, 제1게이트 밸브(G1)를 개구부(505)의 대기쪽에 설치하면, 게이트 밸브(G1)의 개폐시에, 대기가 침입하기 쉬우므로 좋지 않다. 또한 본사가스로서 질소가스 대신에 아르곤가스나 건조공기 등을 사용하여도 좋다.
다음에, 제20도 내지 22도를 참조하면서 제11실시예에 대해 설명한다. 먼저, 제20돌도를 참조하여 장치의 전체개요에 대해 설명한다.
동 도면에 있어서, 프로세스 챔버(610)는, 에칭, 애슁, 이온주입 또는 각종 막형성 처리를 행하는 감압처리 장치이다.
이프로세스 챔버(610)의 양쪽에는, 게이트 밸브(616),(616)을 개재하여 각각 반입쪽, 반출쪽의 로드록 챔버 (612),(614)에는,대기와 차단하기 위한 게이트 밸브(618),(618)가 연결되어 있다.
로드록 챔버(610) 내부는, 그 양쪽의 게이트 밸브(616),(618)의 폐쇄후에 대기압에서 진공 분위기로 치환하고, 챔버(610)의 내압과 챔버(612)의 내압이 대략 동일하게 된 후에, 그 사이의 게이트 밸브(616)를 연다.
그리고, 반도체 웨이퍼(W)를 프로세스 챔버(610)내로 반입한다.
프로세서 챔버(610) 내에서의 감압처리 종료후는, 게이트 밸브(616)를 열고, 웨이퍼(W)를 로드록 챔버(614)내로 반입한다.
이후, 게이트 밸브(616)를 폐쇄하고, 로드록 챔버(614)의 내압을 대기압 보다도 크게한다.
이어서, 반출쪽의 게이트밸브(618)를 열고, 웨이퍼(W)를 반출한다.
각 챔버(610), (612),(614)에는 배기용 진공펌프(20)가 연이어 통하고 있다.
또한, 각 챔버 (610),(612),(614)는 대기압또는 그 앞뒤의 압력으로 승압하는 것이 가능하며, 각 챔버 (610), (612), (614)내부에 질소가스N2를 퍼지하는 것이 가능하다.
본 실시예 장치에서는 다시, 상기 감압처리 시스템이 대기와 인접하는 전후 2개소의 위치에, 퍼지블록 (640),(640)을 연결하고 있다.
이 퍼지블록 (640),(640)도, 질소가스N2퍼지될 수 있다.
이 때문에, 각부의 공통의 N2가스의 공급관(622)이 설치되어 있다.
이 가스 공급관(622)은 분기되고, 분기관(624)으로소 각 챔버(610), (612), (614) 및 퍼지블록(640),(640)에 연결되어 있다.
각 분기관(624)의 도중 경로에는 매스플로우 콘트롤러(626), 밸브(628), 및 필터(630)가 설치되어 있다.
또한 필터(630)는, 직경이 예를들면 1.10㎛이상의 입자를 트렙할 수 있는 성능을 가진다.
다음에, 제21도, 제22도를 참조하여 퍼지블록(640)의 설명에 대해 설명한다.
이 퍼지블록(640)은, 좌우로 대향하는 2개의 측벽(642a),(642a)과, 바닥벽(642b)과, 윗벽(642c)가지며, 이들로 반도체 웨이퍼(W)의 통로(642)를 구획하고 있다.
이 통로(642)의 한끝단에는 플랜지(644)가 형성되어 있다.
이 플랜지(644)는, 게이트밸브(618)의 밸브측 플랜지(618a)와 볼트 및 너트 등에 의해 연결된다.
퍼지블록(640)의 윗벽(642c)에는, 이 윗벽(642c)과의 사이에 공간(648)을 형성하는 퍼지복스(646)가 고정되어 있다.
이 퍼지복스(646)에는, 상기 분기관(624)의 한끝단이 연결되고, 내부에 질소가스를 도입 할 수 있다.
또한, 퍼지블록(640)의 윗벽(642c)에는, 상면에서 하면을 향하여 관통하고, 통로(642)의 대략폭 방향 전역에 걸쳐서 개구하는 제1 내지 제4의 슬릿(650)~(656)이 형성되어 있다.
각 슬릿(650)~(656)은, 퍼지복스(646)내의 공간(648)과 통로(642)를 연이어 통하고, 공간(648)에서 통로(642)를 향하여 질소가스를 퍼지하기 위한 것이다.
제1의 슬릿(650)은, 외부분위기에 최근 제1단째의 슬릿으로써 형성되어 있다.
제1의 슬릿(650)은, 공간(648)에거 통로(642)를 향함에따라서 외부 분위쪽을 향하여, 연직축에 대하여 각도(θ1)만큼 기울어져 형성되어 있다.
제2, 제3의 슬릿(652),(654)은, 제2단째, 제3단째의 슬릿으로서 형성되고, 동일하게 연직측에 대하여 각도(θ2),(θ3)만큼 기울어져 형성되어 있다.
제4의 슬릿(656)은, 연직축과 수평으로 형성되어 있다.
제1내지 제3슬릿(650)~(654)의 경사각도(θ1)~(θ3)는, 외부 분위기에서 멀어질수록 각도가 크고 즉 θ1θ2θ3의 관계로 되어 있다.
퍼지블록(640)의 통로(642)내에는, 양측벽(642a),(642a)에서 안쪽을 향하여 소정의 길이로 돌출하는 제1내지 제3의 가이드판(658)~(662)이 고정되어 있다.
제1내지 제3의 가이드판(658)~(662)은, 제1내지 제3의 슬릿(650)~(654)과 대항하는 위치에 배치되며, 각 슬릿(650)~(654)에서 분출하는 질소가스를, 그 각 슬릿이 경사각도(θ1)~(θ3)를 따라서 안내하기 위한 것이다.
각 가이드판(658)~(662)의 윗끝단은, 대략 윗벽(642c)와 접촉하는 위치에 배치되어 있지만, 그 아래끝단은 바닥벽(642b)까지 도달하지 않는 위치에서 끝나있다.
또한, 퍼지블록(640)의 통로(642)내에서의 반도체 웨이퍼의 유효 통과 폭은, 제2도에 나타난 바와 같이, 양쪽의 제1의 가이드판(658),(658)의 안치수폭 치수(W)이다.
계속해서, 통로(642)의 바닥벽(642b)의 형상에 대해 설명한다.
바닥벽(642b)의 개구 끝단부는, 선단을 향함에 따라서 아랫쪽으로 경사지는 경사면(646)으로 형성되어 있다.
또한 이 경사면(664)의 선단은, 퍼지블록(640)의 개구부에서 더욱 외부 분위기쪽으로 수평으로 돌출하는 수평돌출면(666)과 연결되어 있다.
이 경사면(664) 및 수평돌출면(666)으로 형성되는 영역에는, 퍼지블록(640)의 폭방향으로 일정 간격을 두고 다수매 평행하게 배치되고 그 윗끝단이 바닥벽(642b)보다도 돌출한 정류판(668)이 설치되어 있다.
또한 다수매의 정류판(668)의 안쪽 끝단에서 더욱 안쪽 영역으로서, 바닥벽(642b)의 상면에는, 물결판 형상으로 형성된 파형판(670)이 퍼지블록(640)의 폭방향 전역에 걸쳐 배치되어 있다. 또한 퍼지블록(640)을 구성하는 각종 부재는 예를들면 스텐레스로 형성되며, 각 부재는 예를 들면 스포트 용접등에 의해 서로 연결되어 있다.
다음에 작용에 대해서 설명한다.
프로세스챔버(610) 내에 반도체 웨이퍼를 반입하는 경우에 대해서 고찰한다. 이때에는 미리 반입쪽의 로드록챔버(612)의 양쪽 게이트 밸브(616),(618)를 폐쇄하고, 로드록 챔버(612)내부를 대기압보다도 양압으로 설정한다.
이때문에, 가스 공급관(622) 및 분기관(624)을 통하여, 로드록 챔버(612) 내부에 질소가스를 퍼지한다.
로드록 챔버(612)내부를 양압으로 설정한 후에, 게이트 밸브(618)를 개방하면, 양압의 로드록챔버(612)내에는 원리적으로 대기가 유입하지 않는 것이 된다. 그러나, 그후의 로드록 챔버(612)의 배기시간을 고려하면, 대기의 차단대책이 아직도 불충분하다. 그래서, 본 실시예 장치에서는, 게이트 밸브(618)의 바깥쪽에 더욱 퍼지블록(640)을 배치하고 있다.
퍼지블록(640)의 퍼지복스(646)내에는, 예를들면 게이트 밸브(618)의 개폐 동작에 관계없이, 시스템 가동중에 걸쳐서 항상 질소가스가 공급되고 있다. 이때문에, 퍼지복스(646)의 공간(648)으로부터 제1내지 제4의 슬릿(650)내지(656)을 통하여, 질소가스가 통로 (642)로 향해서 퍼지되게 된다.
제21도중 의 부호 F1로부터 F4는, 제 1내지 제4의 슬릿(650)~(656)에서 분출되는 질소가스의 흐름을 나타낸다, 이 질소가스의 흐름 F1로부터 F4는, 각각 반도체 웨이퍼(W)의 반입방향과 교차하는 방향을 향해서 질소가스에 의한 다단(多段)의 커튼을 형성하게 된다. 이 다단 가스커튼에 의해, 대기가 진공쪽으로 유입하는 것을 방지할 수 있다. 특히, 제1~제3의 슬릿(650)~(654)에서부터 형성되는 가스커튼 F1로부터 F3은, 통로(642)의 윗끝단에서 아래쪽으로 향함에따라서 외부분위기쪽으로 기울어 형성된다 따라서, 퍼지블록(640)의 개구부로부터 유입하려고 하는 대기는, 3단의 가스커튼의 흐름에 추종하여 퍼지블록(640)의 개구부에서 바깥쪽으로 유출된다.
또, 제4의 슬릿(656)으로부터의 질소가스의 흐름 F4는, 퍼지블록(640)의 통로(642)내부 분위기를 대기압보다도 양압으로 하는 경향을 강하게 한다. 이와같이, 퍼지블록(640)내부에서 다단의 가스커튼을 형성함으로써, 그 윗벽(642c)을 따라서 유입사용하는 대기는, 윗벽(642c)부근의 가스분출 압력이 높기 때문에, 가스커튼 F1로부터 F3을 따라 퍼지블록(640)외부로 유출되게 된다.
퍼지블록(640)의 2개의 측벽(642a)내면 상에서는, 이론적으로는 질소가스의 유속은 제로이다.
따라서, 이 2개의 측벽(642a)의 표면상을 따라서 대기가 흘러 들려고한다. 그러나, 본 실시에 장치에서는 제1내지 제3의 가이드판(658) 내지(662)을 2개의 측벽(642a),(642a)보다 안쪽으로 돌출시키고 있으므로, 이것에 의해 대기의 흐름은 저지되고, 가스커튼 F1로부터 F3을 따라 퍼지블록(640)의 외부로 유도한다.
다음에, 퍼지블록(640)의 바닥벽(642b)의 출구부근에, 경사면(664) 및 수평돌출면 (666)을 형성한 이유에 대해서 설명한다.
본 실시예 장치에서는, 질소가스는 윗벽(642c)에 설치한 슬릿으로부터 분출하므로, 바닥벽(642b)쪽의 질소가스 압력이 가장 낮아지게 되고, 이 영역으로부터 대기가 흘러들기 쉽다.
또한, 질소가스의 흐름이 약한 영역에는, 제1도에 나타낸 바와같은 와류W1가 형성되고, 만약 이 와류W1가 바닥벽(642b)의 윗쪽에 형성된다고 하면, 반도체 웨이퍼의 통로(642) 내부에서 대기의 소용돌이 흐름이 형성되고 만다. 본 실시예 장치에서는, 경사면(664) 및 수평돌출면(666)을 형성하는 것으로서, 이와같은 와류W1를 통로(642)외부에 배치하고, 대기의 소용돌이를 방지할 수 있다.
또한, 바닥벽(642b)의 출구부근에 있어서는, 그 폭방향을 따라 소정 간격을 두고 평행으로 다수매의 정류판(668)을 설치하며, 가스압력의 약한 영역에서 가스커튼 F1로부터 F3의 바깥쪽으로 향하는 원활한 흐름을 실현하고, 대기의 소용돌이를 방지하고 있다.
다음에, 정류판(668)의 안쪽 영역에 있어서, 바닥벽(642b) 상면에 파형판(670)을 설치한 이유에 대해서 설명한다. 이 바닥벽(642b)상에 질소가스의 유속은 이론상 제로이다.
파형판(670)의 제1목적은, 바닥벽(642b)을 따라 내부로 흘러들어가려고하는 대기를 물리적으로 저지하는데 있다. 또한, 파형판(670)은, 그 오목부 영역에 와류 W2를 가두어 놓고, 파형판(670)의 정점끼리를 연결선과 평행방향을 따라서, 또한, 퍼지블록(640)의 안쪽에서 바깥쪽으로 향하는 층류상태의 흐름 F5를 형성하고 있다. 이와같은 층류상태의 흐름 F5을 형성함으로서, 가장 가스압이 약한 바닥벽(642b) 부근의 영역으로부터 대기의 소용돌이를 방지하고 있다. 이상과같은 작용에 의해, 퍼지블록(640)의 개구부 부근의 대기의 흐름상태는, 제21도에 나타낸 W3과 같이 되어, 퍼지블록(640)안쪽으로의 대기의 소용돌이가 방지된다.
다음에 제23도 내지 제25도를 참조하면서 제12실시예에 대해서 설명한다.
제23도에 나타낸바와같이, 이 시스템에서는, 웨이퍼 W가 센더(701)로부터 프로세스 챔버(703)를 경유하여 리시버(702)까지 반송되도록 되어 있다.
프로세스챔버(703)는, 반도체 웨이퍼(W)를 감압하여거 에칭, 애슁 또는CVD등의 처리를 하기 위한 것이다.
센더(701) 및 프로세스챔버(703)사이에, 스토커(706) 및 로드록챔버(704)가 설치되어 있다. 또,프로세스챔버(703) 및 리시버(702)의 사이에는, 로드록챔버(705)가 설치되어 있다. 각 챔버(701)내지 (706)의 상호간에는 게이트 밸브(G)가 각각설치되어 있다. 또, 챔버상호간에는 웨이퍼 반송기구(도시되지 않음)가 설치되어 있다.
통상, 스토커(706)의 내압은, 프로세스챔버(703)의 내압보다 낮고, 예를들면 10-5~10-6Torr로 설정된다. 또, 로드록챔버(704)의 내압은 프로세스챔버(703)의 내압과 거의 동등하거나 또는 그 이상의 진공도, 예를들면 10-9Torr로 설치된다.
제24도에 나타낸 바와과 같이, 로드록챔버(704)내에 트랩장치(709)가 설치되어 있다. 트랩장치(709) 내부에는 열교환통로(710)가 형성되어 있다.
열교환 통로(710)는 , 장치(709)의 웨이퍼 홀드부에서 라디에이터 형상으로 굴곡되어 있다. 열교환 통로(710)는, 액체 질소, 프레온 등의 냉매 공급원 또는 온수, 따뜻한 에어 등의 열매공급원에 연이어 통하고 있다.
또, 장치(709)의 웨이퍼 홀드부에는 다수의 구멍(711)이 형성되어 있다. 한쌍의 램프(714)로부터의 적외선이 다수의 구멍(711)을 통과하여, 웨이퍼(W)에 조사되도록 되어 있다.
또한, 램프(714)는, 창부(715)에 볼트 고정된 케이싱체(715b) 내에 부착되어 있다. 창부(715)에는 적외선 램프(714)로부터 방사된 열선을 투과할 수 있도록 두터운 투명유리로 되는 뷰토브(715a)가 고정되어 있다.
뷰토브(715a)의 주변부에는 케이싱체(715b)를 기밀하게 유지하기 위한 실부재(715c)가 끼워 설치되어 있다. 적외선 램프(714)로부터 방사된 적외선은, 반도체웨이퍼(W)표면에 흡수된 수분등의 가스가 방출되는 것을 촉진한다.
다음에, 제25도(a)~(d)를 참조하면서 상기 장치의 동작에 대해서 설명한다.
먼저, 로드록실(704)을 10-5~10-6Torr정도로 진공 흡인함과 동시에, 이 로드록실(704)에 인접하는 트랩실(도시하지 않음)도 동일하게 진공흡인한다.
제25도(a)에 나타낸바와같이, 트랩실에서, 트랩(709)에 액체질소(-194℃)를 순환 공급하여 트랩(709)을 냉각한다.
제25도(b)에 나타낸 바와 같이, 로드록실(704)과 트랩실과의 사이의 게이트 밸브(G)를 열도록하여, 조작봉을 조작하여 트랩(709)을 안내레일을 따라서 로드록실(704) 내로 도입한다.
냉각된 트랩(9)이 도입됨으로써, 로드록실(704)의 진공도는 102~103의 오더정도 변화하여, 예를들면 10-8~10-9Torr정도로 된다.
제25도 (c)에 나타낸 바와 같이, 이 상태에서 로드록실(704)과 스토커(706)와의 사이의 게이트밸브(G)를 열고,로드록실 (704)내로 스토커(706)로부터 반도체 웨이퍼(W)를 반송한다.
제25도 (d)에 나타낸 바와 같이, 게이트밸브 (G)를 닫고, 적외선램프(714)에 의해 트랩(709) 내의 반도체 웨이퍼(W)에 적외선을 조사한다,
적외선 램프(714)에의한 가열은 웨이퍼(W)의 크기 등에 의존한다. 통상, 약20초 정도의 조사로, 웨이퍼(W)는 약 200℃로 가열된다. 이것에 의해 챔버내압이 10-8~10-9Torr로 유지된다.
트랩(709)이 포화(飽和)되면, 트랩(709)은 트랩실로 되돌려빈다. 이어서, 변환밸브를 뱐환하여 트랩(709)의 열교환 통로(710) 내에 온수를 순환시킨다. 이것에 의해, 트랩(709)으로부터 부착성분을 이탈시켜, 이탈성분을 트랩실로부터 배기한다.
이와같이하여 트랩(709)을 로드록실 (704)로 반입, 반출을 가능하게 함과 동시에 냉각과 가열을 되풀이 함으로써, 트랩(709)을 교환함이 없이 용이하게 로드록실(704)내에 놓여있는 웨이퍼의 흡착가스를 제거하고 신속하게 소정의 진공도를 달성할 수가 있다.

Claims (20)

  1. 피처리체를 처리하는 프로세스 분위기 또는 대기 분위기에 연이어 통하는 개구를 적어도 하나를 가진 챔버와, 상기 챔버의 내부를 프로세스 분위기 또는 대기 분위기에 대하여 차단 또는 개방하기 위하여 상기 개구에 설치된 게이트 수단과, 피처리체를 상기 챔버내에 반읍/반출하는 반송수단과, 상기 챔버내를 배기하는 배기수단과, 상기 챔버의 벽을 가열하는 가열수단과, 상기 게이트수단, 반송수단, 배기수단, 및 가열수단 중 적어도 하나를 제어하는 제어수단과, 를 구비하여 구성되는 감압처리 시스템.
  2. 제1항에 있어서, 쳄버의 벽을 냉각하기 위한 냉각수단을 더욱 포함하여 구성되는 감압처리 시스템.
  3. 제1항에 있어서, 피처리체를 얹어놓기 위한 스테이지를 챔버내에 설치하고, 이 스테이지를 가열하기 위한 스테이지 가열수단을 더욱 포함하여 구성되는 감암처리 시스템.
  4. 제3항에 있어서, 스테이지 가열수단은, 스테이지를 구성하는 부재의 속에 매립된 전열 히이터를 가지는 감압처리 시스템.
  5. 제3항에 있어서, 스테이지를 냉각하기 위한 냉각수단을 더욱 포함하여 구성되는 감압처리 시스템.
  6. 제5항에 있어서, 냉각수단은, 피처리체를 얹어놓기 위한 스테이지 부재에 형성된 냉매통로를 가지는 감압처리 시스템.
  7. 제1항에 있어서, 반송수단은, 챔버내에 설치되어 있는 감압처리 시스템.
  8. 제7항에 있어서, 반송수단의 피처리체 홀더부를 가열하기 위한 가열수단을 더욱 포함하여 구성되는 감압처리 시스템.
  9. 제1항에 있어서, 열에너지 조사 수단을 챔버의 외부에 설치함과 동시에, 챔버벽의 일부에 열에너지선 투과성창을 부착하고, 이 창을 통하여 챔버내부에 열에너지선을 조사하는 것을 더욱 포함하여 구성되는 감압처리 시스템.
  10. 제1항에 있어서, 챔버내에 가스를 공급하는 가스공급수단을 더욱 포함하여 구성되는 감압처리 시스템.
  11. 바깥쪽벽 및 안쪽벽을 가지며, 피처리체를 처리하는 프로세스 분위기 또는 대기 분위기에 연이어 통하는 개구를 적어도 하나 가지는 챔버와, 상기 챔버의 내부를 프로세스 분위기 또는 대기 분위기에 대하여 차단 또는 개방하기 위하여 상기 개구에 설치된 게이트 수단과, 피처리체를 챔버 내에 반입/ 반출하는 반송수단과, 상기 챔버내를 배기하는 배기수단과, 상기 안쪽벽을 가열하는 가열수단과, 상기 게이트 수단, 반송수단, 배기수단, 및 가열수단 중 적어도 하나를 제어하는 제어수단과를 가지며, 상기 안쪽 벽의 두께는, 상기 바깥쪽벽의 두께보다 얇게 구성하는 감압처리 시스템.
  12. 제 11항에 있어서, 안쪽벽을 냉각하기 위한 냉각수단을 더욱 포함하여 구성되는 감압처리 시스템.
  13. 제11항에 있어서, 챔버내에 가스를 공급하는 가스 공급수단을 더욱 포함하여 구성되는 감압처리 시스템.
  14. 제13항에 있어서, 안쪽벽으로 둘러싸인 제1스페이스의 압력과, 바깥쪽벽 및 안쪽벽 상호간에 형성된 제2스페이스의 압력과의 양자의 압력차를 검출하는 차압검출수단을 가지며, 차압검출결과에 의거하여 상기 제어수단에 의해 상기 배기수단 및 상기 가스 공급수단을 제어하는 것을 더욱 포함하여 구성되는 감압처리 시스템.
  15. 프로세스 분위기 또는 대기 분위기로부터 피처리체를 챔버내로 반송수단에 의해 반입하는 공정, 챔버를 기밀상태로 하는 공정, 챔버내를 배기하는 동시에, 챔버의 벽을 가열하는 공정, 상기 배기/가열 공정을 제어수단에 의해 제어하여, 챔버내압을 목표설정에 도달 시키는 공정, 피처리체를 상기 반송수단에 의해 챔버로부터 반출하는 공정으로 구성되는 감압처리방법.
  16. 제15항에 있어서, 반송수단을 가열하는 것을 더욱 포함하여 구성되는 감압처리 방법.
  17. 제16항에 있어서, 챔버벽의 가열동작과 반송수단의 가열동작이 동기하도록, 제어수단에 의해 제어하는 감압처리 방법.
  18. 제15항에 있어서, 챔버를 기밀상태로 하기 전부터 챔버의 벽을 가열하는 감압처리 방법.
  19. 제15항에 있어서, 챔버내의 배기개시로부터 소정시간이 경과한 후, 챔버의 벽을 가열하는 감압처리 방법.
  20. 제15항에 있어서, 챔버의 벽을 100~160℃의 온도범위로 가열하는 감압처리 방법.
KR1019920009231A 1991-05-28 1992-05-28 감압처리 시스템 및 감압처리 방법 KR0155572B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP3152634A JP2973141B2 (ja) 1991-05-28 1991-05-28 真空装置及びその制御方法
JP15263391A JPH04349929A (ja) 1991-05-28 1991-05-28 真空装置
JP91-152634 1991-05-28
JP91-152633 1991-05-28
JP18624191A JP3238427B2 (ja) 1991-07-25 1991-07-25 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
JP91-186241 1991-07-25

Publications (2)

Publication Number Publication Date
KR920022398A KR920022398A (ko) 1992-12-19
KR0155572B1 true KR0155572B1 (ko) 1998-12-01

Family

ID=27320312

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920009231A KR0155572B1 (ko) 1991-05-28 1992-05-28 감압처리 시스템 및 감압처리 방법

Country Status (2)

Country Link
US (2) US5314541A (ko)
KR (1) KR0155572B1 (ko)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100268525B1 (ko) * 1992-09-03 2000-11-01 히가시 데쓰로 진공 형성방법 및 진공 형성장치
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5636320A (en) * 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
KR100189981B1 (ko) * 1995-11-21 1999-06-01 윤종용 진공 시스템을 구비한 반도체 소자 제조장치
JPH09219172A (ja) * 1996-02-09 1997-08-19 Ebara Corp イオン注入装置の排気装置
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
US6182376B1 (en) 1997-07-10 2001-02-06 Applied Materials, Inc. Degassing method and apparatus
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6267545B1 (en) * 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6339028B2 (en) * 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6428262B1 (en) 1999-08-11 2002-08-06 Proteros, Llc Compact load lock system for ion beam processing of foups
JP2001101989A (ja) * 1999-09-29 2001-04-13 Nec Corp イオン注入装置とこの装置を用いた半導体装置の製造方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6743395B2 (en) * 2000-03-22 2004-06-01 Ebara Corporation Composite metallic ultrafine particles and process for producing the same
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6323463B1 (en) 2000-03-29 2001-11-27 Applied Materials, Inc. Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system
FR2807951B1 (fr) * 2000-04-20 2003-05-16 Cit Alcatel Procede et systeme de pompage des chambres de transfert d'equipement de semi-conducteur
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP4442841B2 (ja) * 2000-06-19 2010-03-31 コバレントマテリアル株式会社 減圧エピタキシャル成長装置およびその装置の制御方法
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
US6709522B1 (en) * 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6528435B1 (en) * 2000-08-25 2003-03-04 Wafermasters, Inc. Plasma processing
DE10101014A1 (de) * 2001-01-05 2002-07-11 Zeiss Carl Beschichtung optischer Elemente, insbesondere für Verwendung mit Ultraviolettlicht
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100914363B1 (ko) * 2001-07-15 2009-08-28 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템
JP2003031639A (ja) * 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
JP2003045947A (ja) * 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
US6750155B2 (en) 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7013091B2 (en) * 2002-01-16 2006-03-14 Pts Corporation Synchronization of pulse and data sources
JP2003282385A (ja) * 2002-03-27 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
JP3910151B2 (ja) * 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
EP1596421A3 (en) * 2003-05-19 2011-04-06 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
SG141228A1 (en) * 2003-05-19 2008-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR100765681B1 (ko) * 2003-09-19 2007-10-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US20050075909A1 (en) * 2003-10-06 2005-04-07 Geoffrey Flagstad Medical record cards and storage systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7422406B2 (en) * 2003-11-10 2008-09-09 Blueshift Technologies, Inc. Stacked process modules for a semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
JP2005158926A (ja) * 2003-11-25 2005-06-16 Canon Inc ロードロック装置および方法
CN100520503C (zh) * 2004-03-08 2009-07-29 周星工程股份有限公司 抽真空系统及其驱动方法、具有此系统的装置和使用此系统转移基板的方法
GB0406049D0 (en) * 2004-03-18 2004-04-21 Secr Defence Surface coatings
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
KR100736365B1 (ko) 2005-05-24 2007-07-06 삼성전자주식회사 반도체 제조 장치
KR100963814B1 (ko) * 2005-10-07 2010-06-16 주식회사 코미코 파티클 제거 방법 및 장치, 및 이를 포함하는 파티클 측정방법 및 장치
KR100933431B1 (ko) * 2005-07-26 2009-12-23 주식회사 코미코 파티클 제거 방법 및 장치, 및 이를 포함하는 파티클 측정방법 및 장치
US7381969B2 (en) * 2006-04-24 2008-06-03 Axcelis Technologies, Inc. Load lock control
US7547897B2 (en) * 2006-05-26 2009-06-16 Cree, Inc. High-temperature ion implantation apparatus and methods of fabricating semiconductor devices using high-temperature ion implantation
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
WO2011149542A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies Inc. Active dew point sensing and load lock venting to prevent condensation of workpieces
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
JP2012174819A (ja) * 2011-02-21 2012-09-10 Sokudo Co Ltd 熱処理装置および熱処理方法
DE102011006462B4 (de) * 2011-03-30 2016-01-07 Von Ardenne Gmbh Schleusungsverfahren für eine Vakuumprozessanlage
CN103765557A (zh) * 2011-08-09 2014-04-30 三星电子株式会社 气相沉积设备
TW201327712A (zh) * 2011-11-01 2013-07-01 Intevac Inc 以電漿處理太陽能電池晶圓之系統架構
US20150295124A1 (en) * 2012-04-02 2015-10-15 Koji Matsumaru Manufacturing equipment for photovoltaic devices and methods
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
JP6240440B2 (ja) * 2013-08-30 2017-11-29 東京応化工業株式会社 チャンバー装置及び加熱方法
WO2015145663A1 (ja) * 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10388553B2 (en) * 2015-12-28 2019-08-20 Asm Ip Holding B.V. Substrate processing system
GB2559615A (en) * 2017-02-13 2018-08-15 Edwards S R O Cleaning method
CN106801220B (zh) * 2017-03-27 2019-07-16 南京信息工程大学 一种真空快速去除溶剂的装置及方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
DE102018115410A1 (de) * 2018-06-27 2020-01-02 VON ARDENNE Asset GmbH & Co. KG Vakuumanordnung und Verfahren
CN112424922A (zh) * 2018-07-17 2021-02-26 Asml荷兰有限公司 粒子束检查装置
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10714317B1 (en) 2019-01-04 2020-07-14 Axcelis Technologies, Inc. Reduction of condensed gases on chamber walls via heated chamber housing for semiconductor processing equipment
CN111868298A (zh) * 2019-02-28 2020-10-30 东芝三菱电机产业系统株式会社 成膜装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR102523364B1 (ko) * 2020-10-07 2023-04-21 세메스 주식회사 기판 처리 장치

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343836A (en) * 1979-07-26 1982-08-10 United States Of America As Represented By The United States Department Of Energy One-directional uniformly coated fibers, method of preparation, and uses therefor
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPH0770509B2 (ja) * 1982-10-08 1995-07-31 株式会社日立製作所 ドライプロセス装置
US4521458A (en) * 1983-04-01 1985-06-04 Nelson Richard C Process for coating material with water resistant composition
US4640223A (en) * 1984-07-24 1987-02-03 Dozier Alfred R Chemical vapor deposition reactor
US4640233A (en) * 1984-07-31 1987-02-03 Westinghouse Electric Corp. Model steam generator
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US5044314A (en) * 1986-10-15 1991-09-03 Advantage Production Technology, Inc. Semiconductor wafer processing apparatus
US5182231A (en) * 1988-04-07 1993-01-26 Hitachi, Ltd. Method for modifying wiring of semiconductor device
JPH02218126A (ja) * 1989-02-17 1990-08-30 Fujitsu Ltd エッチング装置
JPH04308090A (ja) * 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5154730A (en) * 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
TW204411B (ko) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films

Also Published As

Publication number Publication date
KR920022398A (ko) 1992-12-19
US5314541A (en) 1994-05-24
US5455082A (en) 1995-10-03

Similar Documents

Publication Publication Date Title
KR0155572B1 (ko) 감압처리 시스템 및 감압처리 방법
US11177131B2 (en) Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US5240556A (en) Surface-heating apparatus and surface-treating method
EP0473594B1 (en) Method for depositing a layer on a substrate and also a processing system for that purpose
US5695564A (en) Semiconductor processing system
JP3453223B2 (ja) 処理装置
KR100251824B1 (ko) 웨이퍼 가공 클러스터 툴 배치 예열과 탈가스 방법 및 장치
KR101485628B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 반도체 장치
US6949143B1 (en) Dual substrate loadlock process equipment
KR100605799B1 (ko) 반도체 처리 시스템의 매엽식 열처리 장치
US8398813B2 (en) Processing apparatus and processing method
JP5511536B2 (ja) 基板処理装置及び半導体装置の製造方法
JPH0751754B2 (ja) ウェーハを熱処理する装置
KR20010080114A (ko) 표면 처리 방법 및 장치
WO2012133441A1 (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
US11101142B2 (en) Pre-heat processes for millisecond anneal system
JP3965343B2 (ja) 処理装置
US8513578B2 (en) Electromagnetic wave processing apparatus
JP6005966B2 (ja) 熱処理装置および熱処理方法
KR20180124726A (ko) 할로겐 제거 모듈 및 연관된 시스템들 및 방법들
JPH0529448A (ja) 排気方法
JP3281525B2 (ja) ガス成分除去処理装置及びこれを用いたクラスタツール装置
JP3069104B2 (ja) 処理装置
JPH04349930A (ja) 真空装置及びその制御方法
KR102290913B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090708

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee