KR920022398A - 감압처리 시스템 및 감압 처리방법 - Google Patents

감압처리 시스템 및 감압 처리방법 Download PDF

Info

Publication number
KR920022398A
KR920022398A KR1019920009231A KR920009231A KR920022398A KR 920022398 A KR920022398 A KR 920022398A KR 1019920009231 A KR1019920009231 A KR 1019920009231A KR 920009231 A KR920009231 A KR 920009231A KR 920022398 A KR920022398 A KR 920022398A
Authority
KR
South Korea
Prior art keywords
chamber
heating
wall
processing system
pressure reduction
Prior art date
Application number
KR1019920009231A
Other languages
English (en)
Other versions
KR0155572B1 (ko
Inventor
데루오 이와다
노부오 이시이
마사시 사이토오
도오루 이케다
히로아키 사에키
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP3152634A external-priority patent/JP2973141B2/ja
Priority claimed from JP15263391A external-priority patent/JPH04349929A/ja
Priority claimed from JP18624191A external-priority patent/JP3238427B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤 filed Critical 이노우에 아키라
Publication of KR920022398A publication Critical patent/KR920022398A/ko
Application granted granted Critical
Publication of KR0155572B1 publication Critical patent/KR0155572B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/006Processes utilising sub-atmospheric pressure; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

내용 없음.

Description

감압처리 시스템 및 감압 처리방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제3도는, 본 발명의 제1의 실시예에 관한 로드록 시스템의 일부를 절결하여 나타내는 기구 블록도,
제4도는, 제1실시예의 로드록 챔버 및 웨이퍼 스테이지를 나타내는 종단면도,
제5도는 웨이퍼 스테이지를 각 부품으로 분해하여 나타내는 분해 사시도,
제6도는, 로드록 챔버에 웨이퍼를 로드/언로드하는 경우의 플로우 챠트,
제7A도는, 로드록 챔버의 내실 및 외실의 압력변화를 시간 경과적으로 나타내는 그래프도, 제7B도는, 안쪽 챔버벽의 온도변화를 시간 경과적으로 나타내는 그래프도, 제7C도는, 게이트 밸브의 개폐상태를 나타내는 타이밍 챠트,
제8도는, 제1실시예 및 비교예의 각각에 관해 로드록 챔버의 내압 변화를 조사한 결과를 나타내는 그래프도,
제9도는, 제2실시예의 로드록 챔버 및 웨이퍼 스테이지를 나타내는 종단면
도,
제10도는, 제3실시예의 로드록 챔버 및 웨이퍼 스테이지를 나타내는 종단면도,
제11도는, 제4실시예의 로드록 챔버 및 웨이퍼 스테이지를 절결하여 나타는 블록도,
제12도는, 이온 주입 장치 및 부속 로드록 시스템을 나타내는 평면 레이 아웃도,
제13도는, 제5실시예에 관한 로드록 시스템 주요부를 절결하여 나타내는 종단면도,
제14도는, 제6실시예의 로드록 시스템의 주요부를 나타내는 종단면도,
제15도는, 제7실시예의 로드록 시스템의 주요부를 나타내는 종단면도,
제16도는, 제8실시예의 로드록 시스템의 주요부를 나타내는 종단면도,
제17도는, 제9실시예의 로드록 시스템의 주요부를 나타내는 종단면도,
제18도는, 제10실시예의 로드록 시스템의 주요부를 나타내는 종단면도,
제19도는, 제10실시예의 로드록 챔버의 개구부분을 확대하여 나타내는 종단면 확대도,
제20도는, 제11실시예의 로드록 시스템의전체 개요를 나타내는 기구 블럭도,
제21도는, 제11실시예의 로드록 시스템의 개구부를 나타내는 종단면도,
제22도는, 제11실시예의 로드록 시스템의 개구부를 나타내는 정면도,
제23도는, 제12실시예의 로드록 시스템을 나타내는 전체 개요도,
제24도는, 제12실시예의 로드록 챔버(트랩장치)의 내부를 나타내는 종단면도,
제25도는, 트랩장치에 있어서 각 동작의 타이밍챠트이다.

Claims (20)

  1. 피처리체를 처리하는 프로세스 분위기 또는/및 대기 분위기에 연이어 통하는 개구를 적어도 하나를 가진 챔버와, 상기 챔버의 내부를 프로세스 분위기 또는/및 대기 분위기에 대하여 차단 또는 개방하기 위하여 상기 개구에 설치된 게이트 수단과, 피처리체를 상기 챔버내에 반입/반출하는 반송수단과, 상기 챔버내를 배기하는 배기수단과, 상기 챔버의 벽을 가열하는 가열수단과, 상기 게이트 수단, 반송수단, 배기수단, 및 가열수단중 적어도 하나를 제어하는 제어수단과, 를 구비하여 구성되는 감압처리 시스템.
  2. 제1항에 있어서 챔버의 벽을 냉각하기 위한 냉각수단을 더욱 포함하여 구성되는 감압처리 시스템.
  3. 제1항에 있어서, 피처리체를 얹어놓기 위한 스테이지를 챔버내에 설치하고, 이 스테이지를 가열하기 위한 스테이지 가열수단을 더욱 포함하여 구성되는 감압 처리 시스템.
  4. 제3항에 있어서, 스테이지 가열수단은, 스테이지를 구성하는 부재의 속에 매립된 전열 히이터를 가지는 감압 처리 시스템.
  5. 제3항에 있어서, 스테이지를 냉각하기 위한 냉각수단을 더욱 포함하여 구성되는 감압처리 시스템.
  6. 제5항에 있어서, 냉각수단은, 피처리체를 얹어놓기 위한 스테이지 부재에 형성된 냉매통로를 가지는 감압처리 시스템.
  7. 제1항에 있어서, 반송수단은, 챔버내에 설치되어 있는 감압처리 시스템.
  8. 제7항에 있어서, 반송수단의 피처리체 홀더부를 가열하기 위한 가열수단을 더욱 포함하여 구성되는 감압처리 시스템.
  9. 제1항에 있어서, 열에너지 조사 수단을 챔버의 외부로 설치함과 동시에, 챔버벽의 일부에 열에너지선 투과성창을 부착하고, 이 창을 통하여 챔버 내부에 열에너지선을 조사하는 것을 더욱 포함하여 구성되는 감압처리 시스템.
  10. 제1항에 있어서, 챔버속에 가스를 공급하는 가스 공급수단을 더욱 포함하여 구성되는 감압처리 시스템.
  11. 바깥쪽벽 및 안쪽벽을 가지며, 피처리체를 처리하는 프로세스 분위기 또는/대기 분위기에 연이어 통하는 개구를 적어도 하나는 가지는 챔버와, 상기 챔버의 내부를 프로세스 분위기 또는/및 대기 분위기에 대하여 차단 또는 개방하기 위하여, 상기 개구에 설치된 게이트 수단과, 피처리체를 챔버내에 반입/반출하는 반송수단과, 상기 챔버내를 배기하는 배기수단과, 상기 안쪽벽을 가열하는 가열수단과, 상기 게이트 수단, 반송수단, 배기수단, 및 가열수단중 적어도 하나를 제어하는 제어수단과를 가지며, 상기 안쪽벽의 두께는, 상기 바깥쪽벽의 두께보다 얇게 구성하는 감압처리 시스템.
  12. 제11항에 있어서, 안쪽벽을 냉각하기 위한 냉각수단을 더욱 포함하여 구성되는 감압처리 시스템.
  13. 제11항에 있어서, 챔버내에 가스를 공급하는 가스 공급수단을 더욱 포함하여 구성되는 감압처리 시스템.
  14. 제13항에 있어서, 안쪽벽으로 둘러싸인 제1스페이스의 압력과, 바깥쪽벽 및 안쪽벽 상호간에 형성된 제2스페이스의 압력과의 양자의 압력차를 검출하는 차압 검출수단을 가지며, 차압 검출 결과에 의거하여 상기 제어수단에 의해 상기 배기수단 및 상기 가스 공급수단을 제어하는 것을 더욱 포함하여 구성되는 감압처리 시스템.
  15. 프로세스 분위기 또는 대기 분위기로부터 피처리체를 챔버내로 반송수단에 의해 반입하는 공정, 챔버를 기밀상태로 하는 공정, 챔버내를 배기하는 동시에, 챔버의 벽을 가열하는 공정, 상기 배기/가열 공정을 제어수단에 의해 제어하여, 챔버내압을 목표 설정에 도달시키는 공정, 피처리체를 상기 반송수단에 의해 챔버로부터 반출하는 공정으로 구성되는 감압 처리방법.
  16. 제15항에 있어서, 반송수단을 가열하는 것을 더욱 포함하여 구성되는 감압처리 방법.
  17. 제16항에 있어서, 챔버벽의 가열동작과 반송수단의 가열동작이 동기하도록, 제어수단에 의해 제어하는 감압처리 방법.
  18. 제15항에 있어서, 챔버를 기밀상태로 하기전부터 챔버의 벽을 가열하는 감압처리방법.
  19. 제15항에 있어서, 챔버내의 배기 개시로부터 소정시간이 경과한후, 챔버의 벽을 가열하는 감압처리 방법.
  20. 제15항에 있어서, 챔버의 벽을 100∼160℃의 온도범위로 가열하는 감압처리 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개되는 것임.
KR1019920009231A 1991-05-28 1992-05-28 감압처리 시스템 및 감압처리 방법 KR0155572B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP3152634A JP2973141B2 (ja) 1991-05-28 1991-05-28 真空装置及びその制御方法
JP15263391A JPH04349929A (ja) 1991-05-28 1991-05-28 真空装置
JP91-152633 1991-05-28
JP91-152634 1991-05-28
JP18624191A JP3238427B2 (ja) 1991-07-25 1991-07-25 イオン注入装置内に被処理体を搬入搬出するための気密容器の排気方法
JP91-186241 1991-07-25

Publications (2)

Publication Number Publication Date
KR920022398A true KR920022398A (ko) 1992-12-19
KR0155572B1 KR0155572B1 (ko) 1998-12-01

Family

ID=27320312

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920009231A KR0155572B1 (ko) 1991-05-28 1992-05-28 감압처리 시스템 및 감압처리 방법

Country Status (2)

Country Link
US (2) US5314541A (ko)
KR (1) KR0155572B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5426865A (en) * 1992-09-03 1995-06-27 Tokyo Electron Limited Vacuum creating method and apparatus
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5636320A (en) * 1995-05-26 1997-06-03 International Business Machines Corporation Sealed chamber with heating lamps provided within transparent tubes
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
KR100189981B1 (ko) * 1995-11-21 1999-06-01 윤종용 진공 시스템을 구비한 반도체 소자 제조장치
JPH09219172A (ja) * 1996-02-09 1997-08-19 Ebara Corp イオン注入装置の排気装置
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
US6182376B1 (en) 1997-07-10 2001-02-06 Applied Materials, Inc. Degassing method and apparatus
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) * 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6267545B1 (en) * 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6339028B2 (en) * 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
AU6763000A (en) 1999-08-11 2001-03-05 Multilevel Metals, Inc. Load lock system for foups
JP2001101989A (ja) * 1999-09-29 2001-04-13 Nec Corp イオン注入装置とこの装置を用いた半導体装置の製造方法
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6743395B2 (en) * 2000-03-22 2004-06-01 Ebara Corporation Composite metallic ultrafine particles and process for producing the same
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6323463B1 (en) * 2000-03-29 2001-11-27 Applied Materials, Inc. Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system
FR2807951B1 (fr) * 2000-04-20 2003-05-16 Cit Alcatel Procede et systeme de pompage des chambres de transfert d'equipement de semi-conducteur
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP4442841B2 (ja) * 2000-06-19 2010-03-31 コバレントマテリアル株式会社 減圧エピタキシャル成長装置およびその装置の制御方法
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4560182B2 (ja) * 2000-07-06 2010-10-13 キヤノン株式会社 減圧処理装置、半導体製造装置およびデバイス製造方法
US6709522B1 (en) * 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6528435B1 (en) * 2000-08-25 2003-03-04 Wafermasters, Inc. Plasma processing
DE10101014A1 (de) * 2001-01-05 2002-07-11 Zeiss Carl Beschichtung optischer Elemente, insbesondere für Verwendung mit Ultraviolettlicht
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
WO2003009346A2 (en) * 2001-07-15 2003-01-30 Applied Materials,Inc. Processing system
JP2003031639A (ja) * 2001-07-17 2003-01-31 Canon Inc 基板処理装置、基板の搬送方法及び露光装置
JP2003045947A (ja) * 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
US6750155B2 (en) * 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7013091B2 (en) * 2002-01-16 2006-03-14 Pts Corporation Synchronization of pulse and data sources
JP2003282385A (ja) * 2002-03-27 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
JP3910151B2 (ja) * 2003-04-01 2007-04-25 東京エレクトロン株式会社 熱処理方法及び熱処理装置
EP1596421A3 (en) * 2003-05-19 2011-04-06 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
SG141228A1 (en) * 2003-05-19 2008-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2005029566A1 (ja) 2003-09-19 2005-03-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US20050075909A1 (en) * 2003-10-06 2005-04-07 Geoffrey Flagstad Medical record cards and storage systems
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050223837A1 (en) 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
JP2005158926A (ja) * 2003-11-25 2005-06-16 Canon Inc ロードロック装置および方法
CN100520503C (zh) * 2004-03-08 2009-07-29 周星工程股份有限公司 抽真空系统及其驱动方法、具有此系统的装置和使用此系统转移基板的方法
GB0406049D0 (en) * 2004-03-18 2004-04-21 Secr Defence Surface coatings
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
KR100736365B1 (ko) 2005-05-24 2007-07-06 삼성전자주식회사 반도체 제조 장치
KR100963814B1 (ko) * 2005-10-07 2010-06-16 주식회사 코미코 파티클 제거 방법 및 장치, 및 이를 포함하는 파티클 측정방법 및 장치
KR100933431B1 (ko) * 2005-07-26 2009-12-23 주식회사 코미코 파티클 제거 방법 및 장치, 및 이를 포함하는 파티클 측정방법 및 장치
US7381969B2 (en) * 2006-04-24 2008-06-03 Axcelis Technologies, Inc. Load lock control
US7547897B2 (en) * 2006-05-26 2009-06-16 Cree, Inc. High-temperature ion implantation apparatus and methods of fabricating semiconductor devices using high-temperature ion implantation
US20080025823A1 (en) * 2006-07-31 2008-01-31 Masahiko Harumoto Load lock device, and substrate processing apparatus and substrate processing system including the same
WO2008144670A1 (en) 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20110291022A1 (en) * 2010-05-28 2011-12-01 Axcelis Technologies, Inc. Post Implant Wafer Heating Using Light
JP5899209B2 (ja) * 2010-05-28 2016-04-06 アクセリス テクノロジーズ, インコーポレイテッド ワークピース上の結露を防ぐためのアクティブ露点検出およびロードロック通気
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
JP2012174819A (ja) * 2011-02-21 2012-09-10 Sokudo Co Ltd 熱処理装置および熱処理方法
DE102011006462B4 (de) * 2011-03-30 2016-01-07 Von Ardenne Gmbh Schleusungsverfahren für eine Vakuumprozessanlage
WO2013022128A1 (ko) * 2011-08-09 2013-02-14 삼성전자주식회사 기상증착장치
TW201327712A (zh) * 2011-11-01 2013-07-01 Intevac Inc 以電漿處理太陽能電池晶圓之系統架構
US20150295124A1 (en) * 2012-04-02 2015-10-15 Koji Matsumaru Manufacturing equipment for photovoltaic devices and methods
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
JP6240440B2 (ja) * 2013-08-30 2017-11-29 東京応化工業株式会社 チャンバー装置及び加熱方法
WO2015145663A1 (ja) * 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9378992B2 (en) * 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10388553B2 (en) * 2015-12-28 2019-08-20 Asm Ip Holding B.V. Substrate processing system
GB2559615A (en) * 2017-02-13 2018-08-15 Edwards S R O Cleaning method
CN106801220B (zh) * 2017-03-27 2019-07-16 南京信息工程大学 一种真空快速去除溶剂的装置及方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
DE102018115410A1 (de) * 2018-06-27 2020-01-02 VON ARDENNE Asset GmbH & Co. KG Vakuumanordnung und Verfahren
JP7296410B2 (ja) * 2018-07-17 2023-06-22 エーエスエムエル ネザーランズ ビー.ブイ. 粒子ビーム検査装置
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020101935A1 (en) 2018-11-16 2020-05-22 Applied Materials, Inc. Film deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10714317B1 (en) * 2019-01-04 2020-07-14 Axcelis Technologies, Inc. Reduction of condensed gases on chamber walls via heated chamber housing for semiconductor processing equipment
EP3722458B1 (en) * 2019-02-28 2022-01-19 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming device
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR102523364B1 (ko) * 2020-10-07 2023-04-21 세메스 주식회사 기판 처리 장치

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343836A (en) * 1979-07-26 1982-08-10 United States Of America As Represented By The United States Department Of Energy One-directional uniformly coated fibers, method of preparation, and uses therefor
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPH0770509B2 (ja) * 1982-10-08 1995-07-31 株式会社日立製作所 ドライプロセス装置
US4521458A (en) * 1983-04-01 1985-06-04 Nelson Richard C Process for coating material with water resistant composition
US4640223A (en) * 1984-07-24 1987-02-03 Dozier Alfred R Chemical vapor deposition reactor
US4640233A (en) * 1984-07-31 1987-02-03 Westinghouse Electric Corp. Model steam generator
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US5044314A (en) * 1986-10-15 1991-09-03 Advantage Production Technology, Inc. Semiconductor wafer processing apparatus
US5182231A (en) * 1988-04-07 1993-01-26 Hitachi, Ltd. Method for modifying wiring of semiconductor device
JPH02218126A (ja) * 1989-02-17 1990-08-30 Fujitsu Ltd エッチング装置
JPH04308090A (ja) * 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5154730A (en) * 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
TW204411B (ko) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8113757B2 (en) 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber

Also Published As

Publication number Publication date
US5455082A (en) 1995-10-03
US5314541A (en) 1994-05-24
KR0155572B1 (ko) 1998-12-01

Similar Documents

Publication Publication Date Title
KR920022398A (ko) 감압처리 시스템 및 감압 처리방법
US20180366352A1 (en) Method and device for the thermal treatment of substrates and holding unit for substrates
JP2000017305A (ja) 脱脂焼結炉
US6388263B1 (en) Vacuum system with mist prevention apparatus for manufacturing semiconductor devices and method using the same
KR102062441B1 (ko) 인라인 코팅 시스템을 작동하는 방법 및 인라인 코팅 시스템
JP2510035Y2 (ja) 熱処理炉
JPS634957Y2 (ko)
JPH05148650A (ja) 薄膜処理装置
JPH05105945A (ja) 連続焼鈍炉の稼動方法
JPS63243682A (ja) 真空焼結炉
JPH0622917Y2 (ja) 電子線照射装置
JP2002033280A (ja) 真空成膜装置、仕込・取出室及び仕込・取出室内部の排気方法
JPS60197815A (ja) 被熱物の装入・抽出方法
JP2003042664A (ja) 真空加熱炉
US7410547B2 (en) Method and device for inhibiting contamination of a workpiece
JPH0631154A (ja) 真空装置
JPH113835A (ja) 電力機器の絶縁体封入方法及びその装置
JPH0555442U (ja) 真空処理装置
JPH1025570A (ja) 薄膜処理装置
JP3766331B2 (ja) 真空マイクロ波解凍機
JPH04141506A (ja) 真空焼結炉
JPH03207810A (ja) 複数チャンバ真空装置
JP4864696B2 (ja) 工作物の汚染を抑える方法および装置
JPH01159365A (ja) イオン浸炭窒化炉
JPH0541359Y2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090708

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee