KR20180124726A - 할로겐 제거 모듈 및 연관된 시스템들 및 방법들 - Google Patents

할로겐 제거 모듈 및 연관된 시스템들 및 방법들 Download PDF

Info

Publication number
KR20180124726A
KR20180124726A KR1020180048466A KR20180048466A KR20180124726A KR 20180124726 A KR20180124726 A KR 20180124726A KR 1020180048466 A KR1020180048466 A KR 1020180048466A KR 20180048466 A KR20180048466 A KR 20180048466A KR 20180124726 A KR20180124726 A KR 20180124726A
Authority
KR
South Korea
Prior art keywords
substrate
gas
time period
processing region
hrm
Prior art date
Application number
KR1020180048466A
Other languages
English (en)
Other versions
KR102521160B1 (ko
Inventor
트래비스 알. 테일러
아담 베이트먼
토드 에이. 로페스
산카라나라야난 라비
실비아 아귈라
데렉 윗코위키
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180124726A publication Critical patent/KR20180124726A/ko
Application granted granted Critical
Publication of KR102521160B1 publication Critical patent/KR102521160B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Abstract

챔버가 프로세싱 영역을 둘러싸도록 형성된다. 통로가 프로세싱 영역 내로 기판의 진입 및 프로세싱 영역으로부터 기판의 제거를 제공하도록 구성된다. 기판 지지 구조체가 프로세싱 영역 내에 배치되고 프로세싱 영역 내에서 기판을 지지하도록 구성된다. 적어도 하나의 가스 입력부가 하나 이상의 가스들을 프로세싱 영역으로 공급하도록 구성된다. 적어도 하나의 가스 출력부가 프로세싱 영역으로부터 가스들을 배기하도록 구성된다. 습도 제어 디바이스가 프로세싱 영역 내의 상대 습도를 제어하도록 구성된다. 적어도 하나의 가열 디바이스가 프로세싱 영역 내에서 기판의 온도 제어를 제공하도록 배치된다. 챔버의 프로세싱 영역은 대기압에서 동작하도록 구성된 기판 핸들링 모듈로부터 직접적으로 액세스가능하다.

Description

할로겐 제거 모듈 및 연관된 시스템들 및 방법들{HALOGEN REMOVAL MODULE AND ASSOCIATED SYSTEMS AND METHODS}
본 발명은 반도체 디바이스 제조에 관한 것이다.
많은 현대 반도체 칩 제조 프로세스들은 불소 및/또는 염소 및/또는 브롬 및/또는 요오드를 포함하는 할로겐 재료들에 기판의 노출을 포함한다. 예를 들어, 다른 타입들의 프로세스들 중에서 일부 에칭 프로세스들은 할로겐 재료들의 사용을 포함할 수 있다. 이들 제조 프로세스들의 완료 후, 할로겐 재료들이 기판 상에 남아 있을 수 있고 기판으로부터 가스를 배출할 수 있어서, 디펙트 형성에 의해 그리고/또는 후속하는 프로세싱 동작들에서 보이드 형성을 야기하는 물 클러스터 형성에 의해 잠재적으로 기판에 손상을 유발한다. 또한, 기판으로부터 가스를 배출하는 할로겐들은 노출된 다른 타입들의 제조 설비 장비 중에서, 에어록들 (airlock) 및 EFEM들 (equipment front end modules) 과 같은, 제조 설비 장비에 손상 (부식) 을 유발할 수 있고, 디펙트들, 보다 짧은 MTBC (mean time between clean), 및 보다 짧은 부품 수명을 발생시키고, 이는 CoC (cost of consumables) 상승을 야기한다. 이러한 맥락에서 본 발명이 발생한다.
예시적인 실시예에서, 할로겐 제거 모듈이 기재된다. 할로겐 제거 모듈은 프로세싱 영역을 둘러싸도록 형성된 챔버를 포함한다. 할로겐 제거 모듈은 챔버 내로의 통로를 포함한다. 통로는 프로세싱 영역 내로 기판의 진입 및 프로세싱 영역으로부터 기판의 인출을 제공하도록 구성된다. 할로겐 제거 모듈은 프로세싱 영역 내에 배치되고 프로세싱 영역 내에서 기판을 지지하도록 구성된 기판 지지 구조체를 포함한다. 할로겐 제거 모듈은 하나 이상의 가스들을 프로세싱 영역으로 공급하도록 구성된 적어도 하나의 가스 입력부를 포함한다. 할로겐 제거 모듈은 프로세싱 영역으로부터 가스들을 배기하도록 구성된 적어도 하나의 가스 출력부를 포함한다. 할로겐 제거 모듈은 프로세싱 영역 내의 상대 습도를 제어하도록 구성된 습도 제어 디바이스를 포함한다. 할로겐 제거 모듈은 프로세싱 영역 내에서 기판의 온도 제어를 제공하도록 배치된 적어도 하나의 가열 디바이스를 포함한다.
예시적인 실시예에서, 기판으로부터 방출되는 하나 이상의 잔류 할로겐들을 관리하기 위한 방법이 기재된다. 방법은 제 1 시간 기간 동안, 기판에 노출된 상대 습도를 약 70 %로부터 약 100 %로 연장하는 범위 내로 유지하는 동안 그리고 온도를 약 20 ℃로부터 약 26 ℃로 연장하는 범위 내로 유지하는 동안, 적어도 하나의 가스의 플로우에 기판이 노출되는, 제 1 동작을 포함한다. 제 1 시간 기간은 기판으로부터 불소의 가스 배출을 실질적으로 완료하게 하도록 설정된다. 방법은 또한 제 1 시간 기간에 이어지는 제 2 시간 기간 동안, 기판에 노출된 상대 습도를 약 50 %로부터 약 100 %로 연장하는 범위 내로 유지하는 동안 그리고 기판의 온도를 약 60 ℃로부터 약 200 ℃로 연장하는 범위 내로 유지하는 동안 적어도 하나의 가스의 플로우에 기판이 노출되는, 제 2 동작을 포함한다. 제 2 시간 기간은 기판으로부터 브롬 및 염소 가스의 배출을 실질적으로 완료하게 하도록 설정된다. 기판은 동일한 위치, 즉, 제 1 시간 기간 및 제 2 시간 기간 모두 동안 동일한 프로세싱 분위기에 유지된다. 일부 실시예들에서, 기판이 관리될 일 할로겐 재료만을 갖는다면, 일 할로겐 재료를 관리하기 적절한 제 1 동작 또는 제 2 동작이 기판 상에서 수행될 수 있다.
예시적인 실시예에서, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 시스템이 기재된다. 시스템은 기판 핸들링 모듈에 부착되도록 구성된 챔버를 포함한다. 챔버는 프로세싱 영역을 둘러싸도록 형성된다. 기판 핸들링 모듈은 대기압 분위기에서 기판들을 조종하도록 구성된다. 시스템은 또한 기판 핸들링 모듈 내 분위기와 챔버 내 프로세싱 영역 사이에 기판의 이송을 제공하도록 구성되는, 챔버 내로의 통로를 포함한다. 시스템은 또한 프로세싱 영역 내 그리고 챔버 내에 배치된 기판 지지 구조체를 포함한다. 챔버 내의 프로세싱 영역 내에 존재할 때 기판을 홀딩하도록 구성된다. 시스템은 또한, 챔버 내의 프로세싱 영역으로 하나 이상의 가스들의 공급을 제어하도록 구성된 제어 시스템을 포함한다. 제어 시스템은 또한 챔버 내 프로세싱 영역으로부터 가스들의 배기를 제어하도록 하도록 구성된다. 제어 시스템은 또한 챔버 내 프로세싱 영역 내의 상대 습도를 제어하도록 구성된다. 제어 시스템은 또한 챔버 내 프로세싱 영역 내에 기판이 존재할 때 기판의 온도를 제어하도록 구성된다.
본 발명의 다른 양태들 장점들은 본 발명을 예로서 예시하는 첨부된 도면들과 함께 취해진 이하의 상세한 기술로부터 보다 자명해질 것이다.
도 1은 본 발명의 일부 실시예들에 따른, 반도체 제조 설비 내의 예시적인 장비 구성을 도시한다.
도 2는 본 발명의 일부 실시예들에 따른, EFEM에 연결된 HRM을 도시한다.
도 3a는 본 발명의 일부 실시예들에 따른, 도 2의 EFEM 및 HRM의 우측면도를 도시한다.
도 3b는 본 발명의 일부 실시예들에 따른, EFEM의 엔드 패널에 연결된 HRM 및 HRM의 사시도를 도시한다.
도 3c는 본 발명의 일부 실시예들에 따른, 에어록 모듈 위의 위치에서 EFEM에 연결된 HRM의 사시도를 도시한다.
도 4a는 본 발명의 일부 실시예들에 따른, 상승된 상태의 다수의 리프트 핀들 상에 배치된 기판을 도시한다.
도 4b는 본 발명의 일부 실시예들에 따른, 기판 지지 구조체 내에서 하강된 상태의 다수의 리프트 핀들과 함께, 기판 지지 구조체 상에 배치된 기판을 도시한다.
도 4c는 본 발명의 일부 실시예들에 따른, 상승된 상태의 다수의 리프트 핀들 상에 배치된 기판을 도시한다.
도 4d는 본 발명의 일부 실시예들에 따른, 기판 지지 구조체 내에서 하강된 상태의 다수의 리프트 핀들과 함께, 기판 지지 구조체 상에 배치된 기판을 도시한다.
도 5는 본 발명의 일부 실시예들에 따른, 기판 지지 구조체의 수평 단면도를 도시하고, 가열 디바이스는 제 1 독립적으로 제어된 가열 존 및 제 2 독립적으로 제어된 가열 존을 포함하는,
도 6은 본 발명의 일부 실시예들에 따른, 가스 디스펜싱 디바이스를 통한 수평 단면도를 도시한다.
도 7은 본 발명의 일부 실시예들에 따른, 통합된 가열 및 가스 디스펜싱 디바이스를 통한 수평 단면도를 도시한다.
도 8은 본 발명의 일부 실시예들에 따른, 가스 공급 시스템 및 배기 시스템에 연결된 HRM의 시스템-레벨 도면을 도시한다.
도 9는 본 발명의 일부 실시예들에 따른, 제어 시스템에 연결된 HRM의 시스템-레벨 도면을 도시한다.
도 10은 본 발명의 일부 실시예들에 따른, 복수의 기판들을 한번에 프로세싱하도록 구성된 HRM을 통한 수직 단면도를 도시한다.
도 11은 본 발명의 일부 실시예들에 따른, 복수의 기판들을 한번에 프로세싱하도록 구성된 또 다른 HRM을 통한 수직 단면도를 도시한다.
도 12는 본 발명의 일부 실시예들에 따른, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법의 플로우차트를 도시한다.
이하의 기술에서, 다수의 구체적인 상세들이 본 발명의 전체적인 이해를 제공하도록 언급된다. 그러나, 본 발명이 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
반도체 산업계에서, 반도체 기판은, 기판이 불소, 염소, 브롬 및 요오드를 포함하는 하나 이상의 할로겐 재료들에 노출되는, 다양한 타입들의 제조 프로세스들을 겪을 수 있다. 기판 및/또는 기판으로부터 방출된 할로겐들에 노출될 수도 있는 제조 설비 장비로의 손상을 방지하기 위해 제어된 방식으로 기판으로부터 잔류 할로겐 재료들을 제거하는 것이 바람직하다. 예를 들어, 할로겐 재료들은 반도체 칩 제조시 일부 도전체 에칭 프로세스들에 사용될 수도 있고, 그리고 일부 프로세싱 후 할로겐 관리 문제들을 야기할 수도 있다. 이러한 프로세싱 후 할로겐 관리 문제는 기판이 도전체 에칭 시스템을 떠난 후, 기판 상에 존재하는 막들 및/또는 구조체들에 대한 손상 및/또는 다른 이슈들 중에서, 반도체 칩의 후속 제조에 부정적으로 영향을 줄 수 있는 입자들의 형성과 같은 이슈들을 유발할 수 있는, 도전체 에칭 프로세스 후에 기판 상에 남아 있는 할로겐 재료들에 관한 것이다. 또한, 또 다른 프로세싱 후 할로겐 관리 문제는 기판이 도전체 에칭 시스템을 떠나기 전에, 기판으로부터 방출되는, 예를 들어, 가스 배출되는 할로겐 재료들에 관한 것이고, 기판으로부터 이들 할로겐 재료들의 방출은 원치 않은 입자 형성 및 대응하는 손상을 야기할 수 있다.
일부 실시예들에서, 본 명세서에 참조된 기판은 제조 절차를 겪는 반도체 웨이퍼이다. 그러나, 다양한 실시예들에서, 기판은 할로겐 재료(들)를 수반하는 반도체 제조 프로세싱을 겪는 본질적으로 임의의 타입의 기판일 수 있다는 것이 이해되어야 한다. 예를 들어, 일부 실시예들에서, 본 명세서에 사용된 바와 같은 용어 기판은 사파이어, GaN, GaAs 또는 SiC, SiN, 포토레지스트 (PR), 또는 다른 기판 재료들로 형성된 기판들을 지칭할 수 있고, 유리 패널들/기판들, 금속 포일들, 금속 시트들, 폴리머 재료들, 등을 포함할 수 있다. 또한, 다양한 실시예들에서, 본 명세서에 지칭되는 바와 같이, 기판은 형태, 형상 및/또는 사이즈가 가변할 수도 있다. 예를 들어, 일부 실시예들에서, 본 명세서에 지칭된 기판은 200 ㎜ (밀리미터) 반도체 웨이퍼, 300 ㎜ 반도체 웨이퍼, 또는 450 ㎜ 반도체 웨이퍼에 대응할 수도 있다. 또한, 일부 실시예들에서, 본 명세서에 지칭된 기판은, 다른 형상들 중에서 플랫 패널 디스플레이, 등을 위한 직사각형 기판과 같이 비원형 기판에 대응할 수도 있다.
도 1은 본 발명의 일부 실시예들에 따른, 반도체 제조 설비 내 예시적인 장비 구성을 도시한다. 예시적인 장비 구성은 진공 조건 하에서 동작하도록 구성된 이송 모듈 (101) 을 포함한다. 이송 모듈 (101) 은 내부에 장착된 로보틱 기판 핸들링 디바이스 (103) 를 포함한다. 이송 모듈 (101) 은 하나 이상의 프로세스 모듈들 (105A 내지 105D) 이 연결되고 하나 이상의 에어록 모듈들 (107A 및 107B) 이 연결되는 다수의 패싯들 (facets) 을 포함한다. 로보틱 기판 핸들링 디바이스 (103) 는 하나 이상의 에어록 모듈들 (107A 및 107B) 중 어느 하나로 그리고 어느 하나로부터 그리고 하나 이상의 프로세스 모듈들 (105A 내지 105D) 중 어느 하나로 그리고 어느 하나로부터 기판 (102) 의 이송을 제공하도록 구성된다.
다양한 프로세스 모듈들 (105A 내지 105D) 은 기판 (102) 상에서 본질적으로 임의의 타입의 제조 프로세스를 수행하도록 구성될 수 있다. 예를 들어, 하나 이상의 프로세스 모듈들 (105A 내지 105D) 중 어느 하나는 반도체 디바이스들 제조시, 기판 (102) 상에 재료 증착 프로세스, 예컨대 CVD (chemical vapor deposition) 프로세스, PVD (physical vapor deposition) 프로세스, PECVD (plasma-enhanced chemical vapor deposition) 프로세스, ECD (electrochemical deposition) 프로세스, ALD (atomic layer deposition) 프로세스, MBE (molecular beam epitaxy) 프로세스, 또는 임의의 다른 타입의 재료 증착 프로세스를 수행하도록 구성될 수 있다. 또한, 예로서, 하나 이상의 프로세스 모듈들 (105A 내지 105D) 중 어느 하나는 반도체 디바이스들 제조시, 습식 에칭 프로세스, 건식 에칭 프로세스, 플라즈마 기반 에칭 프로세스, 이온 빔 밀링 프로세스, 또는 임의의 다른 타입의 재료 제거 프로세스와 같은 재료 제거 프로세스를 기판 (102) 상에서 수행하도록 구성될 수 있다. 또한, 예로서, 하나 이상의 프로세스 모듈들 (105A 내지 105D) 중 어느 하나는 그중에서도 리소그래픽 노출 프로세스, 이온 주입 프로세스, 열적 프로세스 (어닐링, 열 산화, 등), 세정 프로세스 (린싱, 플라즈마 애싱, 등), CMP (chemical mechanical planarization/polishing) 프로세스, 테스팅 절차와 같은 반도체 디바이스들의 제조시 공지된 임의의 다른 프로세스 또는 프로세스들의 조합을 수행하도록 구성될 수 있다.
하나 이상의 에어록 모듈들 (107A 및 107B) 은 EFEM (equipment front end module) (109) 에 연결된다. EFEM (109) 은 로보틱 기판 핸들링 디바이스 (111) 를 포함한다. 다수의 로드 포트들 (113A 내지 113D) 은 EFEM (109) 에 연결된다. 로드 포트 (113A 내지 113D) 각각은 제조 설비 도처에서 기판들 (102) 을 이송하도록 사용된 임의의 다른 타입의 기판 캐리 디바이스 중에서, FOUP (front opening unified pod), FOSB (front-opening shipping box), SMIF (standard mechanical interface) 포드와 같은, 하나 이상의 기판 캐리 디바이스들의 도킹을 제공한다. 일반적으로 말하면, 기판 캐리 디바이스는 하나 이상의 기판들 (102) 을 홀딩하고 제작 툴들 사이에서 기판들 (102) 을 이송하기 위한 매거진으로서 구성될 수 있다. 다양한 실시예들에서, 기판 캐리 디바이스는 커플링 구조체들과 같은 피처들 및 제조 설비의 자동화된 재료 핸들링 시스템과 함께 사용하기 위한 전자 식별 메커니즘들을 포함할 수 있다. 또한, 기판 캐리 디바이스는 내부에 기판(들) (102) 을 담기 위한 시일링된 그리고 제어된 마이크로-분위기를 제공하고 기판(들) (102) 및 반도체 제조 설비를 오염으로부터 보호하도록 구성될 수 있다. 로드 포트들 (113A 및 113B) 은 EFEM (109) 의 로보틱 기판 핸들링 디바이스 (111) 에 의한 내부의 기판(들) (102) 로의 액세스를 제공하기 위해, 시일링 메커니즘, 예를 들어, 기판 캐리 디바이스의 도어를 조작하도록 구성될 수 있다.
EFEM (109) 은 로드 포트들 (113A 내지 113D) 에 존재하는 다양한 기판 캐리 디바이스들로 그리고 기판 캐리어 디바이스들로부터 기판들 (102) 을 이동시키고, 그리고 하나 이상의 에어록 모듈들 (107A 및 107B) 로 그리고 이로부터 기판들 (102) 을 이동시키기 위한 대기압 조건들 하에서 동작된다. 하나 이상의 에어록 모듈들 (107A 및 107B) 은 대응하는 도어 시스템 (106A 및 106B) 에 의해 EFEM (109) 에 연결된다. 또한, 하나 이상의 에어록 모듈들 (107A 및 107B) 및 하나 이상의 프로세스 모듈들 (105A 내지 105D) 각각은 대응하는 도어 시스템 (106C 내지 106H) 에 의해 이송 모듈 (101) 에 연결된다. 도어 시스템들 (106A 내지 106H) 각각은 개방 상태일 때, 도어 시스템 (106A 내지 106H) 을 통해 기판 (102) 의 이송을 제공하도록 구성되고, 폐쇄 상태일 때, 도어 시스템 (106A 내지 106H) 의 마주보는 측면들 상의 영역들의 환경적 분리를 제공하도록 구성된다. 다양한 실시예들에서, 도어 시스템들 (106A 내지 106H) 은 상당한 압력차들을 견디도록 구성된 반도체 게이트 밸브들 또는 임의의 다른 타입의 디바이스일 수 있다. 하나 이상의 에어록 모듈들 (107A 및 107B) 각각은 EFEM (109) 내 대기압 분위기와 이송 모듈 (101) 내에서 저압 분위기 간, 예를 들어, 부분적으로 진공으로부터 고 진공 분위기로의 전이를 제공하도록 구성된다. 이송 모듈 (101) 및 하나 이상의 프로세스 모듈들 (105A 내지 105D) 내 분위기는 매우 낮은 레벨들의 미립자들 및 공기중 AMC (airborne molecular contamination) 를 제공하도록 제어되고, 둘다 제조 중인 기판들 (102) 에 손상을 유발할 수 있다. 하나 이상의 에어록 모듈들 (107A 및 107B) 은 EFEM (109) 과 이송 모듈 (101) 사이에 환경적 분리를 유지하는 동안, EFEM (109) 과 이송 모듈 (101) 간 기판 (102) 이송을 제공하도록 기능한다.
이전에 언급된 바와 같이, 기판 (102) 은 불소, 염소 및 브롬을 포함하는 하나 이상의 할로겐 재료들을 활용하는 다양한 타입들의 제조 프로세스들을 겪을 수 있다. 할로겐 제거 모듈 (HRM) (201) 및 연관된 시스템들 및 방법들이 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위해 본 명세서에 기재된다. 도 2는 본 발명의 일부 실시예들에 따른, EFEM (109) 에 연결된 HRM (201) 을 도시한다. 일반적으로 말하면, HRM (201) 은 EFEM (109) 과 같은, 기판 핸들링 모듈에 부착되도록 구성되고, 기판 핸들링 모듈은 대기압의 분위기에서 기판들 (102) 을 조종하도록 구성된다. 따라서, 일부 실시예들에서 HRM (201) 은 반도체 디바이스 제조 설비 내의 EFEM (109) 이외의 다른 타입들의 기판 핸들링 모듈들에 연결될 수 있다는 것이 이해되어야 한다. 그러나, 기술의 용이성을 위해, HRM (201) 은 HRM (201) 이 EFEM (109) 에 연결되는 맥락에서 본 명세서에 기술된다. HRM (201) 은 기판 (102) 이 EFEM (109) 내의 분위기로부터 HRM (201) 내 프로세싱 영역으로, 반대로 이송될 수 있는, 통로 (202) 를 포함한다. 일부 실시예들에서, HRM (201) 은 통로 (202) 를 커버하도록 구성된 도어 (203) 를 포함한다. 도어 (203) 는 HRM (201) 외부 분위기를 HRM (201) 내 프로세싱 영역으로부터 차폐하도록 구성될 수 있다. 일부 실시예들에서, 도어 (203) 는 HRM (201) 의 프로세싱 영역 내로 HRM (201) 외부 분위기로부터 가스의 플로우를 허용하도록 구성된다. 그리고, 일부 실시예들에서, 도어 (203) 는 HRM (201) 외부 분위기로부터 HRM (201) 내 프로세싱 영역을 시일링하도록 구성된다.
도 3a는 본 발명의 일부 실시예들에 따른, 도 2의 EFEM (109) 및 HRM (201) 의 우측면도를 도시한다. 일부 실시예들에서, HRM (201) 은 한번의 일 기판을 프로세싱하도록 구성된다. 일부 실시예들에서, HRM (201A) 은 한번에 복수의 기판들을 프로세싱하도록 구성될 수 있다. HRM (201/201A) 은 HRM (201/201A) 의 연결을 위해 충분한 자유 공간을 제공하고 EFEM (109) 의 로보틱 기판 핸들링 디바이스 (111) 로부터 액세스가능한 임의의 위치에서 EFEM (109) 에 연결될 수 있다. 예를 들어, 도 3a는 EFEM (109) 의 엔드 패널에 연결된 HRM (201) 및 HRM (201A) 을 도시한다. 도 3b는 본 발명의 일부 실시예들에 따른, EFEM (109) 의 엔드 패널에 연결된 HRM (201) 및 HRM (201A) 의 사시도를 도시한다. 도 3a는 또한 HRM (201) 이 에어록 모듈 (107B) 위의 위치에서 어떻게 EFEM (109) 에 연결될 수 있는 지를 도시한다. 하나 이상의 HRM들 (201/201A) 이 미리 결정된 EFEM (109) 에 연결될 수 있다는 것이 이해되어야 한다. 도 3c는 본 발명의 일부 실시예들에 따른, 에어록 모듈 (107B) 위의 위치에서 EFEM (109) 에 연결된 HRM (201) 의 사시도를 도시한다.
도 4a 및 도 4b는 본 발명의 일부 실시예들에 따른, 도 2에 A-A 뷰로 참조된, HRM (201) 을 통한 수직 단면도를 도시한다. 도 4c 및 도 4d는 본 발명의 일부 실시예들에 따른, 도 2에 B-B 뷰로 참조된, HRM (201) 을 통한 수직 단면도를 도시한다. HRM (201) 은 프로세싱 영역 (403) 을 둘러싸도록 형성된 챔버 (401) 를 포함한다. 챔버 (401) 는 프로세싱 영역 (403) 내에 존재하는 재료들과 화학적으로 양립가능하고, EFEM (109) 과의 연결시 HRM (201) 의 안정성을 유지하기 충분한 기계적 강도를 갖는 한 임의의 재료로 형성될 수 있다. 일부 실시예들에서, 챔버 (401) 는 다른 것들 중에서, 알루미늄계 재료, 스테인리스 스틸 재료, 복합 재료, 플라스틱 재료, 아크릴 재료, 및 세라믹 재료 중 하나 이상으로 형성된다. 도 4a 및 도 4b의 HRM (201) 은 한번에 일 기판 (102) 을 프로세싱하도록 구성된다.
도 4a는 상승된 상태의 다수의 리프트 핀들 (405) 상에 배치된 기판 (102) 을 도시한다. 도 4b는 기판 지지 구조체 (407) 내에서 하강된 상태의 다수의 리프트 핀들 (405) 과 함께, 기판 지지 구조체 (407) 상에 배치된 기판 (102) 을 도시한다. 도 4c는 상승된 상태의 다수의 리프트 핀들 (405) 상에 배치된 기판 (102) 을 도시한다. 도 4d는 기판 지지 구조체 (407) 내에서 하강된 상태의 다수의 리프트 핀들 (405) 과 함께, 기판 지지 구조체 (407) 상에 배치된 기판 (102) 을 도시한다. 기판 지지 구조체 (407) 는 프로세싱 영역 (403) 내에서 기판 (102) 을 지지하도록 구성된다. 일부 실시예들에서, 리프트 핀들 (405) 의 수는 3이다. 그러나, 일부 실시예들에서, 리프트 핀들 (405) 의 수는 3보다 많다. 또한, 일부 실시예들에서, HRM (201) 은 프로세싱 영역 (403) 으로 그리고 프로세싱 영역 (403) 으로부터 기판 (102) 의 이송을 인에이블하기 위해 리프트 핀들 (405) 을 사용하지 않을 수도 있고, 오히려 기판 지지 구조체 (407) 위 위치에 기판 (102) 을 인게이지하고 기판 (102) 을 기판 지지 구조체 (407) 상의 휴지 (resting) 위치로 그리고 휴지 위치로부터 이동시키기 위한 대안적인 구조체를 사용할 수도 있다. 예를 들어, 일부 실시예들에서, 링 구조체는 기판 지지 구조체 (407) 상의 기판 (102) 의 포지셔닝 및 기판 지지 구조체 (407) 로부터 기판 (102) 의 인출을 용이하게 하도록 기판 지지 구조체 (407) 에 대해 수직으로 이동하도록 구성된 링 구조와 함께, 기판 (102) 의 외측 주변 에지를 따라 기판 (102) 을 인게이지하도록 구성될 수 있다.
일부 실시예들에서, 기판 지지 구조체 (407) 는 기판 (102) 을 지지하도록 구성된 상단 표면을 갖는 페데스탈이다. 또한, 일부 실시예들에서, 적어도 하나의 가열 디바이스 (409) 는 기판 지지 구조체 (407) 내에 배치된다. 이들 실시예들에서, 기판 지지 구조체 (407) 는 열 전도성이고 프로세싱 영역 (403) 내에 존재하는 재료들과 화학적으로 양립가능한 재료로 형성된다. 예를 들어, 일부 실시예들에서 기판 지지 구조체 (407) 는 알루미늄계 재료로 형성된다. 다양한 실시예들에서, 가열 디바이스(들) (409) 는 전기 저항 가열 디바이스, 복사 가열 디바이스, 또는 이들의 조합이다. 일부 실시예들에서, 가열 디바이스(들) (409) 는 기판 (102) 에 대해 독립적으로 제어된 복수의 가열 존들을 제공하도록 구성될 수 있다. 예를 들어, 도 5는 본 발명의 일부 실시예들에 따른, 가열 디바이스(들) (409) 가 제 1 독립적으로 제어된 가열 존 (409A) 및 제 2 독립적으로 제어된 가열 존 (409B) 을 포함하는, 기판 지지 구조체 (407) 의 수평 단면도를 도시한다. 다양한 실시예들에서, 가열 디바이스(들) (409) 는 할로겐 관리 프로세스를 위해 요구되는 임의의 수의 독립적으로 제어된 가열 존들을 포함할 수 있다는 것이 이해되어야 한다.
HRM (201) 은 또한 리프트 핀들 (405) 상에 존재할 때, 등에 및/또는 기판 지지 구조체 (407) 상에 존재할 때 화살표들 415로 나타낸 바와 같이, 기판 (102) 을 향해 복사 열을 지향시키도록, 기판 지지 구조체 (407) 외부 그리고 프로세싱 영역 (403) 내에 배치된 하나 이상의 복사 가열 디바이스(들) (411) 를 포함할 수 있다. 다양한 실시예들에서, 하나 이상의 복사 가열 디바이스(들) (411) 는 LED(들) (light emitting diode(s)), 및/또는 할로겐 벌브들, 및/또는 백열 벌브들, 및/또는 적외선 램프들, 및/또는 제어된 방식으로 복사 열을 방출할 수 있는 다른 타입들의 디바이스들일 수 있다. 적어도 하나의 가열 디바이스 (409) 및 하나 이상의 복사 가열 디바이스(들) (411) 는 할로겐 관리 프로세스에 의해 요구되는 적어도 하나의 가열 디바이스 (409) 및 하나 이상의 복사 가열 디바이스(들) (411) 의 동작을 지시하도록 프로그램된 제어 시스템과 함께, 제어 시스템에 연결된다는 것이 이해되어야 한다. 또한, 적어도 하나의 가열 디바이스 (409) 및 하나 이상의 복사 가열 디바이스(들) (411) 는 프로세싱 영역 (403) 내 기판 (102) 의 온도 제어를 제공하도록 배치된다는 것이 이해되어야 한다. 또한, 다양한 실시예들에서, HRM (201) 은 하나 이상의 복사 가열 디바이스(들) (411) 가 아니라 적어도 하나의 가열 디바이스 (409) 만 또는 적어도 하나의 가열 디바이스 (409) 가 아니라 하나 이상의 복사 가열 디바이스(들) (411) 만, 또는 적어도 하나의 가열 디바이스 (409) 및 하나 이상의 복사 가열 디바이스(들) (411) 모두 포함할 수 있다.
HRM (201) 은 또한 다수의 가스 포트들 (413) 을 포함한다. 미리 결정된 시간에, 가스 포트들 (413) 각각은 가스 포트들 (413) 에 연결된 하나 이상의 밸브들을 동작시킴으로써 (화살표들 417로 나타낸 바와 같은) 가스 입력부 또는 (화살표들 419로 나타낸 바와 같은) 가스 출력부로서 동작될 수 있다. 미리 결정된 시간에, 가스 포트들 (413) 중 적어도 하나는 프로세싱 영역 (403) 으로 하나 이상의 가스들을 공급하기 위한 적어도 하나의 가스 입력부를 제공하고, 가스 포트들 (413) 중 적어도 하나는 프로세싱 영역 (403) 으로부터 가스들을 배기하기 위한 적어도 하나의 가스 출력부를 제공한다. 다양한 실시예들에서, 가스 포트들 (413) 은 프로세싱 영역으로 단일 가스 또는 가스들의 조합을 공급하도록 동작될 수 있다. 다양한 실시예들에서, 하나 이상의 가스 포트들 (413) 은 산소 가스, 질소 가스, 공기 및/또는 다른 프로세스 가스를 프로세싱 영역 (403) 으로 공급하도록 연결될 수 있다. 또한, 다양한 실시예들에서, 가스 포트들 (413) 은 본질적으로 임의의 공간적 구성으로 프로세싱 영역 (403) 둘레에 위치될 수 있다. 일부 실시예들에서, 가스 포트들 (413) 중 하나 이상은 EFEM (109) 내 분위기에 유체로 연결될 수 있다.
일부 실시예들에서, 미리 결정된 시간에, 기판 (102) 에 대해 프로세싱 영역 (403) 을 통한 목표된 가스 플로우 패턴을 확립하도록, 즉, 기판 (102) 에 걸친 제어된 가스 플로우 프로파일을 제공하도록 가스 포트들 (413) 중 일부는 가스 입력부(들)로서 동작될 수 있고 가스 포트들 (413) 중 일부는 가스 출력부(들)로서 동작될 수 있다. 예를 들어, 일부 실시예들에서, HRM (201) 의 전면부 상에 위치된 가스 포트들 (413) 은 가스 입력부들로서 동작될 수 있고 HRM (201) 의 후면부 상에 위치된 가스 포트들 (413) 은 배기를 위한 가스 출력부들로서 동작될 수 있다. 또 다른 예로서, 일부 실시예들에서, HRM (201) 의 상단에 위치된 가스 포트들 (413) 은 가스 입력부들로서 동작될 수 있고, HRM (201) 의 하단에 위치된 가스 포트들 (413) 은 배기를 위한 가스 출력부들로서 동작될 수 있다. 미리 결정된 시간에 HRM (201) 의 프로세싱 영역 (403) 을 통해 목표된 입력 가스 플로우 패턴을 생성하도록, 다양한 가스 포트들 (413) 은 가스 입력부들 또는 가스 출력부들로서 동작하도록 제어될 수 있다는 것이 이해되어야 한다. 또한, 기판 (102) 에 대한 입력 가스 플로우들의 공간 분포는 상이한 실시예들에서 가변할 수 있다. 예를 들어, 일부 실시예들에서, 기판 (102) 에 대한 입력 가스 플로우들의 공간 분포는 보다 고 농도의 할로겐 재료(들)를 포함하는 것으로 공지된, 기판 (102) 의 부분들 상으로 보다 많은 입력 가스 플로우를 지향시키도록 최적화될 수 있다. 그리고, 일부 실시예들에서, 기판 (102) 에 대한 입력 가스 플로우들의 공간 분포는 (102) 전체가 입력 가스 플로우의 일부에 노출되는 한, 고려되지 않을 수도 있다. 도 4a 도시된 바와 같은 가스 포트들 (413) 의 공간적 구성은 일부 실시예들에 따라 예로서 제공되고 다른 실시예들을 한정하는 것으로 의도되지 않는다는 것이 이해되어야 한다.
또한, 일부 실시예들에서, HRM (201) 은 하나 이상의 가스 포트들 (413) 로부터의 입력 가스 공급을 수용하고 규정된 공간적 방식으로, 예컨대 기판 (102) 에 걸쳐 실질적으로 균일한 방식으로 또는 기판 (102) 에 걸쳐 교차 방식 (crossflow) 으로 또는 기판 (102) 위에서 중심-주변 방식으로, 또는 일부 다른 공간적 방식으로 기판 (102) 을 향해 입력 가스를 디스펜싱하도록 구성된 가스 디스펜싱 디바이스 (431) 를 포함할 수 있다. 도 6은 본 발명의 일부 실시예들에 따른, 가스 디스펜싱 디바이스 (431) 를 통한 수평 단면도를 도시한다. 도 6의 가스 디스펜싱 디바이스 (431) 는 다수의 가스 채널들 (601A 내지 601F) 을 포함한다. 일부 실시예들에서, 가스 채널들 (601A 내지 601F) 은 도 6에 도시된 바와 같이, 환형 채널들로 규정된다. 그러나, 다른 실시예들에서, 가스 채널들 (601A 내지 601F) 은 다른, 비환형일 수 있고, 가스 디스펜싱 디바이스 (431) 에 걸쳐 상이한 배열들로 분포될 수 있다. 또한, 다양한 실시예들에서, 가스 디스펜싱 디바이스 (431) 는 도 6의 예에서, 가스 채널들 (601A 내지 601F) 에 대해 도시된 것보다 많거나 보다 적은 가스 채널들을 가질 수 있다. 일부 실시예들에서, 가스 디스펜싱 디바이스 (431) 의 가스 채널들은 가스 디스펜싱 디바이스 (431) 의 하측 (기판 (102) 대면측) 상의 개별 포트들로서 구성된다. 또한, 일부 실시예들에서, 가스 디스펜싱 디바이스 (431) 의 하나 이상의 가스 채널들 (601A 내지 601F) 은 하나 이상의 가스 포트들 (413) 에 의해 배기부로 연결될 수 있는 한편, 가스 디스펜싱 디바이스 (431) 의 하나 이상의 가스 채널들 (601A 내지 601F) 중 다른 채널들은 하나 이상의 가스 포트들 (413) 에 의해 가스 입력 공급부에 연결된다. 예를 들어, 일부 실시예들에서, 도 6의 가스 디스펜싱 디바이스 (431) 의 가스 채널들 (601A, 601C, 및 601E) 은 하나 이상의 가스 포트들 (413) 에 의해 배기부에 연결되고, 가스 채널들 (601B, 601D, 및 601F) 은 하나 이상의 가스 포트들 (413) 에 의해 가스 입력 공급부에 연결된다.
또한, 일부 실시예들에서, 하나 이상의 복사 가열 디바이스(들) (411) 및 가스 디스펜싱 디바이스 (431) 는 동일한 디바이스 내로 함께 통합될 수 있다. 예를 들어, 도 7은 본 발명의 일부 실시예들에 따른, 통합된 가열 및 가스 디스펜싱 디바이스 (411/431) 를 통한 수평 단면도를 도시한다. 통합된 가열 및 가스 디스펜싱 디바이스 (411/431) 는 다수의 가스 채널들 (703A 내지 703C) 및 가스 채널들 (703A 내지 703C) 사이에 배치된 다수의 복사 가열 디바이스들 (701) 을 포함한다. 일부 실시예들에서, 하나 이상의 가스 채널들 (703A 내지 703C) 이 하나 이상의 가스 포트들 (413) 에 의해 배기부에 연결될 수 있는 한편, 하나 이상의 가스 채널들 (703A 내지 703C) 중 다른 채널들은 하나 이상의 가스 포트들 (413) 에 의해 가스 입력 공급부에 연결된다. 다양한 실시예들에서, 복사 가열 디바이스들 (701) 은 LED들, 및/또는 할로겐 벌브들, 및/또는 백열 벌브들, 및/또는 적외선 램프들, 및/또는 제어된 방식으로 복사 열을 방출할 수 있는 다른 타입들의 디바이스들일 수 있다.
HRM (201) 은 또한 프로세싱 영역 (403) 에 연결되고 프로세싱 영역 (403) 내 상대 습도를 제어하도록 구성된 습도 제어 디바이스 (423) 를 갖는다. 일부 실시예들에서, 습도 제어 디바이스 (423) 는 하나 이상의 가스 포트들 (413) 을 통해 전달된 가스의 상대 습도의 컨디셔닝을 제공하도록 하나 이상의 가스 포트들 (413) 로의 가스 공급부와 인라인 연결된다. 일부 실시예들에서, 습도 제어 디바이스 (423) 는 프로세싱 영역 (403) 내에 직접 배치된다. 습도 제어 디바이스 (423) 는 할로겐 관리 프로세스에 의해 요구된 습도 제어 디바이스 (423) 의 동작을 지시하도록 프로그램된 제어 시스템과 함께, 제어 시스템에 연결된다는 것이 이해되어야 한다. 또한, 일부 실시예들에서 HRM (201) 은 복수의 습도 제어 디바이스들 (423) 을 포함할 수 있다는 것이 이해되어야 한다.
HRM (201) 은 또한 다수의 프로세싱 영역 (403) 내 및/또는 기판 (102) 직상 및/또는 기판 지지 구조체 (407) 상에서 온도, 압력, 상대 습도, 또는 임의의 다른 측정가능한 파라미터 중 하나 이상을 측정하기 위한 다수의 센서들 (421) 을 내부에 가질 수 있다. 부가적으로, HRM (201) 은 챔버 (401) 의 표면들 상에 할로겐 재료들 및/또는 다른 부산물 재료들의 구축을 방지 및/또는 완화하기 위해 프로세싱 영역 (403) 에 노출된 챔버 (401) 의 표면들을 가열하도록 배치된 하나 이상의 가열 디바이스들 (425) 을 포함할 수 있다. 또한, 일부 실시예들에서, 하나 이상의 가열 디바이스들 (425) 은 프로세싱 영역 (403) 내의 가스들의 대류 가열에 기여하도록 챔버 (401) 의 벽들을 가열하게 동작될 수 있다. 다양한 실시예들에서, 하나 이상의 가열 디바이스들 (425) 은 전기 저항 가열 디바이스, 복사 가열 디바이스, 또는 이들의 조합이다. 하나 이상의 가열 디바이스들 (425) 은 할로겐 관리 프로세스에 의해 요구되는 하나 이상의 가열 디바이스들 (425) 의 동작을 지시하도록 프로그램된 제어 시스템과 함께, 제어 시스템에 연결된다.
도 8은 본 발명의 일부 실시예들에 따른, 가스 공급 시스템 (801) 및 배기 시스템 (803) 에 연결된 HRM (201) 의 시스템-레벨 도면을 도시한다. 가스 공급 시스템 (801) 은 공기 소스 (805), 질소 가스 소스 (807), 및 산소 가스 소스 (809) 를 포함한다. 일부 실시예들에서, 가스 공급 시스템 (801) 은 또한 다른 타입들의 가스들 또는 가스들의 조합들의 소스들을 포함할 수 있다. 일부 실시예들에서, 가스 공급 시스템 (801) 은 HRM (201) 으로의 루트의 습도 제어 디바이스 (423) 를 통해 임의의 프로세스 가스 (공기, 질소, 산소, 등) 의 각각의 소스로부터의 전달을 인에이블하도록 구성된다. 습도 제어 디바이스 (423) 는 HRM (201) 으로의 가스 플로우에 존재하는 수증기량을 제어하도록 구성된다. 또한, 가스 공급 시스템 (801) 은 습도 제어 디바이스 (423) 를 바이패스하도록, 임의의 프로세스 가스 (공기, 질소, 산소, 등) 의 각각의 소스로부터 직접적으로 HRM (201) 으로의 전달을 인에이블하도록 구성될 수 있다. 일부 실시예들에서, 임의의 프로세스 가스 (공기, 질소, 산소, 등) 의 소스로부터 HRM (201) 으로의 직접적인 또는 습도 제어 디바이스 (423) 에 의한 제공은 미리 결정된 할로겐 관리 프로세스를 위해 프로그램적으로 선택될 수 있다. 예를 들어, 미리 결정된 할로겐 관리 프로세스의 일 단계는 산소 가스 소스 (809) 로부터 HRM (201) 으로 직접적으로 산소의 플로우를 필요로 할 수도 있지만, 미리 결정된 할로겐 관리 프로세스의 또 다른 단계는 HRM (201) 로의 루트의 습도 제어 디바이스 (423) 를 통해 산소의 플로우를 필요로 한다. 또한, 임의의 프로세스 가스 소스 (공기 소스 (805), 질소 가스 소스 (807), 산소 가스 소스 (809), 등) 로부터 직접적으로 또는 습도 제어 디바이스 (423) 에 의한 HRM (201) 으로의 입력 가스 플로우들이 미리 결정된 시간에 프로그램적으로 선택가능한 방식으로 HRM (201) 의 임의의 하나 이상의 가스 포트들 (413) 로 지향될 수 있다는 것이 이해되어야 한다. 그리고 HRM (201) 의 임의의 하나 이상의 가스 포트들 (413) 은 미리 결정된 시간에 프로그램적으로 선택가능한 방식으로 배기 시스템 (803) 에 연결될 수 있다. 더욱이, HRM (201) 로의 다양한 프로세스 가스 플로우들은 필요에 따라 필터링될 수 있고 온도와 관련하여 컨디셔닝될 수 있다는 것이 이해되어야 한다.
도 9는 본 발명의 일부 실시예들에 따른, 제어 시스템 (901) 에 연결된 HRM (201) 의 시스템-레벨 도면을 도시한다. 제어 시스템은 도 8과 관련하여 기술된 바와 같이, 가스 공급 시스템 (801) 을 제어하도록 구성되고 연결된다. 구체적으로, 제어 시스템 (901) 은 미리 결정된 시간에 HRM (201) 으로의 임의의 프로세스 가스의 플로우를 제어하고 공급된 프로세스 가스의 습도, 및/또는 온도, 및/또는 필터링, 및/또는 임의의 다른 파라미터와 관련하여 공급된 프로세스 가스의 조건을 제어하도록 구성되고 연결된다. 제어 시스템 (901) 은 또한 HRM (201) 의 하나 이상의 가스 포트들 (413) 중 어느 가스 포트가 미리 결정된 시간에 프로세싱 영역 (403) 으로 프로세스 가스를 공급하도록 사용되는지, 그리고 공급된 프로세스 가스(들) 의 플로우 레이트를 제어하도록 구성되고 연결된다. 그리고, 유사하게, 제어 시스템 (901) 은 미리 결정된 시간에 HRM (201) 의 하나 이상의 가스 포트들 (413) 중 어느 가스 포트가 배기 시스템 (803) 에 연결되는지 제어하도록 구성되고 연결된다. 제어 시스템 (901) 은 또한 습도 제어 디바이스 (423), 하나 이상의 복사 가열 디바이스(들) (411), 적어도 하나의 가열 디바이스 (409), 가스 디스펜싱 디바이스 (431) (존재한다면), 챔버 (401) 벽들에 대한 하나 이상의 가열 디바이스들 (425), 리프트 핀들 (405), 도어 (203) (존재한다면), 및 HRM (201) 과 인터페이싱하는 임의의 다른 시스템을 제어하도록 구성되고 연결된다.
제어 시스템 (901) 은 또한 온도, 압력, 상대 습도, 또는 프로세싱 영역 (403) 내 및/또는 기판 (102) 직상 및/또는 기판 지지 구조체 (407) 상에서 임의의 다른 측정가능한 파라미터 중 하나 이상을 측정하기 위해 프로세싱 영역 (403) 내에 배치된 하나 이상의 센서들 (421) 로부터 입력 신호들을 수신하도록 구성되고 연결된다. 일부 실시예들에서, 제어 시스템 (901) 은, HRM (201) 내에서 기판 (102) 상에서 프로그래밍된 할로겐 관리 프로세스를 실행하기 위해 및/또는 미리 결정된 시간에 HRM (201) 을 사용하여 규정된 타깃 조건을 유지하기 위해, 센서들 (421) 로부터 수신된 입력 신호들을 프로세싱하고 가스 공급 시스템 (801), 배기 시스템 (803), 습도 제어 디바이스 (423), 가스 포트들 (413) 에 대한 밸브, 하나 이상의 복사 가열 디바이스(들) (411), 적어도 하나의 가열 디바이스 (409), 가스 디스펜싱 디바이스 (431) (존재한다면), 챔버 (401) 벽들에 대한 하나 이상의 가열 디바이스들 (425), 리프트 핀들 (405), 도어 (203) (존재한다면), 및 HRM (201) 와 인터페이싱하는 임의의 다른 시스템 중 하나 이상에 대해 폐루프 피드백 방식으로 제어 신호들을 생성/전달하도록 구성된다.
일부 실시예들에서, 제어 시스템 (901) 은 시간의 함수로서 기판 (102) 상에서 수행될 할로겐 관리 프로세스의 프로그래밍을 인에이블하기 위해 오퍼레이터 인터페이스 (903) 를 제공하도록 구성된다. 이하에 보다 상세히 논의된 바와 같이, 일부 실시예들에서, 할로겐 관리 프로세스는 상온 (20 ℃ 내지 26 ℃) 에서 또는 거의 상온에서 수행된 제 1 페이즈 및 상승된 온도 (60 ℃ 내지 200 ℃) 에서 수행된 제 2 페이즈를 포함한다. 제 1 페이즈 및 제 2 페이즈 각각은 임의의 수의 단계들을 포함하도록 프로그래밍될 수 있다. 도 9의 예에서, 제 1 페이즈는 2 단계들을 포함하고 제 2 페이즈는 2 단계들을 포함한다. 페이즈 각각의 단계 각각은 프로그래밍된 플로우 레이트들로 하나 이상의 프로세스 가스들을 사용하도록 프로그래밍될 수 있다. 또한, 페이즈 각각의 단계 각각은 프로세싱 영역 (403) 내에서 규정된 습도, 온도, 및 압력이 유지되도록 프로그래밍될 수 있다. 부가적으로, 다른 실시예들에서, 페이즈 각각의 단계 각각은 이로 제한되는 것은 아니지만, 다른 것들 중에서, 적어도 하나의 가열 디바이스 (409) (및 적용가능하다면 가열 디바이스의 존 각각), 하나 이상의 복사 가열 디바이스(들) (411) (존재한다면), 가스 디스펜싱 디바이스 (존재한다면), 가스 포트들 (413) 중 어느 가스 포트가 가스 입력부들로서 사용되는지, 가스 포트들 (413) 중 어느 가스 포트가 가스 출력부들 (배기) 로서 사용되는지, 챔버 (401) 벽들에 대한 하나 이상의 가열 디바이스들 (425) 의 온도 설정을 포함하는 HRM (201) 의 다른 파라미터들을 제어하도록 프로그래밍될 수 있다. 또한, 오퍼레이터 인터페이스 (903) 는 실시간 온도, 실시간 압력, 실시간 상대 습도, 실시간 가스 플로우 레이트, 등과 같은 HRM (201) 내에서 수행될 할로겐 관리 프로세스의 임의의 양태의 실시간 모니터링을 인에이블하기 위해 다양한 데이터 값들을 전달하도록 구성될 수 있다.
상기 기술된 HRM (201) 은 한번에 일 기판 (102) 을 프로세싱하도록 구성되는 한편, HRM (201) 은 미리 결정된 시간에 복수의 기판들을 프로세싱하도록 연장될 수 있다는 것이 이해되어야 한다. 도 10은 본 발명의 일부 실시예들에 따른, 한번에 복수의 기판들을 프로세싱하도록 구성된 HRM (201A) 을 통한 수직 단면도를 도시한다. HRM (201A) 은 본질적으로 수직으로 스택된 구성의 HRM (201) 의 내부 컴포넌트들의 복수의 예들을 포함한다. 가스 포트들 (413) 은 페데스탈 (407) 위치 각각에서 기판 (102) 각각에 걸쳐 프로세스 가스(들)를 흘리도록 제어될 수 있다. 다양한 실시예들에서 HRM (201A) 은 미리 결정된 시간에 2 이상의 기판들 (102) 을 수용하도록 구성될 수 있다는 것이 이해되어야 한다. 예시적인 실시예에서, HRM (201A) 은 미리 결정된 시간에 2 개의 기판들 (102) 을 수용하도록 구성된다. 또 다른 예시적인 실시예에서, 도 10에 도시된 바와 같이, HRM (201A) 은 미리 결정된 시간에 3 개의 기판들 (102) 을 수용하도록 구성된다. 또 다른 예시적인 실시예에서, HRM (201A) 은 미리 결정된 시간에 2 내지 5 개의 기판들 (102) 을 수용하도록 구성된다. 또 다른 예시적인 실시예에서, HRM (201A) 은 미리 결정된 시간에 2 내지 10 개의 기판들 (102) 을 수용하도록 구성된다. 그러나, 할로겐 관리 프로세스를 위해 복수의 기판들 (102) 이 HRM (201A) 내에 위치될 때, 복수의 기판들 (102) 이 할로겐 관리 프로세스 동안 실질적으로 동시에 그리고 동등한 방식으로 프로세싱되도록, 할로겐 관리 프로세스의 시작으로부터 할로겐 관리 프로세스의 종료까지 복수의 기판들 (102) 이 HRM (201A) 내에 존재한다는 것이 이해되어야 한다.
도 11은 본 발명의 일부 실시예들에 따른, 한번에 복수의 기판들 (102) 을 프로세싱하도록 구성된 또 다른 HRM (201A') 을 통한 수직 단면도를 도시한다. HRM (201A') 에서, 기판 지지 위치 각각에서 기판 지지 구조체는 기판 (102) 의 주변 에지 영역을 따라 기판 (102) 을 지지하도록 구성된 에지 지지체 (1101) 이다. 도 11의 HRM (201A') 의 예에서, 에지 지지체들 (1101) 은 도 10의 HRM (201A) 내에 도시된 바와 같이 페데스탈들 (407) 대신 사용된다. 일부 실시예들에서 HRM (201A/201A') 은 페데스탈들 (407), 또는 에지 지지체들 (1101), 또는 페데스탈들 (407) 과 에지 지지체들 (1101) 의 조합을 포함할 수 있다는 것이 이해되어야 한다. 또한, 에지 지지체 (1101) 는 기판 (102) 이 HRM (201A') 내의 위치에 안전하게 홀딩되는 한, 그리고 기판 (102) 이 하나 이상의 복사 가열 디바이스(들) (411) 로부터 방출되는 복사 열에 노출되는 한, 그리고 기판 (102) 이 하나 이상의 가스 포트들 (413) 에 의해 프로세싱 영역 (403) 내로 도입된 프로세스 가스(들)의 플로우에 노출되는 한, 기판 (102) 을 지지하도록 다양한 방식들로 구성될 수 있다는 것이 이해되어야 한다. 다양한 실시예들에서 HRM (201A') 은 미리 결정된 시간에 2 이상의 기판들 (102) 을 수용하도록 구성될 수 있다는 것이 이해되어야 한다. 예시적인 실시예에서, HRM (201A') 은 미리 결정된 시간에 2 개의 기판들 (102) 을 수용하도록 구성된다. 또 다른 예시적인 실시예에서, 도 11에 도시된 바와 같이, HRM (201A') 은 미리 결정된 시간에 4 개의 기판들 (102) 을 수용하도록 구성된다. 또 다른 예시적인 실시예에서, HRM (201A') 은 미리 결정된 시간에 2 내지 5 개의 기판들 (102) 을 수용하도록 구성된다. 또 다른 예시적인 실시예에서, HRM (201A') 은 미리 결정된 시간에 2 내지 10 개의 기판들 (102) 을 수용하도록 구성된다. 그러나, 할로겐 관리 프로세스를 위해 복수의 기판들 (102) 이 HRM (201A') 내에 위치될 때, 할로겐 관리 프로세스 동안 실질적으로 동시에 그리고 동등한 방식으로 프로세싱되도록, 할로겐 관리 프로세스의 시작으로부터 할로겐 관리 프로세스의 종료까지 복수의 기판들 (102) 이 HRM (201A') 내에 존재한다는 것이 이해되어야 한다.
본 명세서에 기재된 바와 같이, HRM (201/201A/201A') 은 상이한 실시예들에서 상이한 방식들로 구성될 수 있지만, 구성 각각에서, HRM (201/201A/201A') 은 대기압 분위기에서 기판들 (102) 을 조종하도록 구성된 기판 핸들링 모듈, 예컨대 EFEM (109) 으로부터 액세스가능한 제어된 프로세싱 영역 (403) 을 제공하도록 규정된다. 또한, HRM (201/201A/201A') 은 내부에 위치되고 지지된 기판(들) (102) 이 기판(들) (102) 이 하나 이상의 프로세스 가스(들) (예컨대 산소, 질소, 공기, 등) 의 제어된 플로우에 노출되는 할로겐 관리 프로세스를 겪도록 구성되는 한편, 기판(들) (102) 이 노출되는 온도 및 상대 습도를 제어한다. 다양한 실시예들에서, HRM (201/201A/201A') 은 하나 이상의 기판(들) (102) 으로부터 잔류 할로겐 재료들의 제거를 최적화하기 위해 동일한 모듈 내에서 제어된 양의 수증기 압력, 및 온도와 조합하여, 제어된 양의 산소 가스 플로우 및/또는 질소 가스 플로우 및/또는 공기 플로우를 사용한다. HRM (201/201A/201A') 내에서 수행된 할로겐 관리 프로세스는 기판 (102) 제조 쓰루풋 또는 용량에 영향을 주지 않고 임의의 타입의 기판 (102) 및 임의의 타입의 할로겐 재료(들)의 구체적인 할로겐 재료 제거 요건들을 서술하도록 다단계 레시피로서 규정될 수 있다.
HRM (201/201A/201A') 을 사용하여 수행된 할로겐 관리 프로세스들에 관하여 고려된 주 할로겐 재료들은 불소, 염소 및 브롬을 포함한다. 기판 (102) 으로부터 불소를 제거하기 위해 기판 (102) 이 물 및/또는 수증기에 노출되어야 한다고 결정된다. 그러나, 기판 (102) 의 온도를 상승시키는 것이 기판 (102) 으로부터 브롬의 제거에 유리하고, 기판 (102) 으로부터 브롬의 완전한 제거를 위해 필요하다. 기판 (102) 이 상온 (23 ℃) 의 (소정의 양의 수증기를 갖는 공기를 포함하는) 대기 공간에 놓이게 된다면, 기판 (102) 내 불소는 기판 (102) 내에서 (0이 아닌) 점근성 값에 약 15 분 내에 도달하도록 가스 배출될 것이고, 기판 (102) 내 염소는 기판 (102) 내에서 (0이 아닌) 점근성 값에 약 9 분 내에 도달하도록 가스 배출될 것이고, 기판 (102) 내 브롬은 상온에서 가스 배출 한계 (0이 아닌) 에 약 3 분 내에 도달하도록 가스 배출될 것이다. 기판 (102) 의 열 및 물/수증기 및/또는 산소로의 노출은 상온에서 가스 배출 한계를 넘어 기판 (102) 으로부터 가스 배출될 브롬을 입수하는데 필요하다. 즉, 기판 (102) 의 온도는 상온에서 가스 배출 한계를 넘어 기판 (102) 으로부터 가스 배출될 브롬을 입수하도록 기판 (102) 을 수증기 및/또는 산소에 노출하는 동안 상승되어야 한다. 또한, 할로겐 관리 프로세스가 필요하다면, 매우 작고 취약한 구조들이 반도체 디바이스 제조의 다양한 스테이지들에서 기판 (102) 상에 노출될 수 있다. 따라서, 기판 (102) 상의 이들 매우 작고 취약한 구조체들이 손상될 가능성으로 인해, 할로겐 관리 프로세스 동안 벌크 액체 물에 기판 (102) 을 노출하는 것은 바람직하지 않다.
일부 상황들에서, EFEM (109) 은 내부 주변 분위기 내에 매우 적은 (심지어 0) 양의 수증기를 갖도록 동작될 수 있다. 이들 상황들에서, 할로겐 재료들은 기판 (102) 의 수증기로의 노출이 없어서 기판 (102) 으로부터 가스 배출되지 않을 것이다. EFEM (109) 의 내부 주변 분위기의 수증기 결여는 EFEM (109) 및 인터페이스 장비를 부식으로부터 보호하는 것을 도울 수 있지만, 기판 (102) 이 EFEM (109) 으로부터 이동될 때까지 기판 (102) 으로부터 할로겐 재료들의 가스 배출을 허용하지 않는다. 할로겐 재료들이 수소와 강산 화합물들을 형성하는 반응성 비금속 엘리먼트들이기 때문에, 단순 염들의 형성을 야기하고, 제조 설비 내에서 기판 (102) 으로부터 할로겐 재료들의 관리되지 않은 가스 배출은, 그중에서도 부식, 오염, 및 미립자 형성을 포함하는 많은 문제들을 야기할 수 있다. 따라서, EFEM (109) 내에서 액세스가능한 HRM (201/201A/201A') 을 가짐으로써, 제어된 할로겐 관리 프로세스는 제조를 계속하기 위해 기판 (102) 이 EFEM (109) 을 떠나기 전에 HRM (201/201A/201A') 내의 기판 (102) 상에서 수행될 수 있다는 것이 인식되어야 한다.
또한, EFEM (109) 의 내부 주변 분위기의 압력보다 약간 낮은 압력에서 HRM (201/201A/201A') 을 동작시킴으로써, HRM (201/201A/201A') 내에서 수행된 할로겐 관리 프로세스로부터의 부산물들은 EFEM (109) 내로 들어가는 것이 방지될 수 있다. 예를 들어, EFEM (109) 의 내부 주변 분위기가 대기압 (760 Torr) 으로 유지되면, HRM (201/201A/201A') 내 압력은 EFEM (109) 으로부터 HRM (201/201A/201A') 내로 분위기 가스(들)의 비지향성 플로우를 보장하도록 760 Torr 미만, 약 755 Torr으로 유지될 수 있다. 일부 실시예들에서, 배기 시스템 (803) 은 EFEM (109) 에 상대적으로 HRM (201/201A/201A') 내에서 약간 네거티브 압력을 유지하도록 동작할 수 있다.
일부 실시예들에서, HRM (201/201A/201A') 은 HRM (201/201A/201A') 내의 프로세싱 영역 (403) 과 EFEM (109) 내 분위기 사이에 시일링을 제공하도록 구성된 도어 (203) 를 포함한다. 이들 실시예들에서, HRM (201/201A/201A') 내 압력을 EFEM (109) 내 압력보다 높게 상승시키는 것이 가능하다. 일부 실시예들에서, 프로세싱 영역 (403) 으로 공급된 가스(들)는 프로세싱 영역 (403) 내 압력의 상승을 유발하도록 프로세싱 영역 (403) 으로부터의 배기에 상대적으로 제어될 수 있다. 이들 실시예들에서, HRM (201/201A/201A') 내에서 기판 (102) 의 프로세싱 동안, EFEM (109) 내 분위기와 HRM (201/201A/201A') 내 프로세싱 영역 (403) 사이에서 가스 교환이 발생하지 않을 것이고, 프로세싱 영역 (403) 내 가스(들)는 가스 포트들 (413) 중 하나 이상을 통해 HRM (201/201A/201A') 으로부터 제거될 것이다.
도 12는 본 발명의 일부 실시예들에 따른, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법의 플로우차트를 도시한다. 일부 실시예들에서, 방법은 대기압 분위기 내에서 기판들을 조종하도록 구성된 기판 핸들링 모듈로부터 직접적으로 액세스가능한 챔버 내에서 수행된다. 예를 들어, 일부 실시예들에서, 방법은 HRM (201/201A/201A') 내에서 수행된다. 그리고, 일부 실시예들에서, HRM (201/201A/201A') 은 EFEM (109) 으로부터 액세스가능한 위치에 배치될 수 있다. 방법은 제 1 시간 기간 동안, 기판에 노출되는 상대 습도를 약 100 %로 유지하는 동안, 그리고 기판의 온도를 약 20 ℃로부터 약 26 ℃로 연장하는 범위 내에서 유지하는 동안 기판이 적어도 하나의 가스의 플로우에 노출되는 제 1 동작 1201을 포함한다. 일부 실시예들에서, 제 1 시간 기간 동안 기판의 온도는 약 23 ℃로 유지된다. 일부 실시예들에서, 제 1 시간 기간은 기판으로부터 불소의 실질적으로 완전한 가스 배출을 가능하게 하도록 설정된다. 일부 실시예들에서, 제 1 시간 기간은 약 2 분 내지 약 15 분이다. 일부 실시예들에서, 제 1 시간 기간은 약 10 분이다.
일부 실시예들에서, 제 1 시간 기간에 대한 적어도 하나의 가스는 산소, 질소, 및 공기 중 하나 이상이다. 그러나, 다른 실시예들에서, 제 1 시간 기간에 대한 적어도 하나의 가스는 기판 및 기판 상에 존재하는 피처들에 손상을 유발하는 것을 방지하도록 기판으로부터 잔류 할로겐 재료들의 용이한 제거에 효과적이고 기판 상에 존재하는 재료들과 화학적으로 양립가능한 임의의 타입의 가스일 수 있다는 것이 이해되어야 한다. 일부 실시예들에서, 제 1 시간 기간 동안 적어도 하나의 가스의 플로우레이트는 약 60 slm (standard liters per minute) 으로부터 약 200 slm으로 연장하는 범위 내이다.
방법은 또한 동작 1201의 제 1 시간 기간에 이어지는 제 2 시간 기간 동안, 기판에 노출되는 상대 습도를 약 50 %로부터 약 100 %로 연장하는 범위 내로 유지하는 동안, 그리고 기판의 온도를 약 60 ℃로부터 약 200 ℃로 연장하는 범위 내에서 유지하는 동안 기판이 적어도 하나의 가스의 플로우에 노출되는 제 2 동작 1203을 포함한다. 일부 실시예들에서, 제 2 시간 기간은 기판으로부터 브롬 및 염소의 실질적으로 완전한 가스 배출을 가능하게 하도록 설정된다. 동작 1201의 제 1 시간 기간 및 동작 1203의 제 2 시간 기간 모두 동안 기판은 동일한 프로세싱 분위기에서 유지되는 것이 이해되고 인식되어야 한다. 일부 실시예들에서, 제 2 시간 기간은 약 2 분 내지 약 15 분이다. 일부 실시예들에서, 제 2 시간 기간은 약 5 분이다.
일부 실시예들에서, 제 2 시간 기간에 대한 적어도 하나의 가스는 산소, 질소, 및 공기 중 하나 이상이다. 그러나, 다른 실시예들에서, 제 2 시간 기간에 대한 적어도 하나의 가스는 기판 및 기판 상에 존재하는 피처들에 손상을 유발하는 것을 방지하도록 기판으로부터 잔류 할로겐 재료들의 용이한 제거에 효과적이고 기판 상에 존재하는 재료들과 화학적으로 양립가능한 임의의 타입의 가스일 수 있다는 것이 이해되어야 한다. 일부 실시예들에서, 제 1 시간 기간 동안 적어도 하나의 가스의 플로우레이트는 약 60 slm으로부터 약 200 slm으로 연장하는 범위 내이다. 일부 실시예들에서, 프로세싱 분위기 내 압력은 동작 1201의 제 1 시간 기간 및 동작 1203의 제 2 시간 기간 모두 동안 760 Torr 미만으로 유지된다. 일부 실시예들에서, 프로세싱 분위기 내 압력은 동작 1201의 제 1 시간 기간 및 동작 1203의 제 2 시간 기간 모두 동안 약 755 Torr로 유지된다. 일부 실시예들에서, 프로세싱 분위기 내 압력은 동작 1201의 제 1 시간 기간 및 동작 1203의 제 2 시간 기간 모두 동안 약 1500 Torr로 유지된다.
도 12의 방법은 2 페이즈들을갖는 할로겐 관리 프로세스를 나타내는 것으로 이해되어야 하고, 제 1 페이즈는 저온 온도, 예를 들어, 상온 (약 23 ℃) 에서 수행되고, 그리고 제 2 페이즈는 고온, 예를 들어, 약 60 ℃로부터 약 200 ℃로 연장하는 범위 내에서 수행된다. 따라서, 방법의 제 1 페이즈, 즉, 동작 1201은 상승된 기판 온도로 인한 불소-로킹 (fluorine-lock) 을 방지한다. 그리고, 제 1 페이즈의 지속기간은 기판으로부터 불소의 실질적으로 완전한 가스 배출을 허용하도록 규정된다. 이어서, 방법의 제 2 페이즈, 즉, 동작 1203은 기판으로부터 브롬을 인출하기 위해 상승된 온도의 기판을 사용하여 수행된다. 제 2 페이즈의 지속기간은 기판으로부터 브롬의 실질적으로 완전한 가스 배출을 허용하도록 규정된다. 염소는 제 1 페이즈 (동작 1201) 및 제 2 페이즈 (동작 1203) 모두 동안 기판으로부터 가스 배출된다는 것을 주의해야 한다. 따라서, 제 1 페이즈 및 제 2 페이즈의 총 지속기간, 즉, 동작 1201의 제 1 시간 기간과 동작 1203의 제 2 시간 기간의 합은 적어도 기판으로부터 염소의 실질적으로 완전한 가스 배출을 허용하기 충분하게 길어야 한다. 고온에서 제 2 페이즈 (동작 1203) 를 수행하기 전에 저온에서 제 1 페이즈 (동작 1201) 를 수행함으로써, 기판으로부터 잔류 불소를 실질적으로 완전한 방식으로 제거하는 것이 가능하다는 것이 인식되어야 한다.
일부 실시예들에서, 할로겐 관리 프로세스는 상기 논의된 제 1 페이즈 및 제 2 페이즈 대신, 또는 상기 논의된 제 1 페이즈 및/또는 제 2 페이즈에 부가하여 단일의 결합된 페이즈를 포함할 수 있다. 일부 실시예들에서, 단일의 결합된 페이즈는 약 80 ℃로부터 약 100 ℃로 연장하는 범위 내의 온도 및 약 70 %으로부터 약 100 %로 연장하는 범위 내의 상대 습도에서 수행된다. 예를 들어, 일부 실시예들에서, HRM (201/201A/201A') 은 문제의 특정한 할로겐을 완전히 탈기하기 위해, 특정한 양의 시간 동안 기판 (102) 상에서 동작 1201만을 수행하도록 사용될 수 있다. 그리고, 일부 실시예들에서, HRM (201/201A/201A') 은 문제의 특정한 할로겐을 완전히 탈기하기 위해, 특정한 양의 시간 동안 기판 (102) 상에서 동작 1203만을 수행하도록 사용될 수 있다.
본 명세서에 기재된 HRM (201/201A/201A') 및 시스템들 및 방법들에 전에, 공통 프로세싱 영역 내에서 고려되는 모든 할로겐 타입들 (불소, 염소 및 브롬) 을 관리하기 위한 단일 솔루션이 없었다. 일부 이전의 시도들은 잔류 할로겐 재료들을 관리할 때 진공 이송 모듈 (101) 에 연결된 SRD (spin/rinse/dry) 프로세싱 모듈을 활용하였다. 그러나, SRD의 사용은 다수의 이유들로 최적이지 않다. 예를 들어, SRD에서 벌크 액체 물로의 기판의 노출은 기판 상에 존재하는 취약한 재료들 및 구조체들을 손상시킬 가능성을 상당히 상승시킨다. 또한, SRD는 이송 모듈 (101) 의 패싯을 차지하고, 이송 모듈 (101) 에 보다 많은 필요한 프로세스 모듈의 연결을 방지하고, 결국 기판 제조 쓰루풋 및 용량에 부정적인 영향을 준다. 유사하게, 다른 이전의 시도들은 이송 모듈 (101) 로의 프로세스 모듈의 연결에 포함된 잔류 할로겐 재료들을 관리할 때, 다시 기판 제조 쓰루풋 및 용량에 부정적으로 영향을 준다. 예를 들어, 잔류 할로겐 재료들을 관리할 때 사용하기 위해 이송 모듈 (101) 로 마이크로파 스트립퍼 프로세스 모듈의 연결은 다른 필요한 프로세스 모듈들의 연결을 위해 이송 모듈 (101) 상에서 가용한 패싯들의 수를 감소시키고, 이는 기판 제조 쓰루풋을 감소시킨다.
또한, 잔류 할로겐 재료들의 관리를 목적으로 프로세스 모듈 (105A 내지 105D) 의 이송 모듈 (101) 로의 연결은 프로세스 모듈이 비진공 조건들 하에서 동작해야 하고 항상 나중에 이송 모듈 (101) 로 프로세스 모듈의 내부의 노출을 허용하도록 (시간이 걸리는) 진공으로 다시 펌핑다운될 것이기 때문에 비효율적이다. 더욱이, 단순히 기판들로부터 할로겐 재료들의 가스 배출을 허용하기 위해 기판들이 휴지되도록 (rest) EFEM (109) 내의 버퍼 스테이션을 사용하는 것은 EFEM (109) 의 내부 주변 분위기 내의 할로겐 재료들의 릴리즈에 의해 유발된 부식 및 오염 문제들을 무시한다. 부가적으로, 기판들로부터 잔류 할로겐 재료들의 자연스런 가스 배출이 할로겐 관리에 의존한다면, EFEM (109) 의 버퍼 스테이션들은 기판들로부터 잔류 할로겐 재료들의 자연스런 가스 배출을 위해 필요한 긴 시간으로 인해 용인가능하지 않게 영향을 받을 것이고, 이는 기판 제조 쓰루풋에 부정적으로 영향을 준다는 것이 이해되어야 한다.
앞서 제공된 바와 같이, HRM (201/201A/201A') 이 이송 모듈 (101) 의 대기압 측에 연결되고, EFEM (109) 으로부터 액세스가능하기 때문에, HRM (201/201A/201A') 의 전개 및 사용은 기판 제조 쓰루풋에 부정적인 영향을 주지 않고, 사실, 기판 제조 쓰루풋을 개선한다는 것이 인식되어야 한다. 또한, HRM (201/201A/201A') 을 EFEM (109) 에 연결함으로써, HRM (201/201A/201A') 은 이송 모듈 (101) 의 패싯을 차지하지 않아, 기판 제조 쓰루풋을 개선한다는 것이 인식되어야 한다. 또한, HRM (201/201A/201A') 이 EFEM (109) 에 상대적으로 네거티브 압력에서 동작할 수 있기 때문에, HRM (201/201A/201A') 이 EFEM (109) 내에서 할로겐 노출을 최소화하도록 사용될 수 있다는 것이 인식되어야 한다. 또한, 일부 실시예들에서, HRM (201/201A/201A') 은 HRM (201/201A/201A') 내에서 기판 (102) 의 할로겐 관리 프로세싱 동안 EFEM (109) 으로부터 폐쇄될 수 있다. 그리고, 이들 실시예들에서, HRM (201/201A/201A') 은 EFEM (109) 내에서 할로겐 노출은 최소화하는 동안, EFEM (109) 에 상대적인 상승된 압력을 포함하여, 임의의 압력에서 동작될 수 있다.
본 명세서에 기재된 HRM (201/201A/201A') 및 연관된 시스템들 및 방법들은 하나 이상의 기판(들) 상에서 할로겐 관리 프로세스의 수행을 제공하고, 할로겐 관리 프로세스는 공통 프로세싱 영역 내에서 시간의 함수로서 복수의 프로세스 파라미터들의 변동을 포함할 수 있다. HRM (201/201A/201A') 이 EFEM (109) 으로부터 직접적으로 액세스가능한 위치에 배치될 수 있기 때문에, 제조 설비 내에서 HRM (201/201A/201A') 을 구현하는 것이 논리적으로 실현가능해진다. 또한, 본 명세서에 기재된 HRM (201/201A/201A') 및 연관된 시스템들 및 방법들은 임의의 타입의 기판 및 임의의 타입의 할로겐 재료의 관리를 위해 사용될 수 있다는 것이 이해되어야 한다. 본 명세서에 기재된 HRM (201/201A/201A') 및 연관된 시스템들 및 방법들은 또한 기판 제조 쓰루풋 및 용량을 상승시키는 동안 할로겐 관리와 연관된 전체 비용 및 복잡성을 감소시키도록 기능하는 할로겐 관리를 위해 강화된 방법을 제공한다.
전술한 발명은 이해의 명확성을 목적으로 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변화들 및 수정들이 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 발명은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않지만, 기술된 실시예들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (25)

  1. 프로세싱 영역을 둘러싸도록 형성된 챔버;
    상기 챔버 내로의 통로로서, 상기 프로세싱 영역 내로 기판의 진입 및 상기 프로세싱 영역으로부터 상기 기판의 인출을 제공하도록 구성되는, 상기 통로;
    상기 프로세싱 영역 내에 배치되고 상기 프로세싱 영역 내에서 상기 기판을 지지하도록 구성된 기판 지지 구조체;
    하나 이상의 가스들을 상기 프로세싱 영역으로 공급하도록 구성된 적어도 하나의 가스 입력부;
    상기 프로세싱 영역으로부터 가스들을 배기하도록 구성된 적어도 하나의 가스 출력부;
    상기 프로세싱 영역 내의 상대 습도를 제어하도록 구성된 습도 제어 디바이스; 및
    상기 프로세싱 영역 내에서 상기 기판의 온도 제어를 제공하도록 배치된 적어도 하나의 가열 디바이스를 포함하는, 할로겐 제거 모듈.
  2. 제 1 항에 있어서,
    상기 챔버는 미리 결정된 시간에 일 기판을 수용하고 프로세싱하도록 구성되는, 할로겐 제거 모듈.
  3. 제 1 항에 있어서,
    상기 챔버는 미리 결정된 시간에 복수의 기판들을 수용하고 프로세싱하도록 구성되는, 할로겐 제거 모듈.
  4. 제 1 항에 있어서,
    상기 챔버 외부 환경을 상기 프로세싱 영역으로부터 차폐하도록 구성된 도어를 더 포함하는, 할로겐 제거 모듈.
  5. 제 1 항에 있어서,
    상기 기판 지지 구조체는 페데스탈인, 할로겐 제거 모듈.
  6. 제 5 항에 있어서,
    상기 적어도 하나의 가열 디바이스는 상기 페데스탈 내에 배치된 저항 가열 디바이스인, 할로겐 제거 모듈.
  7. 제 1 항에 있어서,
    상기 기판 지지 구조체는 상기 기판의 주변 에지 영역을 따라 상기 기판을 지지하도록 구성된 에지 지지체인, 할로겐 제거 모듈.
  8. 제 7 항에 있어서,
    상기 적어도 하나의 가열 디바이스는 상기 에지 지지체 상에 존재할 때 상기 기판을 향해 복사열을 지향시키도록 배치된 복사 가열 디바이스인, 할로겐 제거 모듈.
  9. 제 1 항에 있어서,
    상기 적어도 하나의 가열 디바이스는 저항 가열 디바이스 및 복사 가열 디바이스 중 하나 이상을 포함하는, 할로겐 제거 모듈.
  10. 제 1 항에 있어서,
    상기 적어도 하나의 가스 입력부는 산소, 질소 및 공기 중 하나 이상의 공급부에 연결되는, 할로겐 제거 모듈.
  11. 제 1 항에 있어서,
    상기 적어도 하나의 가스 입력부 및 상기 적어도 하나의 가스 출력부는 상기 기판 지지 구조체 상에 존재할 때 상기 기판에 걸친 제어된 가스 플로우 프로파일을 제공하도록 구성되고 위치되는, 할로겐 제거 모듈.
  12. 제 1 항에 있어서,
    상기 습도 제어 디바이스는 상기 적어도 하나의 가스 입력부 중 하나 이상과 인라인 (in line) 연결되는, 할로겐 제거 모듈.
  13. 제 1 항에 있어서,
    상기 할로겐 제거 모듈은 대기압 분위기로부터 상기 프로세싱 영역으로의 상기 통로를 통한 액세스를 인에이블하도록 배치되는, 할로겐 제거 모듈.
  14. 제 1 항에 있어서,
    상기 프로세싱 영역에 노출된 상기 챔버의 표면들을 가열하도록 배치된 하나 이상의 가열 디바이스들을 더 포함하는, 할로겐 제거 모듈.
  15. 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법에 있어서,
    제 1 시간 기간 동안, 상기 기판에 노출된 상대 습도를 약 100 %로 유지하는 동안 그리고 상기 온도를 약 20 ℃로부터 약 26 ℃로 연장하는 범위 내로 유지하는 동안, 적어도 하나의 가스의 플로우에 상기 기판을 노출하는 단계로서, 상기 제 1 시간 기간은 상기 기판으로부터 불소의 가스 배출을 실질적으로 완료하게 하도록 설정되는, 상기 제 1 시간 기간 동안 상기 적어도 하나의 가스의 플로우에 상기 기판을 노출하는 단계; 및
    상기 제 1 시간 기간에 이어지는 제 2 시간 기간 동안, 상기 기판에 노출된 상대 습도를 약 50 %로부터 약 100 %로 연장하는 범위 내로 유지하는 동안 그리고 상기 기판의 온도를 약 60 ℃로부터 약 200 ℃로 연장하는 범위 내로 유지하는 동안 적어도 하나의 가스의 플로우에 상기 기판을 노출하는 단계로서, 상기 제 2 시간 기간은 상기 기판으로부터 브롬 및 염소 가스의 배출을 실질적으로 완료하게 하도록 설정되는, 상기 제 2 시간 기간 동안 상기 적어도 하나의 가스의 플로우에 상기 기판을 노출하는 단계를 포함하고,
    상기 기판은 상기 제 1 시간 기간 및 상기 제 2 시간 기간 모두 동안 동일한 프로세싱 분위기로 유지되는, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 제 1 시간 기간은 약 2 분 내지 약 15 분이고, 그리고 상기 제 2 시간 기간은 약 2 분 내지 약 15 분인, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  17. 제 15 항에 있어서,
    상기 제 1 시간 기간은 약 10 분인, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  18. 제 15 항에 있어서,
    상기 제 2 시간 기간은 약 5 분인, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  19. 제 15 항에 있어서,
    상기 제 1 시간 기간 동안 상기 적어도 하나의 가스는 산소, 질소, 및 공기 중 하나 이상이고, 그리고 상기 제 2 시간 기간 동안 상기 적어도 하나의 가스는 산소, 질소, 및 공기 중 하나 이상인, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  20. 제 15 항에 있어서,
    상기 제 1 시간 기간 동안 상기 적어도 하나의 가스의 플로우의 플로우 레이트는 약 60 slm (standard liters per minute) 으로부터 약 200 slm으로 연장하는 범위 내이고, 그리고 상기 제 2 시간 기간 동안 상기 적어도 하나의 가스의 플로우의 플로우 레이트는 약 60 slm으로부터 약 200 slm으로 연장하는 범위 내인, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  21. 제 15 항에 있어서,
    상기 제 1 시간 기간 동안 상기 기판의 상기 온도는 약 23 ℃로 유지되는, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  22. 제 15 항에 있어서,
    상기 프로세싱 분위기의 압력은 상기 제 1 시간 기간 및 상기 제 2 시간 기간 모두 동안 1500 Torr 미만으로 유지되는, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  23. 제 15 항에 있어서,
    상기 프로세싱 분위기의 압력은 상기 제 1 시간 기간 및 상기 제 2 시간 기간 모두 동안 760 Torr 미만으로 유지되는, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  24. 제 15 항에 있어서,
    상기 방법은 대기압 분위기에서 기판들을 조종하도록 (maneuver) 구성된 기판 핸들링 모듈로부터 직접적으로 액세스가능한 챔버 내에서 수행되는, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 방법.
  25. 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 시스템에 있어서,
    기판 핸들링 모듈에 부착되도록 구성된 챔버로서, 상기 챔버는 프로세싱 영역을 둘러싸도록 형성되고, 상기 기판 핸들링 모듈은 대기압 분위기에서 기판들을 조종하도록 구성되는, 상기 챔버;
    상기 챔버 내로의 통로로서, 상기 기판 핸들링 모듈 내 분위기와 상기 챔버 내 상기 프로세싱 영역 사이에 기판의 이송을 제공하도록 구성되는, 상기 통로;
    상기 프로세싱 영역 내 그리고 상기 챔버 내에 배치된 기판 지지 구조체로서, 상기 챔버 내의 상기 프로세싱 영역 내에 존재할 때 상기 기판을 홀딩하도록 구성되는, 상기 기판 지지 구조체; 및
    상기 챔버 내의 상기 프로세싱 영역으로 하나 이상의 가스들의 공급을 제어하도록 구성된 제어 시스템으로서, 상기 제어 시스템은 또한 상기 챔버 내 상기 프로세싱 영역으로부터 가스들의 배기를 제어하도록 하도록 구성되고, 상기 제어 시스템은 또한 상기 챔버 내 상기 프로세싱 영역 내의 상대 습도를 제어하도록 구성되고, 상기 제어 시스템은 또한 상기 챔버 내 상기 프로세싱 영역 내에 상기 기판이 존재할 때 상기 기판의 온도를 제어하도록 구성되는, 상기 제어 시스템을 포함하는, 기판으로부터 방출되는 잔류 할로겐들을 관리하기 위한 시스템.
KR1020180048466A 2017-05-12 2018-04-26 할로겐 제거 모듈 및 연관된 시스템들 및 방법들 KR102521160B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/594,118 US10903065B2 (en) 2017-05-12 2017-05-12 Halogen removal module and associated systems and methods
US15/594,118 2017-05-12

Publications (2)

Publication Number Publication Date
KR20180124726A true KR20180124726A (ko) 2018-11-21
KR102521160B1 KR102521160B1 (ko) 2023-04-12

Family

ID=64097356

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180048466A KR102521160B1 (ko) 2017-05-12 2018-04-26 할로겐 제거 모듈 및 연관된 시스템들 및 방법들

Country Status (4)

Country Link
US (1) US10903065B2 (ko)
JP (1) JP7211716B2 (ko)
KR (1) KR102521160B1 (ko)
CN (1) CN108878313B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020080499A1 (ja) 2018-10-17 2020-04-23 国立大学法人東北大学 新規医薬組成物
US10777445B2 (en) * 2018-12-06 2020-09-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate transfer method
FR3092436B1 (fr) * 2019-02-04 2021-02-12 Aloxtec Four d’oxydation latérale de VCSEL avec modification locale de la vitesse d’oxydation
JP7355615B2 (ja) 2019-11-25 2023-10-03 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115886A (ja) * 1994-08-25 1996-05-07 Tokyo Electron Ltd 処理装置及びドライクリーニング方法
JP2007243014A (ja) * 2006-03-10 2007-09-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2008109136A (ja) * 2006-10-26 2008-05-08 Applied Materials Inc 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法
WO2012117943A1 (ja) * 2011-02-28 2012-09-07 東京エレクトロン株式会社 被処理基板処理用ハロゲン除去装置、被処理基板処理装置、および被処理基板処理方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3855024A (en) * 1971-11-01 1974-12-17 Western Electric Co Method of vapor-phase polishing a surface of a semiconductor
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
WO1997011482A2 (en) * 1995-09-05 1997-03-27 Lsi Logic Corporation Removal of halogens and photoresist from wafers
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
JP4979079B2 (ja) * 2007-07-09 2012-07-18 東京エレクトロン株式会社 基板処理装置
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP6767257B2 (ja) * 2016-12-22 2020-10-14 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115886A (ja) * 1994-08-25 1996-05-07 Tokyo Electron Ltd 処理装置及びドライクリーニング方法
JP2007243014A (ja) * 2006-03-10 2007-09-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2008109136A (ja) * 2006-10-26 2008-05-08 Applied Materials Inc 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法
WO2012117943A1 (ja) * 2011-02-28 2012-09-07 東京エレクトロン株式会社 被処理基板処理用ハロゲン除去装置、被処理基板処理装置、および被処理基板処理方法

Also Published As

Publication number Publication date
US20180330942A1 (en) 2018-11-15
KR102521160B1 (ko) 2023-04-12
JP7211716B2 (ja) 2023-01-24
CN108878313B (zh) 2023-10-27
CN108878313A (zh) 2018-11-23
JP2018195810A (ja) 2018-12-06
US10903065B2 (en) 2021-01-26

Similar Documents

Publication Publication Date Title
KR102521160B1 (ko) 할로겐 제거 모듈 및 연관된 시스템들 및 방법들
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
TW201603166A (zh) 製程腔體上游預烘烤基板的裝置及方法
US10867819B2 (en) Vacuum processing apparatus, vacuum processing system and vacuum processing method
KR102534391B1 (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
US20050045616A1 (en) Substrate heating apparatus and multi-chamber substrate processing system
EP0824266A2 (en) Substrate processing apparatus
KR20180045316A (ko) 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
JP2007035874A (ja) 真空処理システム
KR101530024B1 (ko) 기판 처리 모듈, 이를 포함하는 기판 처리 장치 및 기판 전달 방법
KR20230010799A (ko) 시스템 생산성을 개선하기 위한 플랫폼 아키텍처
JP2012109333A (ja) 基板処理装置
KR20160119380A (ko) 기판 제조 장치, 기판 제조 방법, 및 그를 포함하는 패브리케이션 라인
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2004506310A (ja) 半導体ウェハ加工装置及び半導体ウェハ加工方法
JP2004304116A (ja) 基板処理装置
JPH07283288A (ja) 処理装置
TW202221825A (zh) 在批次熱處理腔室中的晶圓邊緣溫度校正
TW202221824A (zh) 批次熱製程腔室
JP2004119627A (ja) 半導体製造装置
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
JP2005347667A (ja) 半導体製造装置
KR20200108467A (ko) 처리 장치, 배기 시스템, 반도체 장치의 제조 방법
KR20080071682A (ko) 로드락 챔버 및 이를 이용한 반도체 제조 장치
JP2007194481A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant