JP2018195810A - ハロゲン除去モジュールならびに関連のシステムおよび方法 - Google Patents

ハロゲン除去モジュールならびに関連のシステムおよび方法 Download PDF

Info

Publication number
JP2018195810A
JP2018195810A JP2018088537A JP2018088537A JP2018195810A JP 2018195810 A JP2018195810 A JP 2018195810A JP 2018088537 A JP2018088537 A JP 2018088537A JP 2018088537 A JP2018088537 A JP 2018088537A JP 2018195810 A JP2018195810 A JP 2018195810A
Authority
JP
Japan
Prior art keywords
substrate
gas
processing region
period
halogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018088537A
Other languages
English (en)
Other versions
JP2018195810A5 (ja
JP7211716B2 (ja
Inventor
トラビス・アール.・テイラー
R Taylor Travis
アダム・ベイトマン
bateman Adam
トッド・エー.・ロペス
A Lopes Todd
サンカラナラヤナン・ラビ
Ravi Sankaranarayanan
シルビア・アギュラ
Aguilar Silvia
デレク・ウィトコウィッキ
Witkowicki Derek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018195810A publication Critical patent/JP2018195810A/ja
Publication of JP2018195810A5 publication Critical patent/JP2018195810A5/ja
Application granted granted Critical
Publication of JP7211716B2 publication Critical patent/JP7211716B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】ハロゲン材料へ暴露する加工処理後に、基板上に残るハロゲン材料を除去する。【解決手段】チャンバ401は、処理領域を囲むように形成される。通路は、処理領域403内に基板を入れることと、処理領域から基板102を取り出すことと、を可能にする。基板支持構造407は、処理領域内に配置され、処理領域内で基板を支持するよう構成される。少なくとも1つのガス入力は、1または複数のガスを処理領域に供給するよう構成される。少なくとも1つのガス出力は、ガスを処理領域から排気する。湿度制御装置は、処理領域内の相対湿度を制御するよう構成される。少なくとも1つの加熱装置は、処理領域内の基板の温度制御を行うために配置される。チャンバの処理領域は、大気圧で動作するよう構成された基板ハンドリングモジュールから直接アクセス可能である。【選択図】図4B

Description

本発明は、半導体デバイス製造に関する。
多くの現代の半導体チップ加工処理が、フッ素および/または塩素および/または臭素および/またはヨウ素などのハロゲン材料への基板の暴露を含む。例えば、様々なタイプの処理の中でも特に、いくつかのエッチング処理は、ハロゲン材料の利用を含みうる。これらの加工処理の完了後、ハロゲン材料が、基板上に残る場合があり、基板からオフガスとして放出し、潜在的に、その後の処理動作でボイド形成につながる欠陥形成および/または水クラスタ形成によって基板に損傷を引き起こすことがある。また、基板からオフガスとして放出するハロゲンは、様々なタイプの製造設備装置の中でも特に、エアロックおよび装置フロントエンドモジュール(EFEM)など、露出した製造設備装置への損傷(腐食)を引き起こし、結果として、欠陥、平均洗浄間隔(MTBC)の短縮、および、消耗品コスト(CoC)の上昇につながる部品寿命の短縮を引き起こしうる。本発明は、このような課題に対処するものである。
一実施例において、ハロゲン除去モジュールが開示されている。ハロゲン除去モジュールは、処理領域を囲むように形成されたチャンバを備える。ハロゲン除去モジュールは、チャンバ内への通路を備える。通路は、処理領域内に基板を入れること、および、処理領域から基板を取り出すことを可能にするよう構成される。ハロゲン除去モジュールは、処理領域内に配置され、処理領域内で基板を支持するよう構成された基板支持構造を備える。ハロゲン除去モジュールは、1または複数のガスを処理領域に供給するよう構成された少なくとも1つのガス入力を備える。ハロゲン除去モジュールは、ガスを処理領域から排気するよう構成された少なくとも1つのガス出力を備える。ハロゲン除去モジュールは、処理領域内の相対湿度を制御するよう構成された湿度制御装置を備える。ハロゲン除去モジュールは、処理領域内の基板の温度制御を行うために配置された少なくとも1つの加熱装置を備える。
一実施例において、基板から発生する1または複数の残留ハロゲンを管理するための方法が開示されている。方法は、第1期間中に、基板に暴露する相対湿度を約70%〜約100%の範囲内に維持すると共に基板の温度を約20℃〜約26℃の範囲内に維持しつつ、少なくとも1つのガスの流れに基板を暴露させる第1動作を備える。第1期間は、基板からフッ素を実質的に完全にオフガスとして放出することを可能にするよう設定される。方法は、さらに、第1期間に続く第2期間中に、基板に暴露する相対湿度を約50%〜約100%の範囲内に維持すると共に基板の温度を約60℃〜約200℃の範囲内に維持しつつ、少なくとも1つのガスの流れに基板を暴露させる第2動作を備える。第2期間は、基板から臭素および塩素を実質的に完全にオフガスとして放出することを可能にするよう設定される。基板は、第1期間および第2期間の両方の間、同じ位置(すなわち、同じ処理環境)に維持される。いくつかの実施形態において、基板が、管理対象のハロゲン材料を1つだけ有する場合、その1つのハロゲン材料に適切なように、第1動作または第2動作のいずれかが、基板に実行されてもよい。
一実施例において、基板から発生する残留ハロゲンを管理するためのシステムが開示されている。システムは、基板ハンドリングモジュールに結合するよう構成されたチャンバを備える。チャンバは、処理領域を囲むように形成される。基板ハンドリングモジュールは、大気圧の環境内で基板を操作するよう構成される。システムは、さらに、基板ハンドリングモジュール内の環境とチャンバ内の処理領域との間での基板の移送を可能にするために、チャンバ内への通路を備える。システムは、さらに、チャンバ内の処理領域内に配置された基板支持構造を備える。基板支持構造は、基板がチャンバ内の処理領域に存在する時に基板を保持するよう構成される。システムは、さらに、チャンバ内の処理領域への1または複数のガスの供給を制御するよう構成された制御システムを備える。制御システムは、さらに、チャンバ内の処理領域からのガスの排気を制御するよう構成される。制御システムは、さらに、チャンバ内の処理領域内の相対湿度を制御するよう構成される。制御システムは、さらに、基板がチャンバ内の処理領域に存在する時に基板の温度を制御するよう構成される。
本発明のその他の態様および利点については、本発明を例示した添付図面を参照しつつ行う以下の詳細な説明から明らかになる。
本発明のいくつかの実施形態に従って、半導体製造設備内の装置構成の一例を示す図。
本発明のいくつかの実施形態に従って、EFEMに接続されたHRMを示す図。
本発明のいくつかの実施形態に従って、図2のEFEMおよびHRMを示す右側面図。
本発明のいくつかの実施形態に従って、EFEMのエンドパネルに接続されたHRMおよびHRMを示す斜視図。
本発明のいくつかの実施形態に従って、エアロックモジュールの上方の位置でEFEMに接続されたHRMを示す斜視図。
本発明のいくつかの実施形態に従って、上げ状態の複数のリフトピン上に配置された基板を示す図。
本発明のいくつかの実施形態に従って、複数のリフトピンが基板支持構造内で下げ状態にある時に、基板支持構造上に配置された基板を示す図。
本発明のいくつかの実施形態に従って、上げ状態の複数のリフトピン上に配置された基板を示す図。
本発明のいくつかの実施形態に従って、複数のリフトピンが基板支持構造内で下げ状態にある時に、基板支持構造上に配置された基板を示す図。
本発明のいくつかの実施形態に従って、加熱装置が第1独立制御加熱区画および第2独立制御加熱区画を備えた基板支持構造を示す水平断面図。
本発明のいくつかの実施形態に従って、ガス分配装置を示す水平断面図。
本発明のいくつかの実施形態に従って、統合された加熱/ガス分配装置を示す水平断面図。
本発明のいくつかの実施形態に従って、ガス供給システムおよび排気システムに接続されたHRMを示すシステムレベル図。
本発明のいくつかの実施形態に従って、制御システムに接続されたHRMを示すシステムレベル図。
本発明のいくつかの実施形態に従って、一度に複数の基板を処理するよう構成されたHRMを示す垂直断面図。
本発明のいくつかの実施形態に従って、一度に複数の基板を処理するよう構成された別のHRMを示す垂直断面図。
本発明のいくつかの実施形態に従って、基板から発生する残留ハロゲンを管理するための方法を示すフローチャート。
以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者には明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本発明が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
半導体産業では、半導体基板が、フッ素、塩素、臭素、および、ヨウ素など、1または複数のハロゲン材料に暴露される様々なタイプの加工処理を受けうる。基板から放出されたハロゲンに暴露されうる基板および/または製造設備装置への損傷を避けるために、制御された方法で基板から残留ハロゲン材料を除去することが望ましい。例えば、ハロゲン材料は、半導体チップ製造におけるいくつかの導体エッチング処理で用いられ、いくつかの処理後ハロゲン管理考慮事項につながりうる。かかる処理後ハロゲン管理考慮事項の1つは、問題の中でも特に、半導体上に存在する膜および/または構造への損傷ならびに/もしくは半導体チップの次の加工に悪影響を及ぼしうる粒子の形成など、基板が導体エッチングシステムを離れた後に問題を引き起こしうる導体エッチング処理後の基板に残ったハロゲン材料に関係する。また、別の処理後ハロゲン管理考慮事項は、基板が導体エッチングシステムを離れる前に基板から放出(例えば、オフガス)されたハロゲン材料に関係し、ここで、基板からのハロゲン材料の放出は、望ましくない粒子形成および対応する損傷につながりうる。
いくつかの実施形態において、本明細書で言及される基板は、製造手順を施されている半導体ウエハである。ただし、様々な実施形態において、基板は、基本的に、ハロゲン材料を伴う半導体製造処理を受ける任意のタイプの基板であってよい。例えば、いくつかの実施形態において、本明細書で用いられる基板という用語は、サファイア、GaN、GaAs、または、SiC、SiN、フォトレジスト(PR)、もしくは、その他の基板材料で形成された基板を指しうるものであり、ガラスパネル/基板、金属ホイル、金属シート、ポリマ材料などを含みうる。また、様々な実施形態において、本明細書で言及される基板は、形態、形状、および/または、サイズが様々であってよい。例えば、いくつかの実施形態において、本明細書で言及される基板は、200mm(ミリメートル)半導体ウエハ、300mm半導体ウエハ、または、450mm半導体ウエハに対応しうる。また、いくつかの実施形態において、本明細書で言及される基板は、形状の中でも特に、平面パネルディスプレイ用の長方形基板など、非円形の基板に対応してもよい。
図1は、本発明のいくつかの実施形態に従って、半導体製造設備内の装置構成の一例を示す。装置構成の例は、真空条件下で動作するよう構成されたsを備える。移送モジュール101は、それに取り付けられたロボット基板ハンドリング装置103を備える。移送モジュール101は、1または複数の処理モジュール105A〜105Dが接続されると共に、1または複数のエアロックモジュール107A〜107Bが接続された複数のファセットを備える。ロボット基板ハンドリング装置103は、1または複数のエアロックモジュール107A〜107Bとの間で、そして、1または複数の処理モジュール105A〜105Dとの間で、基板102をやり取りするよう構成されている。
様々な処理モジュール105A〜105Dは、基本的に任意のタイプの製造処理を基板102に実行するよう構成できる。例えば、1または複数の処理モジュール105A〜105Dの内のいずれかが、化学蒸着(CVD)処理、物理蒸着(PVD)処理、プラズマ強化化学蒸着(PECVD)処理、電気化学蒸着(ECD)処理、原子層蒸着(ALD)処理、分子線エピタキシ(MBE)処理、または、半導体デバイス製造に用いられる任意の他のタイプの材料蒸着処理などの材料蒸着処理を基板102に実行するよう構成されてよい。また、例として、1または複数の処理モジュール105A〜105Dの内のいずれかが、ウェットエッチング処理、ドライエッチング処理、プラズマベースエッチング処理、イオンビームミリング処理、または、半導体デバイス製造に用いられる任意の他のタイプの材料除去処理などの材料除去処理を基板102に実行するよう構成されてもよい。また、例として、1または複数の処理モジュール105A〜105Dの内のいずれかが、特に、リソグラフィ露光処理、イオン注入処理、熱処理(アニーリング、熱酸化など)、洗浄処理(リンス、プラズマアッシングなど)、化学機械平坦化/研磨(CMP)処理、テスト手順など、半導体デバイス製造において周知の任意の他の処理または処理の組み合わせを実行するよう構成されてもよい。
1または複数のエアロックモジュール107A〜107Bは、装置フロントエンドモジュール(EFEM)109に接続されている。EFEM109は、ロボット基板ハンドリング装置111を備える。複数のロードポート113A〜113Dが、EFEM109に接続されている。各ロードポート113A〜113Dは、製造設備にわたって基板102を移送するために用いられる任意の様々なタイプの基板搬送装置の中でも特に、前開き一体型ポッド(FOUP)、前開き搬送ボックス(FOSB:front−opening shipping box)、標準機械インターフェース(SMIF)ポッドなど、1または複数の基板搬送装置の結合を可能にする。概して、基板搬送装置は、1または複数の基板102を保持するため、および、製造ツール間で基板102を輸送するためのマガジンとして構成されうる。様々な実施形態において、基板搬送装置は、製造設備の自動材料ハンドリングシステムと共に用いるための連結構造および電子識別メカニズムなどの特徴を備えうる。また、基板搬送装置は、そこに含まれる基板102に密閉および制御されたマイクロ環境を提供し、汚染物質から基板102および半導体製造設備を保護するよう構成されうる。ロードポート113A〜113Bは、基板搬送装置の密閉メカニズム(例えば、ドア)を操作して、EFEM109のロボット基板ハンドリング装置111によるその中の基板102へのアクセスを提供するよう構成されうる。
EFEM109は、ロードポート113A〜113Dに存在する様々な基板搬送装置へ基板102を出し入れするため、および、1または複数のエアロックモジュール107A〜107Bへ基板102を出し入れするために、大気圧条件下で動作される。1または複数のエアロックモジュール107A〜107Bは、対応するドアシステム106A〜106BによってEFEM109に接続されている。また、1または複数のエアロックモジュール107A〜107Bならびに1または複数の処理モジュール105A〜105Dの各々は、対応するドアシステム106C〜106Hによって移送モジュール101に接続されている。ドアシステム106A〜106Hの各々は、開状態の時にドアシステム106A〜106Hを通して基板102の移送を提供し、閉状態の時にドアシステム106A〜106Hの両側の領域の環境隔離を提供するよう構成される。様々な実施形態において、ドアシステム106A〜106Hは、著しい圧力の差に耐えるよう構成された半導体ゲートバルブまたは任意のその他のタイプの装置であってよい。1または複数のエアロックモジュール107A〜107Bの各々は、EFEM109内の大気圧環境と、移送モジュール101内の低圧環境(例えば、部分真空ないし高真空環境)との間の移行を提供するよう構成されている。移送モジュール101ならびに1または複数の処理モジュール105A〜105D内の環境は、きわめて低いレベルの粒子および気中分子汚染(AMC)を実現するよう制御されており、それらは両方とも、加工を受けている基板102への損傷を引き起こしうる。1または複数のエアロックモジュール107A〜107Bは、EFEM109と移送モジュール101との間の基板102の移送を提供するよう機能しつつ、EFEM109と移送モジュール101との間の環境分離を維持する。
上述のように、基板102は、フッ素、塩素、および、臭素など、1または複数のハロゲン材料を利用する様々なタイプの加工処理を受けうる。基板から発生する残留ハロゲンを管理するためのハロゲン除去モジュール(HRM:halogen removal module)201ならびに関連のシステムおよび方法が、本明細書で開示されている。図2は、本発明のいくつかの実施形態に従って、EFEM109に接続されたHRM201を示す。概して、HRM201は、EFEM109などの基板ハンドリングモジュールに結合するよう構成されており、ここで、基板ハンドリングモジュールは、大気圧の環境内で基板102を操作するよう構成されている。したがって、いくつかの実施形態において、HRM201は、半導体デバイス製造設備内でEFEM109以外の他のタイプの基板ハンドリングモジュールに接続されうることを理解されたい。しかしながら、説明しやすいように、HRM201は、本明細書では、EFEM109に接続されているHRM201の文脈で記載されている。HRM201は、基板102がEFEM109内の環境から、HRM201内の処理領域へ移送されるか、または、その逆に移送される際に通る通路202を備える。いくつかの実施形態において、HRM201は、通路202を塞ぐよう構成されたドア203を備える。ドア203は、HRM201の外部の環境をHRM201内の処理領域から保護するよう構成されうる。いくつかの実施形態において、ドア203は、HRM201の外部の環境からHRM201の処理領域へのガスの流れを可能にするよう構成される。そして、いくつかの実施形態において、ドア203は、HRM201の外部の環境からHRM201内の処理領域を密閉するよう構成される。
図3Aは、本発明のいくつかの実施形態に従って、図2のEFEM109およびHRM201を示す右側面図である。いくつかの実施形態において、HRM201は、一度に1つの基板を処理するよう構成される。いくつかの実施形態において、HRM201Aは、一度に複数の基板を処理するよう構成されてもよい。HRM201/201Aは、HRM201/201Aの接続にとって十分なフリースペースを提供すると共に、EFEM109のロボット基板ハンドリング装置111によってアクセス可能である任意の位置で、EFEM109に接続されうる。例えば、図3Aは、EFEM109のエンドパネルに接続されたHRM201およびHRM201Aを示す。図3Bは、本発明のいくつかの実施形態に従って、EFEM109のエンドパネルに接続されたHRM201およびHRM201Aを示す斜視図である。図3Aは、HRM201がエアロックモジュール107Bの上方の位置でEFEM109に接続されうる様子も示している。1または複数のHRM201/201Aを所与のEFEM109に接続できることを理解されたい。図3Cは、本発明のいくつかの実施形態に従って、エアロックモジュール107Bの上方の位置でEFEM109に接続されたHRM201を示す斜視図である。
図4Aおよび図4Bは、本発明のいくつかの実施形態に従って、図2に矢視A−Aとして示したHRM201を示す垂直断面図である。図4Cおよび図4Dは、本発明のいくつかの実施形態に従って、図2に矢視B−Bとして示したHRM201を示す垂直断面図である。HRM201は、処理領域403を囲むように形成されたチャンバ401を備える。チャンバ401は、処理領域403内に存在する材料と化学的適合性があり、EFEM109と接続したHRM201の安定性を維持するのに十分な機械的強度を有する任意の材料で形成されてよい。いくつかの実施形態において、チャンバ401は、時に、アルミニウム系材料、ステンレス鋼材料、複合材料、プラスチック材料、アクリル材料、および、セラミック材料の内の1または複数で形成される。図4A〜図4BのHRM201は、一度に1つの基板102を処理するよう構成される。
図4Aは、上げ状態の複数のリフトピン405上に配置された基板102を示す。図4Bは、基板支持構造407上に配置された基板102を示しており、複数のリフトピン405は、基板支持構造407内で下げ状態にある。図4Cは、上げ状態の複数のリフトピン405上に配置された基板102を示す。図4Dは、基板支持構造407上に配置された基板102を示しており、複数のリフトピン405は、基板支持構造407内で下げ状態にある。基板支持構造407は、処理領域403内で基板102を支持するよう構成されている。いくつかの実施形態において、リフトピン405の数は3である。しかしながら、いくつかの実施形態において、リフトピン405の数は3より多い。また、いくつかの実施形態において、HRM201は、処理領域403の内外への基板102の移送を可能にするためにリフトピン405を利用しなくてもよく、基板支持構造407の上方の位置に基板102を係合し、基板支持構造407上の基板の静止位置へそしてそこから基板102を移動させるための別の構造を用いてもよい。例えば、いくつかの実施形態において、リング構造が、基板102の外周縁に沿って基板102を係合するよう構成されてもよく、リング構造は、基板支持構造407上での基板102の位置決めおよび基板支持構造407からの基板102の除去を容易にするために、基板支持構造407に対して垂直に移動するよう構成される。
いくつかの実施形態において、基板支持構造407は、基板102を支持するよう構成された上面を有するペデスタルである。また、いくつかの実施形態において、少なくとも1つの加熱装置409が、基板支持構造407内に配置される。これらの実施形態において、基板支持構造407は、処理領域403内に存在する材料と化学的適合性を有する熱伝導性の材料で形成される。例えば、いくつかの実施形態において、基板支持構造407は、アルミニウム系材料で形成される。様々な実施形態において、加熱装置409は、電気抵抗加熱装置、放射加熱装置、または、それらの組み合わせである。いくつかの実施形態において、加熱装置409は、独立的に加熱を制御される複数の区画を基板102に対して提供するよう構成されてよい。例えば、図5は、本発明のいくつかの実施形態に従って、加熱装置409が第1独立制御加熱区画409Aおよび第2独立制御加熱区画409Bを備えた基板支持構造407を示す水平断面図である。様々な実施形態において、加熱装置409は、ハロゲン管理処理に必要な任意の数の独立制御加熱区画を備えうることを理解されたい。
HRM201は、基板102がリフトピン405などの上に存在する時、および/または、基板支持構造407上に存在する時に、矢印415で示すように、基板102に向かって放射熱を方向付けるように基板支持構造407の外側で処理領域の中に配置された1または複数の放射加熱装置411を備えてもよい。様々な実施形態において、1または複数の放射加熱装置411は、発光ダイオード(LED)および/またはハロゲン電球および/または白熱電球および/または赤外線ランプおよび/または制御された方法で放射熱を放出できるその他のタイプの装置であってよい。少なくとも1つの加熱装置409ならびに1または複数の放射加熱装置411は、制御システムに接続されており、制御システムは、少なくとも1つの加熱装置409ならびに1または複数の放射加熱装置411の動作をハロゲン管理処理に必要とされるように指示するようにプログラムされていることを理解されたい。また、少なくとも1つの加熱装置409ならびに1または複数の放射加熱装置411は、処理領域403内の基板102の温度制御を提供するように配置されることも理解されたい。また、様々な実施形態において、HRM201は、少なくとも1つの加熱装置409だけを備えて1または複数の放射加熱装置411を備えなくてもよいし、1または複数の放射加熱装置411だけを備えて少なくとも1つの加熱装置409を備えなくてもよいし、少なくとも1つの加熱装置409ならびに1または複数の放射加熱装置411の両方を備えてもよい。
HRM201は、さらに、複数のガスポート413を備える。所与の時点に、ガスポート413の各々は、ガスポート413に接続された1または複数のバルブを作動させることによって、(矢印417で示すように)ガス入力または(矢印419で示すように)ガス出力のいずれかとして作動されうる。所与の時点に、ガスポート413の内の少なくとも1つは、処理領域403に1または複数のガスを供給するための少なくとも1つのガス入力を提供し、ガスポート413の内の少なくとも1つは、処理領域403からガスを排気するための少なくとも1つのガス出力を提供する。様々な実施形態において、ガスポート413は、処理領域に単一のガスまたはガスの組み合わせのいずれかを供給するように作動されうる。様々な実施形態において、1または複数のガスポート413は、酸素ガス、窒素ガス、空気、および/または、その他の処理ガスを処理領域403に供給するように接続されうる。様々な実施形態において、ガスポート413は、基本的に任意の空間構成で処理領域403の周りに配置されてよい。いくつかの実施形態において、ガスポート413の内の1または複数は、EFEM109内の環境に流体連通されてよい。
いくつかの実施形態において、所与の時点に、基板102に対して処理領域403を通る所望のガス流パターンを確立するため、すなわち、基板102にわたって制御されたガス流プロファイルを提供するために、ガスポート413の一部がガス入力として作動され、ガスポート413の一部がガス出力として作動されてよい。例えば、いくつかの実施形態において、HRM201の前に配置されたガスポート413が、ガス入力として作動され、HRM201の後ろに配置されたガスポート413が、排気のためのガス出力として作動されてよい。別の例として、いくつかの実施形態において、HRM201の上に配置されたガスポート413が、ガス入力として作動され、HRM201の下に配置されたガスポート413が、排気のためのガス出力として作動されてもよい。様々なガスポート413は、HRM201の処理領域403を通る所望の入力ガス流パターンを生成するために、所与の時点にガス入力またはガス出力として動作するよう制御可能であることを理解されたい。また、基板102に対する入力ガス流の空間分布は、異なる実施形態において様々であってよい。例えば、いくつかの実施形態において、基板102に対する入力ガス流の空間分布は、より高濃度のハロゲン材料を含むことが既知である基板102の部分上により多くの入力ガス流を方向付けるように最適化されてよい。また、いくつかの実施形態において、基板102の全体が入力ガス流の一部に暴露される限りは、基板102に対する入力ガス流の空間分布は重要ではない場合もある。図4Aに示したガスポート413の空間構成は、いくつかの実施形態に従って例として提供されており、別の実施形態を制限するものではないことを理解されたい。
また、いくつかの実施形態において、HRM201は、ガスポート413の内の1または複数から入力ガス供給を受け入れ、所定の空間的な方式で(基板102にわたって実質的に均一に、または、基板102にわたって直交流で、または、基板102上を中心から周縁へ、または、何らかのその他の空間的な方式で、など)基板102に向かって入力ガスを供給するよう構成されたガス分配装置431を備えてもよい。図6は、本発明のいくつかの実施形態に従って、ガス分配装置431を示す水平断面図である。図6のガス分配装置431は、複数のガスチャネル601A〜601Fを備える。いくつかの実施形態において、ガスチャネル601A〜601Fは、図6に示したものなど、環状チャネルとして規定される。しかしながら、別の実施形態において、ガスチャネル601A〜601Fは、他の非環状の形状を有してもよく、ガス分配装置431にわたって異なる配列でされてもよい。また、様々な実施形態において、ガス分配装置431は、図6の例におけるガスチャネル601A〜601Fについて示したよりも多いまたは少ないガスチャネルを有してもよい。いくつかの実施形態において、ガス分配装置431のガスチャネルは、ガス分配装置431の下側(基板102に対向する側)の別個のポートとして構成される。また、いくつかの実施形態において、ガス分配装置431のガスチャネル601A〜601Fの内の1または複数は、1または複数のガスポート413を介して排気口に接続されてよく、一方、ガス分配装置431のガスチャネル601A〜601Fの上記の1または複数以外のチャネルは、1または複数のガスポート413を介してガス入力供給源に接続される。例えば、いくつかの実施形態において、図6のガス分配装置431のガスチャネル601A、601C、および、601Eが、1または複数のガスポート413を介して排気口に接続され、ガスチャネル601B、601D、および、601Fが、1または複数のガスポート413を介してガス入力供給源に接続される。
また、いくつかの実施形態において、1または複数の放射加熱装置411およびガス分配装置431は、同じ装置に統合されてもよい。例えば、図7は、本発明のいくつかの実施形態に従って、統合された加熱/ガス分配装置411/431を示す水平断面図である。統合された加熱/ガス分配装置411/431は、複数のガスチャネル703A〜703Cと、ガスチャネル703A〜703Cに囲まれてそれらの間に配置された複数の放射加熱装置701と、を備える。いくつかの実施形態において、ガスチャネル703A〜703Cの内の1または複数は、1または複数のガスポート413を介して排気口に接続されてよく、一方、ガスチャネル703A〜703Cの上記の1または複数以外のチャネルは、1または複数のガスポート413を介してガス入力供給源に接続される。様々な実施形態において、放射加熱装置701は、LEDおよび/またはハロゲン電球および/または白熱電球および/または赤外線ランプおよび/または制御された方法で放射熱を放出できるその他のタイプの装置であってよい。
HRM201は、さらに、処理領域403内の相対湿度を制御するように接続および構成された湿度制御装置423を有する。いくつかの実施形態において、湿度制御装置423は、1または複数のガスポート413を通して送られたガス中の相対湿度の調整を可能にするために、ガスポート413の内の1または複数へのガス供給に沿って接続される。いくつかの実施形態において、湿度制御装置423は、処理領域403内に直接配置される。湿度制御装置423は、制御システムに接続され、制御システムは、ハロゲン管理処理によって必要とされる通りに湿度制御装置423の動作を指示するようにプログラムされていることを理解されたい。また、いくつかの実施形態において、HRM201は、複数の湿度制御装置423を備えてもよいことを理解されたい。
HRM201は、さらに、処理領域403の中および/または基板102の直上および/または基板支持構造407の上の温度、圧力、相対湿度、または、任意のその他の測定可能なパラメータの内の1または複数を測定するためにその中に配置された複数のセンサ421を有してもよい。さらに、HRM201は、処理領域403に露出したチャンバ401の表面を加熱することでチャンバ401の表面上へのハロゲン材料および/またはその他の副生成物の蓄積を防止および/または軽減するために配置された1または複数の加熱装置425を備えてもよい。また、いくつかの実施形態において、1または複数の加熱装置425は、チャンバ401の壁を加熱することで処理領域403内のガスの対流加熱に寄与するように作動されてもよい。様々な実施形態において、1または複数の加熱装置425は、電気抵抗加熱装置、放射加熱装置、または、それらの組み合わせである。1または複数の加熱装置425は、制御システムに接続され、制御システムは、ハロゲン管理処理によって必要とされる通りに1または複数の加熱装置425の動作を指示するようにプログラムされている。
図8は、本発明のいくつかの実施形態に従って、ガス供給システム801および排気システム803に接続されたHRM201を示すシステムレベル図である。ガス供給システム801は、空気源805、窒素ガス源807、および、酸素ガス源809を備える。いくつかの実施形態において、ガス供給システム801は、その他のタイプのガスまたはガスの組み合わせの供給源を備えてもよい。いくつかの実施形態において、ガス供給システム801は、任意の処理ガス(空気、窒素、酸素など)をそれぞれの供給源からルート内の湿度制御装置423を通してHRM201へ送ることを可能にするよう構成される。湿度制御装置423は、HRM201へのガス流の中に存在する水蒸気の量を制御するよう構成されている。また、ガス供給システム801は、湿度制御装置423を迂回するために、任意の処理ガス(空気、窒素、酸素など)をそれぞれの供給源からHRM201へ直接送ることを可能にするよう構成されてもよい。いくつかの実施形態において、任意の処理ガス(空気、窒素、酸素など)をその供給源からHRM201へ直接的に供給するか、または、湿度制御装置423を介して供給するかは、所与のハロゲン管理処理に対してプログラム可能に選択されうる。例えば、所与のハロゲン管理処理の或る工程が、酸素ガス源809からHRM201へ直接的に酸素を流すことを必要とするが、所与のハロゲン管理処理の別の工程が、ルート内の湿度制御装置423を通してHRM201へ酸素を流すことを必要とする場合がある。また、直接的または湿度制御装置423を介しての任意の処理ガス源(空気源805、窒素ガス源807、酸素ガス源809など)からHRM201への入力ガス流は、所与の時点にプログラム可能に選択できるようにHRM201のガスポート413の任意の1または複数へ方向付けられうることも理解されたい。そして、HRM201のガスポート413の内の任意の1または複数が、所与の時点にプログラム可能に選択できるように排気システム803に接続されうる。さらに、HRM201への様々な処理ガス流は、必要に応じてフィルタリングされ、温度に関して調整されうることを理解されたい。
図9は、本発明のいくつかの実施形態に従って、制御システム901に接続されたHRM201を示すシステムレベル図である。制御システムは、図8に関して記載したように、ガス供給システム801を制御するように構成および接続される。具体的には、制御システム901は、所与の時点にHRM201への任意の処理ガスの流れを制御すると共に、供給される処理ガスの湿度および/または温度および/またはフィルタリングおよび/または任意のその他のパラメータに関して、供給される処理ガスの条件を制御するように構成および接続される。また、制御システム901は、HRM201の1または複数のガスポート413のどれが所与の時点に処理ガスを処理領域403へ供給するのに用いられるかを制御するように、および、供給される1または複数の処理ガスの流量を制御するように構成および接続される。そして、同様に、制御システム901は、HRM201の1または複数のガスポート413のどれが所与の時点に排気システム803に接続されるかを制御するように構成および接続される。また、制御システム901は、湿度制御装置423、1または複数の放射加熱装置411、少なくとも1つの加熱装置409、(存在する場合)ガス分配装置431、チャンバ401の壁のための1または複数の加熱装置425、リフトピン405、(存在する場合)ドア203、および、HRM201と結合する任意の他のシステムを制御するように構成および接続される。
また、制御システム901は、処理領域403の中および/または基板102の直上および/または基板支持構造407の上の温度、圧力、相対湿度、または、任意のその他の測定可能なパラメータの内の1または複数を測定するために処理領域403内に配置された1または複数のセンサ421から入力信号を受信するように構成および接続される。いくつかの実施形態において、制御システム901は、プログラムされたハロゲン管理処理をHRM201内の基板102に実行するために、および/または、所与の時点にHRM201の所定の目標条件を維持するために、センサ421から受信した入力信号を処理して、ガス供給システム801、排気システム803、湿度制御装置423、ガスポート413のためのバルビング、1または複数の放射加熱装置411、少なくとも1つの加熱装置409、(存在する場合)ガス分配装置431、チャンバ401の壁のための1または複数の加熱装置425、リフトピン405、(存在する場合)ドア203、および、HRM201と結合する任意のその他のシステムの内の1または複数に対して閉ループフィードバックで制御信号を生成/送信するよう構成される。
いくつかの実施形態において、制御システム901は、基板102に実行されるハロゲン管理処理を時間の関数としてプログラミングすることを可能にするために、オペレータインターフェース903を提供するよう構成される。以下で詳述するように、いくつかの実施形態において、ハロゲン管理処理は、室温ないし室温付近(20℃〜26℃)で実行される第1段階と、高い温度(60℃〜200℃)で実行される第2段階と、を含む。第1段階および第2段階の各々は、任意の数の工程を含むようプログラムされうる。図9の例において、第1段階は2つの工程を含み、第2段階は2つの工程を含む。各段階の各工程は、プログラムされた流量の1または複数の処理ガスを用いるようプログラムされうる。また、各段階の各工程は、所定の湿度、温度、および、圧力を処理領域403内で維持させるようにプログラムされうる。さらに、別の実施形態において、各段階の各工程は、特に以下を含むがそれらに限定されないHRM201のその他のパラメータを制御するようにプログラムされてもよい:少なくとも1つの加熱装置409(および該当する場合にはその各区画)の温度設定、(存在する場合)1または複数の放射加熱装置411、(存在する場合)ガス分配装置、ガスポート413の内のどれがガス入力として用いられ、ガスポート413の内のどれがガス出力(排気)として用いられるか、チャンバ401の壁のための1または複数の加熱装置425。また、オペレータインターフェース903は、リアルタイムの温度、リアルタイムの圧力、リアルタイムの相対湿度、リアルタイムのガス流量など、HRM201内で実行中のハロゲン管理処理の任意の側面のリアルタイム監視を可能にするために、様々なデータ値を伝達するよう構成されてよい。
上述のHRM201は、一度に1つの基板102を処理するよう構成されているが、HRM201は、一度に複数の基板を処理するように拡張できることを理解されたい。図10は、本発明のいくつかの実施形態に従って、一度に複数の基板を処理するよう構成されたHRM201Aを示す垂直断面図である。HRM201Aは、基本的に、垂直にスタックされた構成でHRM201の内部構成要素を複数備える。ガスポート413は、各ペデスタル407の位置にある各基板102にわたって処理ガスを流すように制御されうる。様々な実施形態において、HRM201Aは、一度に2以上の基板102を収容するよう構成されうることを理解されたい。一実施例において、HRM201Aは、一度に2つの基板102を収容するよう構成される。実施形態の別の例での、図10に示すように、HRM201Aは、一度に3つの基板102を収容するよう構成される。実施形態の別の例において、HRM201Aは、一度に2〜5の基板102を収容するよう構成される。実施形態の別の例において、HRM201Aは、一度に2〜10の基板を収容するよう構成される。しかしながら、複数の基板102がハロゲン管理処理のためにHRM201A内に配置された場合、複数の基板102は、ハロゲン管理処理中に実質的に同時かつ同等に処理されるように、ハロゲン管理処理の最初からハロゲン管理処理の最後までHRM201A内に存在することを理解されたい。
図11は、本発明のいくつかの実施形態に従って、一度に複数の基板102を処理するよう構成された別のHRM201A’を示す垂直断面図である。HRM201A’において、各基板支持位置にある基板支持構造は、基板102の周縁領域に沿って基板102を支持するよう構成された縁部支持体1101である。図11のHRM201A’の例において、縁部支持体1101は、図10のHRM201Aに示したように、ペデスタル407の代わりに用いられる。いくつかの実施形態において、HRM201A/201A’は、ペデスタル407または縁部支持体1101またはペデスタル407および縁部支持体1101の組み合わせのいずれかを備えうることを理解されたい。また、縁部支持体1101は、基板102がHRM201A’内の位置に安全に保持され、基板102が1または複数の放射加熱装置411から放出する放射熱に曝され、基板102がガスポート413の内の1または複数によって処理領域403へ導入される処理ガスの流れに暴露される限りは、様々な方法で基板102を支持するよう構成されてよいことを理解されたい。様々な実施形態において、HRM201A’は、一度に2以上の基板102を収容するよう構成されうることを理解されたい。一実施例において、HRM201A’は、一度に2つの基板102を収容するよう構成される。実施形態の別の例での、図11に示すように、HRM201A’は、一度に4つの基板102を収容するよう構成される。実施形態の別の例において、HRM201A’は、一度に2〜5の基板102を収容するよう構成される。実施形態の別の例において、HRM201A’は、一度に2〜10の基板を収容するよう構成される。しかしながら、複数の基板102がハロゲン管理処理のためにHRM201A’内に配置された場合、複数の基板102は、ハロゲン管理処理中に実質的に同時かつ同等に処理されるように、ハロゲン管理処理の最初からハロゲン管理処理の最後までHRM201A’内に存在することを理解されたい。
本明細書に開示するように、HRM201/201A/201A’は、異なる実施形態において異なる方法で構成されうるが、各構成において、HRM201/201A/201A’は、EFEM109などの大気圧の環境内で基板102を操作するよう構成された基板ハンドリングモジュールからアクセスできる制御された処理領域403を提供するよう規定される。また、HRM201/201A/201A’は、その中に配置および支持された基板102に、基板102の曝される温度および相対湿度を制御しつつ基板102が1または複数の処理ガス(酸素、窒素、空気など)の制御された流れに暴露されるハロゲン管理処理を施すよう規定される。様々な実施形態において、HRM201/201A/201A’は、制御された量の酸素ガス流および/または窒素ガス流および/または空気流を、同じモジュール内の制御された量の水蒸気、圧力、および、温度と併用して、1または複数の基板102からの残留ハロゲン材料の除去を最適化する。HRM201/201A/201A’内で実行されるハロゲン管理処理は、基板102の製造スループットまたは生産能力に影響することなしに、任意のタイプの基板102および任意のタイプのハロゲン材料に特有のハロゲン材料除去要件に対処するために、マルチステップのレシピとして規定されてよい。
HRM201/201A/201A’で実行されるハロゲン管理処理に関して対象となる主なハロゲン材料は、フッ素、塩素、および、臭素を含む。基板102は、基板102からフッ素を除去するために、水および/または水蒸気に暴露されることが好ましいとわかっている。しかしながら、基板102の温度を上昇させることが、基板102からの臭素の除去に有利であり、基板102から臭素を完全に除去するために必要である。基板102が、(いくらかの量の水蒸気を含む空気を含んだ)大気空間において室温(23℃)にあることを許容されると、基板102内のフッ素は、オフガスとして放出して、約15分間に基板102内で漸近値(非ゼロ)に到達し、基板102内の塩素は、オフガスとして放出して、約9分間で漸近値(非ゼロ)に到達し、基板102内の臭素は、オフガスとして放出して、約3分間で室温でのオフガスの限界(非ゼロ)に到達する。熱および水/水蒸気および/または酸素への基板102の暴露は、室温でのオフガスの限界を超えて基板102から臭素をオフガスするのに必要とされる。換言すると、室温でのオフガスの限界を超えて基板102から臭素をオフガスさせるために、基板102を水蒸気および/または酸素へ暴露させつつ、基板102の温度を上げることが好ましい。また、ハロゲン管理処理が必要な場合に、半導体デバイス製造の様々な段階で基板102上の極度に小さく壊れやすい構造が露出されうる。したがって、基板102上のこれらの極度に小さく壊れやすい構造を損傷する可能性から、ハロゲン管理処理中にバルク液体水に基板102を暴露させることは望ましくない。
一部の状況において、EFEM109は、その内部の周囲環境内に有する水蒸気の量が非常に少なくなる(ゼロにもなりうる)ように作動されうる。これらの状況において、ハロゲン材料は、水蒸気への基板102の暴露が不足することにより、基板102からオフガスとして放出されない。EFEM109の内部の周囲環境内の水蒸気の不足は、EFEM109および接続する装置を腐食から保護するのに役立ちうるが、基板102がEFEM109から取り出されるまで、基板102からハロゲン材料をオフガスすることを許容しない。ハロゲン材料は、水素を含む強酸化合物を形成する反応性非金属元素であり、単塩の形成につながるため、製造設備内の基板102からのハロゲン材料のオフガス発生を管理しなければ、特に、腐食、汚染、および、粒子形成など、多くの問題につながりうる。したがって、EFEM109内からHRM201/201A/201A’にアクセス可能にすることによって、基板102が加工を続けるためにEFEM109を離れる前に、制御されたハロゲン管理処理が、HRM201/201A/201A’内の基板102に実行されうることを理解されたい。
EFEM109の内部の周囲環境内の圧力より若干低い圧力でHRM201/201A/201A’を作動させることにより、HRM201/201A/201A’内で実行されたハロゲン管理処理からの副生成物が、EFEM109に入ることを防止できる。例えば、EFEM109の内部の周囲環境が、大気圧(760Torr)に維持されている場合、HRM201/201A/201A’内の圧力は、EFEM109からHRM201/201A/201A’内に環境ガスが確実に一方向に流れるように、760Torr未満(約755Torrなど)に維持されてよい。いくつかの実施形態において、排気システム803は、EFEM109に対してHRM201/201A/201A’内を少し陰圧に維持するように作動されてよい。
いくつかの実施形態において、HRM201/201A/201A’は、HRM201/201A/201A’内の処理領域403とEFEM109内の環境との間のシールを提供するよう構成されたドア203を備える。これらの実施形態では、HRM201/201A/201A’内の圧力をEFEM109内の圧力よりも高くすることが可能である。いくつかの実施形態において、処理領域403に供給されるガスは、処理領域403内の圧力の上昇を引き起こすように、処理領域403からの排気に対して制御されてよい。これらの実施形態において、HRM201/201A/201A’内での基板102の処理中に、EFEM109内の環境とHRM201/201A/201A’内の処理領域403との間ではガス交換が起こらず、処理領域403内のガスは、ガスポート413の内の1または複数を通してHRM201/201A/201A’から除去される。
図12は、本発明のいくつかの実施形態に従って、基板から発生する残留ハロゲンを管理するための方法を示すフローチャートである。いくつかの実施形態において、その方法は、大気圧の環境内で基板を操作するよう構成された基板ハンドリングモジュールから直接アクセスできるチャンバ内で実行される。例えば、いくつかの実施形態において、方法は、HRM201/201A/201A’内で実行される。そして、いくつかの実施形態において、HRM201/201A/201A’は、EFEM109からアクセス可能な位置に配置されてよい。方法は、第1期間中に、基板に暴露する相対湿度を約100%に維持すると共に基板の温度を約20℃〜約26℃の範囲内に維持しつつ、少なくとも1つのガスの流れに基板を暴露させる第1動作1201を備える。いくつかの実施形態において、第1期間中の基板の温度は、約23℃に維持される。いくつかの実施形態において、第1期間は、基板からフッ素を実質的に完全にオフガスとして放出することを可能にするよう設定される。いくつかの実施形態において、第1期間は、約2分〜約15分である。いくつかの実施形態において、第1期間は、約10分である。
いくつかの実施形態において、第1期間中の少なくとも1つのガスは、酸素、窒素、および、空気の内の1または複数である。ただし、別の実施形態において、第1期間中の少なくとも1つのガスは、基板からの残留ハロゲン材料の除去を促進するのに効果的であり、基板およびその上にあるフィーチャへの損傷の発生を避けるために、基板上に存在する材料と化学的に適合する任意のタイプのガスであってよいことを理解されたい。いくつかの実施形態において、第1期間中の少なくとも1つのガスの流量は、約60標準リットル毎分(slm)〜約200slmの範囲にある。
方法は、さらに、動作1201の第1期間に続く第2期間中に、基板に暴露する相対湿度を約50%〜約100%の範囲内に維持すると共に基板の温度を約60℃〜約200℃の範囲内に維持しつつ、少なくとも1つのガスの流れに基板を暴露させる第2動作1203を備える。いくつかの実施形態において、第2期間は、基板から臭素および塩素を実質的に完全にオフガスとして放出することを可能にするよう設定される。基板は、動作1201の第1期間および動作1203の第2期間の両方の間、同じ処理環境に維持されることを理解されたい。いくつかの実施形態において、第2期間は、約2分〜約15分である。いくつかの実施形態において、第2期間は、約5分である。
いくつかの実施形態において、第2期間中の少なくとも1つのガスは、酸素、窒素、および、空気の内の1または複数である。ただし、別の実施形態において、第2期間中の少なくとも1つのガスは、基板からの残留ハロゲン材料の除去を促進するのに効果的であり、基板およびその上にあるフィーチャへの損傷の発生を避けるために、基板上に存在する材料と化学的に適合する任意のタイプのガスであってよいことを理解されたい。いくつかの実施形態において、第1期間中の少なくとも1つのガスの流量は、約60slm〜約200slmの範囲にある。いくつかの実施形態において、処理環境内の圧力は、動作1201の第1期間および動作1203の第2期間の両方の間、760Torr未満に維持される。いくつかの実施形態において、処理環境内の圧力は、動作1201の第1期間および動作1203の第2期間の両方の間、約755Torrに維持される。いくつかの実施形態において、処理環境内の圧力は、動作1201の第1期間および動作1203の第2期間の両方の間、約1500Torrに維持される。
図12の方法は、2つの段階を有するハロゲン管理処理を表しており、ここで、第1段階は、低温(例えば、室温(約23℃))で実行され、第2段階は、高温(例えば、約60℃〜約200℃の範囲内)で実行されることを理解されたい。したがって、方法の第1段階(すなわち、動作1201)は、基板温度の上昇によりフッ素の固着を避ける。そして、第1期間の持続時間は、基板からフッ素を実質的に完全にオフガスとして放出することを可能にするよう規定される。次いで、方法の第2段階(すなわち、動作1203)が、基板から臭素を除去するために基板を高温にして実行される。第2期間の持続時間は、基板から臭素を実質的に完全にオフガスとして放出することを可能にするよう規定される。第1段階(動作1201)および第2段階(動作1203)の両方の間、塩素が基板からオフガスとして放出することに注意されたい。したがって、第1および第2段階の総持続時間(すなわち、動作1201の第1期間および動作1203の第2期間の合計)は、少なくとも、基板から塩素を実質的に完全にオフガスとして放出することを可能にするのに十分長いことが好ましい。高温で第2段階(動作1203)を実行する前に低温で第1段階(動作1201)を実行することにより、基板から残留フッ素を実質的に完全に除去することが可能になる。
いくつかの実施形態において、ハロゲン管理処理は、上述の第1および第2段階の代わりに、もしくは、上述の第1段階および/または第2段階に加えて、単一の組み合わせられた段階を備えてもよい。いくつかの実施形態において、単一の組み合わせられた段階は、約80℃〜約100℃の範囲の温度および約70%〜約100%の範囲の相対湿度で実行される。例えば、いくつかの実施形態において、HRM201/201A/201A’は、対象となる特定のハロゲンを完全に放出するために、特定の期間中、基板102に動作1201だけを実行するために用いられてよい。そして、いくつかの実施形態において、HRM201/201A/201A’は、対象となる特定のハロゲンを完全に放出するために、特定の期間中、基板102に動作1201だけを実行するために用いられてよい。
本明細書で開示するHRM201/201A/201A’およびシステムおよび方法の前には、共通の処理領域内で対象となるすべてのハロゲンタイプ(フッ素、塩素、および、臭素)を管理するための単一の解決策はなかった。残留ハロゲン材料を管理するいくつかの従来の試みは、真空移送モジュール101に接続されたスピン/リンス/ドライ(SRD)処理モジュールを利用していた。しかしながら、SRDの利用は、多くの理由で最適ではなかった。例えば、SRD内のバルク液体水への基板の暴露が、基板上に存在する壊れやすい材料および構造を損傷する可能性を著しく高める。また、SRDが、移送モジュール101のファセットを占めることで、さらなる必要な処理モジュールを移送モジュール101に接続することを阻み、ひいては、基板製造スループットおよび生産能力に悪影響を与える。同様に、残留ハロゲン材料を管理する他の従来の試みは、移送モジュール101への処理モジュールの接続を含むため、これも、基板製造スループットおよび生産能力に悪影響を与える。例えば、残留ハロゲン材料の管理に用いるためにマイクロ波ストリッパ処理モジュールを移送モジュール101に接続すると、その他の必要な処理モジュールの接続に利用可能な移送モジュール101のファセットの数が減るため、基板製造スループットが低下する。
また、残留ハロゲン材料を管理するために真空モジュール101へ処理モジュール105A〜105Dを接続することは、処理モジュールが、非真空条件下で動作した後に、常に、ポンプで真空に戻す必要があり(これには時間が掛かる)、処理モジュールの内部を移送モジュール101に露出することを許容するため、非効率的である。さらに、基板からのハロゲン材料のオフガス発生を可能にするために、単にEFEM109内のバッファステーションを用いて基板を休ませることは、EFEM109の内部の周囲環境内でハロゲン材料の放出によって引き起こされる腐食および汚染の問題を無視している。さらに、ハロゲン管理が、基板からの残留ハロゲン材料の自然なオフガス発生に依存している場合、EFEM109内のバッファステーションは、基板からの残留ハロゲン材料の自然なオフガス発生に必要な時間が長いために、許容できない影響を受け、基板製造スループットに悪影響を与えることを理解されたい。
上記を考えると、HRM201/201A/201A’は、移送モジュール101の大気圧側に接続され、EFEM109からアクセス可能であるため、HRM201/201A/201A’の配備および利用は、半導体製造スループットに悪影響を与えず、実際には、基板製造スループットを改善することを理解されたい。また、HRM201/201A/201A’をEFEM109に接続させることにより、HRM201/201A/201A’は、移送モジュール101のファセットを占めることがなく、それによって基板製造スループットを改善することを理解されたい。また、HRM201/201A/201A’は、EFEM109に対して陰圧で作動できるので、HRM201/201A/201A’は、EFEM109内のハロゲン暴露を最小化するために利用できることを理解されたい。また、いくつかの実施形態において、HRM201/201A/201A’は、HRM201/201A/201A’内での基板102のハロゲン管理処理中にEFEM109から遮断されうる。そして、いくつかの実施形態において、HRM201/201A/201A’は、EFEM109内のハロゲン暴露を最小化しつつ、EFEM109に対して高い圧力など、任意の圧力で作動されうる。
本明細書に開示したHRM201/201A/201A’および関連システムおよび方法は、1または複数の基板へのハロゲン管理処理の実行を実現し、ここで、ハロゲン管理処理は、共通の処理領域内で時間の関数として複数のプロセスパラメータの変動を含みうる。HRM201/201A/201A’は、EFEM109から直接アクセス可能な位置に配備できるので、製造設備内にHRM201/201A/201A’を実装することはロジスティクス的に実現可能である。また、本明細書に開示したHRM201/201A/201A’および関連システムおよび方法は、任意のタイプの基板、および、任意のタイプのハロゲン材料の管理に利用できることを理解されたい。本明細書に開示したHRM201/201A/201A’および関連システムおよび方法は、ハロゲン管理に関連する全体コストおよび複雑さを低減するよう機能するハロゲン管理への統合的なアプローチを提供すると同時に、基板製造スループットおよび生産能力も向上させる。
理解を深めるために、本発明について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更と変形を行ってもよいことは明らかである。したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、記載された実施形態の範囲および等価物の範囲内で変形されてよい。
また、残留ハロゲン材料を管理するために移送モジュール101へ処理モジュール105A〜105Dを接続することは、処理モジュールが、非真空条件下で動作した後に、常に、ポンプで真空に戻す必要があり(これには時間が掛かる)、処理モジュールの内部を移送モジュール101に露出することを許容するため、非効率的である。さらに、基板からのハロゲン材料のオフガス発生を可能にするために、単にEFEM109内のバッファステーションを用いて基板を休ませることは、EFEM109の内部の周囲環境内でハロゲン材料の放出によって引き起こされる腐食および汚染の問題を無視している。さらに、ハロゲン管理が、基板からの残留ハロゲン材料の自然なオフガス発生に依存している場合、EFEM109内のバッファステーションは、基板からの残留ハロゲン材料の自然なオフガス発生に必要な時間が長いために、許容できない影響を受け、基板製造スループットに悪影響を与えることを理解されたい。
理解を深めるために、本発明について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更と変形を行ってもよいことは明らかである。したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、記載された実施形態の範囲および等価物の範囲内で変形されてよい。
例えば、本発明は、以下の形態としても実施可能である。
[形態1]
ハロゲン除去モジュールであって、
処理領域を囲むように形成されたチャンバと、
前記チャンバ内への通路であって、前記処理領域内に基板を入れることと、前記処理領域から前記基板を取り出すことと、を可能にするよう構成された通路と、
前記処理領域内に配置され、前記処理領域内で前記基板を支持するよう構成された基板支持構造と、
1または複数のガスを前記処理領域に供給するよう構成された少なくとも1つのガス入力と、
ガスを前記処理領域から排気するよう構成された少なくとも1つのガス出力と、
前記処理領域内の相対湿度を制御するよう構成された湿度制御装置と、
前記処理領域内の前記基板の温度制御を行うために配置された少なくとも1つの加熱装置と、
を備える、ハロゲン除去モジュール。
[形態2]
形態1に記載のハロゲン除去モジュールであって、前記チャンバは、一度に1つの基板を受け入れて処理するよう構成されている、ハロゲン除去モジュール。
[形態3]
形態1に記載のハロゲン除去モジュールであって、前記チャンバは、一度に複数の基板を受け入れて処理するよう構成されている、ハロゲン除去モジュール。
[形態4]
形態1に記載のハロゲン除去モジュールであって、さらに、
前記チャンバの外部の環境を前記処理領域から保護するよう構成されたドアを備える、ハロゲン除去モジュール。
[形態5]
形態1に記載のハロゲン除去モジュールであって、前記基板支持構造は、ペデスタルである、ハロゲン除去モジュール。
[形態6]
形態5に記載のハロゲン除去モジュールであって、前記少なくとも1つの加熱装置は、前記ペデスタル内に配置された抵抗加熱装置である、ハロゲン除去モジュール。
[形態7]
形態1に記載のハロゲン除去モジュールであって、前記基板支持構造は、前記基板の周縁領域に沿って前記基板を支持するよう構成された縁部支持体である、ハロゲン除去モジュール。
[形態8]
形態7に記載のハロゲン除去モジュールであって、前記少なくとも1つの加熱装置は、前記基板が前記縁部支持体上に存在する時に、前記基板に向かって放射熱を方向付けるように配置された放射加熱装置である、ハロゲン除去モジュール。
[形態9]
形態1に記載のハロゲン除去モジュールであって、前記少なくとも1つの加熱装置は、抵抗加熱装置および放射加熱装置の一方または両方を含む、ハロゲン除去モジュール。
[形態10]
形態1に記載のハロゲン除去モジュールであって、前記少なくとも1つのガス入力は、酸素、窒素、および、空気の内の1または複数の供給源に接続されている、ハロゲン除去モジュール。
[形態11]
形態1に記載のハロゲン除去モジュールであって、前記少なくとも1つのガス入力および前記少なくともガス出力は、前記基板が前記基板支持構造上に存在する時に、前記基板にわたって制御されたガス流プロファイルを提供するように構成および配置される、ハロゲン除去モジュール。
[形態12]
形態1に記載のハロゲン除去モジュールであって、前記湿度制御装置は、前記少なくとも1つのガス入力の内の1または複数に沿って接続される、ハロゲン除去モジュール。
[形態13]
形態1に記載のハロゲン除去モジュールであって、前記ハロゲン除去モジュールは、大気圧の環境から前記通路を通して前記処理領域へアクセスすることを可能にするように配置される、ハロゲン除去モジュール。
[形態14]
形態1に記載のハロゲン除去モジュールであって、さらに、
前記処理領域に露出した前記チャンバの表面を加熱するために配置された1または複数の加熱装置を備える、ハロゲン除去モジュール。
[形態15]
基板から発生する残留ハロゲンを管理するための方法であって、
第1期間中に、前記基板に暴露する相対湿度を約100%に維持すると共に前記基板の温度を約セ氏20度(20℃)〜約26℃の範囲内に維持しつつ、少なくとも1つのガスの流れに前記基板を暴露させる工程であって、前記第1期間は、前記基板からフッ素を実質的に完全にオフガスとして放出することを可能にするよう設定される、工程と、
前記第1期間に続く第2期間中に、前記基板に暴露する相対湿度を約50%〜約100%の範囲内に維持すると共に前記基板の温度を約60℃〜約200℃の範囲内に維持しつつ、少なくとも1つのガスの流れに前記基板を暴露させる工程であって、前記第2期間は、前記基板から臭素および塩素を実質的に完全にオフガスとして放出することを可能にするよう設定される、工程と、
を備え、
前記基板は、前記第1期間および前記第2期間の両方の間、同じ処理環境に維持される、方法。
[形態16]
形態15に記載の方法であって、前記第1期間は、約2分〜約15分であり、前記第2期間は、約2分〜約15分である、方法。
[形態17]
形態15に記載の方法であって、前記第1期間は、約10分である、方法。
[形態18]
形態15に記載の方法であって、前記第2期間は、約5分である、方法。
[形態19]
形態15に記載の方法であって、前記第1期間中の前記少なくとも1つのガスは、酸素、窒素、および、空気の内の1または複数であり、前記第2期間中の前記少なくとも1つのガスは、酸素、窒素、および、空気の内の1または複数である、方法。
[形態20]
形態15に記載の方法であって、前記第1期間中の前記少なくとも1つのガスの流れの流量は、約60標準リットル毎分(slm)〜約200slmの範囲であり、前記第2期間中の前記少なくとも1つのガスの流れの流量は、約60標準リットル毎分(slm)〜約200slmの範囲である、方法。
[形態21]
形態15に記載の方法であって、前記第1期間中の前記基板の前記温度は、約23℃に維持される、方法。
[形態22]
形態15に記載の方法であって、前記処理環境内の圧力は、前記第1期間および前記第2期間の両方の間、1500Torr未満に維持される、方法。
[形態23]
形態15に記載の方法であって、前記処理環境内の圧力は、前記第1期間および前記第2期間の両方の間、760Torr未満に維持される、方法。
[形態24]
形態15に記載の方法であって、前記方法は、大気圧の環境内で基板を操作するよう構成された基板ハンドリングモジュールから直接アクセスできるチャンバ内で実行される、方法。
[形態25]
基板から発生する残留ハロゲンを管理するためのシステムであって、
基板ハンドリングモジュールに結合するよう構成されたチャンバであって、前記チャンバは、処理領域を囲むように形成され、前記基板ハンドリングモジュールは、大気圧の環境内で基板を操作するよう構成されている、チャンバと、
前記チャンバ内への通路であって、前記基板ハンドリングモジュール内の前記環境と前記チャンバ内の前記処理領域との間での基板の移送を可能にするよう構成されている、通路と、
前記チャンバ内の前記処理領域内に配置された基板支持構造であって、前記基板が前記チャンバ内の前記処理領域に存在する時に前記基板を保持するよう構成されている、基板支持構造と、
前記チャンバ内の前記処理領域への1または複数のガスの供給を制御するよう構成され、さらに、前記チャンバ内の前記処理領域からのガスの排気を制御するよう構成され、さらに、前記チャンバ内の前記処理領域内の相対湿度を制御するよう構成され、さらに、前記基板が前記チャンバ内の前記処理領域に存在する時に前記基板の温度を制御するよう構成された制御システムと、
を備える、システム。

Claims (25)

  1. ハロゲン除去モジュールであって、
    処理領域を囲むように形成されたチャンバと、
    前記チャンバ内への通路であって、前記処理領域内に基板を入れることと、前記処理領域から前記基板を取り出すことと、を可能にするよう構成された通路と、
    前記処理領域内に配置され、前記処理領域内で前記基板を支持するよう構成された基板支持構造と、
    1または複数のガスを前記処理領域に供給するよう構成された少なくとも1つのガス入力と、
    ガスを前記処理領域から排気するよう構成された少なくとも1つのガス出力と、
    前記処理領域内の相対湿度を制御するよう構成された湿度制御装置と、
    前記処理領域内の前記基板の温度制御を行うために配置された少なくとも1つの加熱装置と、
    を備える、ハロゲン除去モジュール。
  2. 請求項1に記載のハロゲン除去モジュールであって、前記チャンバは、一度に1つの基板を受け入れて処理するよう構成されている、ハロゲン除去モジュール。
  3. 請求項1に記載のハロゲン除去モジュールであって、前記チャンバは、一度に複数の基板を受け入れて処理するよう構成されている、ハロゲン除去モジュール。
  4. 請求項1に記載のハロゲン除去モジュールであって、さらに、
    前記チャンバの外部の環境を前記処理領域から保護するよう構成されたドアを備える、ハロゲン除去モジュール。
  5. 請求項1に記載のハロゲン除去モジュールであって、前記基板支持構造は、ペデスタルである、ハロゲン除去モジュール。
  6. 請求項5に記載のハロゲン除去モジュールであって、前記少なくとも1つの加熱装置は、前記ペデスタル内に配置された抵抗加熱装置である、ハロゲン除去モジュール。
  7. 請求項1に記載のハロゲン除去モジュールであって、前記基板支持構造は、前記基板の周縁領域に沿って前記基板を支持するよう構成された縁部支持体である、ハロゲン除去モジュール。
  8. 請求項7に記載のハロゲン除去モジュールであって、前記少なくとも1つの加熱装置は、前記基板が前記縁部支持体上に存在する時に、前記基板に向かって放射熱を方向付けるように配置された放射加熱装置である、ハロゲン除去モジュール。
  9. 請求項1に記載のハロゲン除去モジュールであって、前記少なくとも1つの加熱装置は、抵抗加熱装置および放射加熱装置の一方または両方を含む、ハロゲン除去モジュール。
  10. 請求項1に記載のハロゲン除去モジュールであって、前記少なくとも1つのガス入力は、酸素、窒素、および、空気の内の1または複数の供給源に接続されている、ハロゲン除去モジュール。
  11. 請求項1に記載のハロゲン除去モジュールであって、前記少なくとも1つのガス入力および前記少なくともガス出力は、前記基板が前記基板支持構造上に存在する時に、前記基板にわたって制御されたガス流プロファイルを提供するように構成および配置される、ハロゲン除去モジュール。
  12. 請求項1に記載のハロゲン除去モジュールであって、前記湿度制御装置は、前記少なくとも1つのガス入力の内の1または複数に沿って接続される、ハロゲン除去モジュール。
  13. 請求項1に記載のハロゲン除去モジュールであって、前記ハロゲン除去モジュールは、大気圧の環境から前記通路を通して前記処理領域へアクセスすることを可能にするように配置される、ハロゲン除去モジュール。
  14. 請求項1に記載のハロゲン除去モジュールであって、さらに、
    前記処理領域に露出した前記チャンバの表面を加熱するために配置された1または複数の加熱装置を備える、ハロゲン除去モジュール。
  15. 基板から発生する残留ハロゲンを管理するための方法であって、
    第1期間中に、前記基板に暴露する相対湿度を約100%に維持すると共に前記基板の温度を約セ氏20度(20℃)〜約26℃の範囲内に維持しつつ、少なくとも1つのガスの流れに前記基板を暴露させる工程であって、前記第1期間は、前記基板からフッ素を実質的に完全にオフガスとして放出することを可能にするよう設定される、工程と、
    前記第1期間に続く第2期間中に、前記基板に暴露する相対湿度を約50%〜約100%の範囲内に維持すると共に前記基板の温度を約60℃〜約200℃の範囲内に維持しつつ、少なくとも1つのガスの流れに前記基板を暴露させる工程であって、前記第2期間は、前記基板から臭素および塩素を実質的に完全にオフガスとして放出することを可能にするよう設定される、工程と、
    を備え、
    前記基板は、前記第1期間および前記第2期間の両方の間、同じ処理環境に維持される、方法。
  16. 請求項15に記載の方法であって、前記第1期間は、約2分〜約15分であり、前記第2期間は、約2分〜約15分である、方法。
  17. 請求項15に記載の方法であって、前記第1期間は、約10分である、方法。
  18. 請求項15に記載の方法であって、前記第2期間は、約5分である、方法。
  19. 請求項15に記載の方法であって、前記第1期間中の前記少なくとも1つのガスは、酸素、窒素、および、空気の内の1または複数であり、前記第2期間中の前記少なくとも1つのガスは、酸素、窒素、および、空気の内の1または複数である、方法。
  20. 請求項15に記載の方法であって、前記第1期間中の前記少なくとも1つのガスの流れの流量は、約60標準リットル毎分(slm)〜約200slmの範囲であり、前記第2期間中の前記少なくとも1つのガスの流れの流量は、約60標準リットル毎分(slm)〜約200slmの範囲である、方法。
  21. 請求項15に記載の方法であって、前記第1期間中の前記基板の前記温度は、約23℃に維持される、方法。
  22. 請求項15に記載の方法であって、前記処理環境内の圧力は、前記第1期間および前記第2期間の両方の間、1500Torr未満に維持される、方法。
  23. 請求項15に記載の方法であって、前記処理環境内の圧力は、前記第1期間および前記第2期間の両方の間、760Torr未満に維持される、方法。
  24. 請求項15に記載の方法であって、前記方法は、大気圧の環境内で基板を操作するよう構成された基板ハンドリングモジュールから直接アクセスできるチャンバ内で実行される、方法。
  25. 基板から発生する残留ハロゲンを管理するためのシステムであって、
    基板ハンドリングモジュールに結合するよう構成されたチャンバであって、前記チャンバは、処理領域を囲むように形成され、前記基板ハンドリングモジュールは、大気圧の環境内で基板を操作するよう構成されている、チャンバと、
    前記チャンバ内への通路であって、前記基板ハンドリングモジュール内の前記環境と前記チャンバ内の前記処理領域との間での基板の移送を可能にするよう構成されている、通路と、
    前記チャンバ内の前記処理領域内に配置された基板支持構造であって、前記基板が前記チャンバ内の前記処理領域に存在する時に前記基板を保持するよう構成されている、基板支持構造と、
    前記チャンバ内の前記処理領域への1または複数のガスの供給を制御するよう構成され、さらに、前記チャンバ内の前記処理領域からのガスの排気を制御するよう構成され、さらに、前記チャンバ内の前記処理領域内の相対湿度を制御するよう構成され、さらに、前記基板が前記チャンバ内の前記処理領域に存在する時に前記基板の温度を制御するよう構成された制御システムと、
    を備える、システム。
JP2018088537A 2017-05-12 2018-05-02 ハロゲン除去モジュールならびに関連のシステムおよび方法 Active JP7211716B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/594,118 US10903065B2 (en) 2017-05-12 2017-05-12 Halogen removal module and associated systems and methods
US15/594,118 2017-05-12

Publications (3)

Publication Number Publication Date
JP2018195810A true JP2018195810A (ja) 2018-12-06
JP2018195810A5 JP2018195810A5 (ja) 2022-09-21
JP7211716B2 JP7211716B2 (ja) 2023-01-24

Family

ID=64097356

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018088537A Active JP7211716B2 (ja) 2017-05-12 2018-05-02 ハロゲン除去モジュールならびに関連のシステムおよび方法

Country Status (4)

Country Link
US (1) US10903065B2 (ja)
JP (1) JP7211716B2 (ja)
KR (1) KR102521160B1 (ja)
CN (1) CN108878313B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020080499A1 (ja) 2018-10-17 2020-04-23 国立大学法人東北大学 新規医薬組成物
JP2021086843A (ja) * 2019-11-25 2021-06-03 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10777445B2 (en) * 2018-12-06 2020-09-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate transfer method
FR3092436B1 (fr) * 2019-02-04 2021-02-12 Aloxtec Four d’oxydation latérale de VCSEL avec modification locale de la vitesse d’oxydation
US11921422B2 (en) * 2021-04-09 2024-03-05 Applied Materials, Inc. Single-volume baking chamber for mask clean

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115886A (ja) * 1994-08-25 1996-05-07 Tokyo Electron Ltd 処理装置及びドライクリーニング方法
JP2007243014A (ja) * 2006-03-10 2007-09-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2008109136A (ja) * 2006-10-26 2008-05-08 Applied Materials Inc 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法
WO2012117943A1 (ja) * 2011-02-28 2012-09-07 東京エレクトロン株式会社 被処理基板処理用ハロゲン除去装置、被処理基板処理装置、および被処理基板処理方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3855024A (en) * 1971-11-01 1974-12-17 Western Electric Co Method of vapor-phase polishing a surface of a semiconductor
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
WO1997011482A2 (en) * 1995-09-05 1997-03-27 Lsi Logic Corporation Removal of halogens and photoresist from wafers
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
JP4979079B2 (ja) * 2007-07-09 2012-07-18 東京エレクトロン株式会社 基板処理装置
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP6767257B2 (ja) * 2016-12-22 2020-10-14 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115886A (ja) * 1994-08-25 1996-05-07 Tokyo Electron Ltd 処理装置及びドライクリーニング方法
JP2007243014A (ja) * 2006-03-10 2007-09-20 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2008109136A (ja) * 2006-10-26 2008-05-08 Applied Materials Inc 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法
WO2012117943A1 (ja) * 2011-02-28 2012-09-07 東京エレクトロン株式会社 被処理基板処理用ハロゲン除去装置、被処理基板処理装置、および被処理基板処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020080499A1 (ja) 2018-10-17 2020-04-23 国立大学法人東北大学 新規医薬組成物
JP2021086843A (ja) * 2019-11-25 2021-06-03 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法
JP7355615B2 (ja) 2019-11-25 2023-10-03 東京エレクトロン株式会社 基板洗浄装置及び基板洗浄方法

Also Published As

Publication number Publication date
KR102521160B1 (ko) 2023-04-12
US20180330942A1 (en) 2018-11-15
CN108878313A (zh) 2018-11-23
CN108878313B (zh) 2023-10-27
KR20180124726A (ko) 2018-11-21
JP7211716B2 (ja) 2023-01-24
US10903065B2 (en) 2021-01-26

Similar Documents

Publication Publication Date Title
JP7211716B2 (ja) ハロゲン除去モジュールならびに関連のシステムおよび方法
KR102534391B1 (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
KR20180045316A (ko) 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US20160284581A1 (en) Method of Manufacturing Semiconductor Device
KR20190116402A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
KR20230010799A (ko) 시스템 생산성을 개선하기 위한 플랫폼 아키텍처
JP2012109333A (ja) 基板処理装置
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TW202221825A (zh) 在批次熱處理腔室中的晶圓邊緣溫度校正
TW202221824A (zh) 批次熱製程腔室
CN114975163A (zh) 加载互锁真空腔室及基板处理装置
US11862506B2 (en) Substrate processing system, vacuum substrate transfer module, and substrate transfer method
KR100803562B1 (ko) 기판 처리 장치
JP4722416B2 (ja) 半導体製造装置及び基板搬送方法並びに半導体装置の製造方法
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
JP5825948B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2004119627A (ja) 半導体製造装置
KR100566697B1 (ko) 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
US20230144896A1 (en) Substrate treating apparatus and semiconductor manufacturing equipment including the same
US20230215754A1 (en) Substrate processing apparatus and substrate transfer method
KR100861782B1 (ko) 로드락 챔버 및 그 챔버에서의 벤트 방법
JP2007194481A (ja) 基板処理装置
KR20180078886A (ko) 기판처리장치의 기판 언로딩 방법
JP4679369B2 (ja) 基板処理装置および半導体装置の製造方法
CN118263152A (zh) 基板处理装置及包括基板处理装置的半导体制造设备

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180821

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210406

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220524

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20220823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230112

R150 Certificate of patent or registration of utility model

Ref document number: 7211716

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150