TW201603166A - 製程腔體上游預烘烤基板的裝置及方法 - Google Patents

製程腔體上游預烘烤基板的裝置及方法 Download PDF

Info

Publication number
TW201603166A
TW201603166A TW104115532A TW104115532A TW201603166A TW 201603166 A TW201603166 A TW 201603166A TW 104115532 A TW104115532 A TW 104115532A TW 104115532 A TW104115532 A TW 104115532A TW 201603166 A TW201603166 A TW 201603166A
Authority
TW
Taiwan
Prior art keywords
substrate
prebaking
cavity
efem
foup
Prior art date
Application number
TW104115532A
Other languages
English (en)
Inventor
鎌田圭介
佐藤寛之
古川原一哲
諏訪田雅栄
大久保顕吉
新井泉
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201603166A publication Critical patent/TW201603166A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B9/00Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
    • F27B9/12Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity with special arrangements for preheating or cooling the charge
    • F27B2009/124Cooling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明揭示一種在一製程工具上游加熱一基板的預烘烤裝置,其調適成連接至一EFEM(設備前端模組)並包含:一腔體,其具有一正面,其上往該腔體高度方向排列多個溝槽,並且該腔體分成多個箱體,分別從該等多個溝槽朝向該腔體後面延伸,用於載入與卸載基板;以及一連接框,用於將該腔體連接至該製程工具。該等多個箱體用一隔板彼此相隔,並且提供給加熱器來加熱該等多個箱體,並且每一箱體都具有一氣體注入口,用於朝向該溝槽將高溫惰性氣體吹過放置其內的該基板。

Description

製程腔體上游預烘烤基板的裝置及方法
本發明一般係關於在一製程腔體上游預烘烤例如一半導體晶圓之類基板的裝置及方法,其中在處理例如電阻膜之類薄膜之前進行該預烘烤,以從該薄膜去除濕氣。
為了將一膜層(例如包含電阻膜的膜層)烘烤去除濕氣,在載入晶圓於一製程腔體之後,並在該製程腔體內處理該晶圓之前,預烘烤該膜層並脫氣。由於該製程腔體用於該預烘烤和脫氣製程,無論該製程腔體的類型(單晶圓反應器或批次反應器),晶圓運轉速率或產量會隨著在該製程腔體內預烘烤與脫氣所耗的時間而降低。進一步地說,由於該製程腔體受控制在低壓力之下,並且導熱性與傳輸性在低壓之下變低,低壓之下的加熱效率不如大氣壓力之下,需要較長時間提高並控制溫度。這也造成晶圓運轉速度或產量降低。
本發明的目的之一在於進行預烘烤但並不會造成產量下降。
本揭露事項當中關於相關技術的問題與解決方案之任何討 論都單獨用於提供本發明範疇,並且不應當成在本發明製作時已經知道任何或全部討論之承諾。
半導體自動化的基石為透過一設備前端模組(equipment front end module,簡稱EFEM),在超乾淨儲存台車與許多製程工具(例如製程、測量或測試腔體)之間的穿梭產品(例如矽晶圓或石英光罩)。在某些具體實施例中,為了解決至少上面討論的問題之一,基本上在大氣壓力下於一EFEM區內進行預烘烤,而非在製程腔體內。在某些具體實施例中,在該EFEM區中迷你環境內提供一預烘烤站。在某些具體實施例中,該預烘烤站有一BOLTS介面(盒開啟器/載入器至工具標準介面;機械介面),不在晶圓冷卻階段位置上,如此該預烘烤站可任意安裝在所有工具內。另外,若該系統不需要一冷卻階段時,利用該預烘烤站取代一冷卻站,則該預烘烤站可安裝在一晶圓冷卻階段位置上。在某接具體實施例中,為了提高該晶圓運轉速率,利用在該預烘烤站內提供多階溝槽來縮短加熱週期,其中同時加熱存放在個別溝槽內的多個晶圓。在某些具體實施例中,另外為了有效率地加熱晶圓來縮短晶圓加熱時間並加速脫氣,在該預烘烤站內提供熱氮氣注入口,將熱氮氣吹送至該預烘烤站內存放的晶圓。在某些具體實施例中,將一晶圓夾置於或插入加熱媒體(例如加熱鋁板)之間,如此從頂端與底部同時加熱該晶圓,這與一般多槽爐加熱設備比較起來可縮短加熱週期。
為了摘要說明本發明的態樣以及超越相關技術的優點,本文中描述本發明的特定目標與優點。當然,應了解並不需要所有這種目標或 優點都可根據本發明的任何特定具體實施例來達成。如此,例如精通技術人士將了解,可用如本文所教導達成或最佳化一個優點或優點群組,而不需要達成本文所教導或建議的其他目標或優點之方式,來具體實施或執行本發明。
從以下詳細的書面說明中將可理解本發明的進一步態樣、特色以及優點。
1‧‧‧開口
2‧‧‧冷卻站
3‧‧‧迷你環境
4‧‧‧控制單元
5‧‧‧門
6‧‧‧載入口
21‧‧‧外殼
22‧‧‧控制單元
23‧‧‧正面
24‧‧‧連接框
25‧‧‧狹縫板
26‧‧‧排氣管道
27‧‧‧腔體
28‧‧‧多個溝槽
29‧‧‧腳輪
30‧‧‧底部框架
31‧‧‧載入鎖定腔體
32‧‧‧預烘烤站
33‧‧‧腔體
34‧‧‧迷你環境
35‧‧‧機械手臂
36‧‧‧開口
37‧‧‧桌面
38‧‧‧冷卻站
39‧‧‧桌面
40‧‧‧載入口
41‧‧‧BOLTS介面
42‧‧‧連接框
45‧‧‧隔板
46‧‧‧氣體線路
47‧‧‧氣體歧管
48‧‧‧加熱器
49‧‧‧基板
50‧‧‧孔
51‧‧‧氣體注入口
52‧‧‧後壁
53‧‧‧側壁
54‧‧‧基板支撐物
55‧‧‧多個溝槽
55‧‧‧溝槽
56‧‧‧正面
57‧‧‧多個箱體
61‧‧‧緩衝管
62‧‧‧箱體
63‧‧‧筒形加熱器
64‧‧‧方形孔
65‧‧‧噴嘴孔
74‧‧‧熱電耦
75‧‧‧氮氣氣體緩衝管
76‧‧‧狹縫
77a‧‧‧第一筒形加熱器
77b‧‧‧第二筒形加熱器
77c‧‧‧第三筒形加熱器
現在將參照較佳具體實施例的圖式來描述本發明的這些與其他特色,這些圖式僅用於例示,並非限制本發明。這些圖式為了例示目的都大幅簡化,並且不需要依照比例。
圖1為一設備前端模組(EFEM)區用於一製程工具的圖解圖。
圖2A為根據本發明具體實施例的一預烘烤裝置之後面透視照片。
圖2B為圖2A內所示該預烘烤裝置的正面透視照片。
圖2C為圖2B內所示該預烘烤裝置的一腔體之正面照片。
圖3為根據本發明具體實施例的一部分EFEM區之圖解透視圖,其中一預烘烤顯示成可看透一蓋板,並且該預烘烤裝置內提供的所有控制設備都省略。
圖4由(a)一預烘烤裝置腔體的圖解表示,以及(b)根據本發明具體實施例中構成該預烘烤裝置腔體的一箱體之圖解表示所組成。
圖5由(a)一預烘烤裝置腔體的圖解剖面圖,以及(b)由依照 本發明具體實施例中(a)內所示一方形所圍繞該區之圖解放大剖面圖所組成。
圖6為顯示根據本發明具體實施例一基板從一前開式晶圓傳送盒(FOUP)開始並且結束於一FOUP的處理程序之流程圖。
圖7為顯示根據本發明具體實施例中一基板上溫度測量位置的箱體之圖解平面圖。
圖8為顯示根據本發明具體實施例中不同箱體的測量位置上溫度之圖式。
在本文中,「氣體」可包含汽化的固體及/或液體,並且可由單一氣體或氣體混合物構成。同樣,冠詞「a」或「an」是指一個物種或屬,包含多個物種。進一步,在本文當中,任兩個變數都可構成變數的可工作範圍,而可工作範圍可根據日常工作來決定,並且所指的任何範圍可包含或排除端點。此外,所指示變數的任何值(不管是否用「大約」來表示)可指精準值或近似值並包含同級量,並且在某些具體實施例中,可代表平均值、中間值、代表值、主要值等等。在某些具體實施例中,「由...所構成」一詞代表「包含」、「基本上由...構成」或「組成」。在某些具體實施例中,「已連接」一詞代表無任何中間部分的直接連接,或具有中間部分,包含實體、電和功能連接的間接連接。在本文中,任何已定義的含意在某些具體實施例中並不需要排除原始或自訂含意。
在本發明中未指定條件及/或結構之處,精通技術人士可迅 速提供這種條件及/或結構,鑑於本發明,當成常規實驗的問題。
在所有揭露的具體實施例中,具體實施例中使用的任何元件都可用同等的任何元件所取代,針對預期目的包含那些明確、必要地或本文固有的。進一步,本發明可同等適用於許多裝置與方法。
在本說明書內,「預烘烤」代表在一製程工具上游並且在製程腔體內一基板的後續目標製程之前執行的製程,以便從一基板上形成的膜去除濕氣、將一基板上形成的一膜脫氣(自一膜移除不要或過多的氣體)等等,當成該基板目標製程的初步步驟。通常,與「凝固」不同,「預烘烤」大體上並不牽涉化學反應(例如聚合作用或交聯),並且與「退火」不同,「預烘烤」大體上並不牽涉到置換或形成一終端基(例如醇基和羥基與Si-Me基的置換或一Si-O鍵的形成)。
本發明的具體實施例提供一種預烘烤裝置,用於在一製程工具上游加熱一基板,包含:(i)一腔體,其具有一正面,上有排列在該腔體高度方向的多個溝槽,每一溝槽都從側邊延伸到側邊,並且其分成多個箱體,分別從該等多個溝槽朝向該腔體後端延伸,用於透過該等多個溝槽將基板載入至該等多個箱體或從該等多個箱體卸載,該等多個箱體利用一分隔板彼此相隔,並提供給加熱器來加熱該等多個箱體,每一箱體都具有一氣體注入口,用於將一高溫惰性氣體朝向該溝槽吹過位於其內的該基板;以及(ii)一連接框,用於將該腔體連接至該製程工具。根據上面的組態,可進行有效率的預烘烤。
在某些具體實施例中,該連接框設置成將該腔體連接至與該製程工具相連的一設備前端模組(以下簡稱EFEM)。利用將該預烘烤裝置安 裝在該EFEM內,因為該預烘烤裝置的特定組態並且因為該預烘烤可與該主要處理程序同時進行(該預烘烤可適用於一重疊載入模式,即是同時將晶圓載入至許多腔體),同時該預烘烤可在標準大氣壓下進行,可顯著改善一晶圓運轉速率(每小時的晶圓處理量)或產量。在某些具體實施例中,該連接框具有一結構,固定至一介面,以機械方式將一載入口固定至該EFEM。在某些具體實施例中,該預烘烤裝置經過模組化,並且可獨立於該EFEM之外運作。在某些具體實施例中,該預烘烤裝置另包含一控制單元,該單元包含一氣體供應系統,用於在該氣體注入口上游加熱一惰性氣體,並且將該已加熱的惰性氣體做為該高溫惰性氣體供應至該氣體注入口。在某些具體實施例中,該控制單元位於該腔體底下,並且在該控制單元底部具有腳輪。在某些具體實施例中,該腔體無法處理未利用該等加熱器與該高溫惰性氣體預先烘烤過的基板。因此,該預烘烤裝置可很容易改裝至該EFEM,幫助連接至該EFEM。
在本說明書中,該「EFEM」為半導體自動化內使用的模組或區段,用於在超乾淨儲存台車與許多製程之間穿梭產品(矽晶圓或石英光罩),例如測量與測試系統,並且例如內含卸載產品所需的組件,將其遞送至上一層製程工具以及在完成時將該產品送回其台車。該製程包含但不受限於利用電漿輔助、熱或自由基製程等等(例如電漿強化CVD、熱CVD、循環CVD、電漿強化ALD、熱ALD、自由基強化ALD或任何其他薄膜沉積方法),以進行一膜的沉積、蝕刻、灰化、固化等等步驟。在某些具體實施例中,該EFEM包含一迷你環境,為清潔室之內比清潔室內其他地方更乾淨的一區域。其通常用一實體屏障來分隔並且可:整合至該清潔室,利 用硬或軟壁分隔,或整合至現有較大清潔室內部的一模組清潔室。
在某些具體實施例中,每一箱體都提供一基板支撐物,該基板以允許該高溫惰性氣體流過該基板上面與底面之方式放置在該支撐物上。因為該基板的兩側都受熱,所以可有效加熱該基板。在某些具體實施例中,相較於傳統烘爐的360秒,預烘烤的期間可大約240秒至大約300秒(一般而言,上方溝槽需要的時間比下方溝槽短)。
在某些具體實施例中,每一箱體都由相鄰的上方與下方隔板、側壁以及相對於該溝槽的後壁所定義,其中該氣體注入口位於該後壁的中央。該隔板可當成一加熱媒體輻射熱量,增加加熱效率。在某些具體實施例中,該等多個箱體具有多個在該高度方向上貫穿該側壁和該後壁的孔,其中每一孔內都插入一個筒形加熱器。在某些具體實施例中,穿過該側壁形成的該等孔只位在該等側壁內靠近該正面之處。在某些具體實施例中,該預烘烤裝置另包含往該腔體高度方向延伸的一氣體歧管,在此氣體歧管將高溫惰性氣體透過每一氣體注入口供應至每一箱體。在某些具體實施例中,該惰性氣體在供應至該氣體歧管之前,通過一熱交換器來加熱。該熱交換器可安裝在該預烘烤裝置的一控制單元內。
在某些具體實施例中,該箱體具有足夠容納整個基板的深度,如此可有效加熱該基板。
在某些具體實施例中,該預烘烤裝置另包含一排氣管道,其位於該腔體底部上並往與該正面垂直的方向突出,讓流出該等多個溝槽的該高溫惰性氣體透過該排氣管道排出。
本發明的另一具體實施例提供一EFEM區,用於製程基板 的一製程工具,包含:(a)一迷你環境,這是比一清潔室還要乾淨的區域,並且設置成連接至該製程工具,該迷你環境具有介面,用於以機械方式使用一前開式晶圓傳送盒(front opening unified pod,以下簡稱FOUP)附加到至少一個載入口,用於儲存尚未處理或已經處理的基板,以及具有一冷卻站,用於在將已經處理基板儲存在該FOUP之前冷卻基板;(b)一載入口,其具有一FOUP以機械方式附加至該等介面之一者;以及(c)至少該等前述預烘烤裝置之任一者,以機械方式附加至該等介面另一者。
在某些具體實施例中,該等介面包含二或多個介面,每一都以機械方式將具有一FOUP的一個載入口附加至該迷你環境,以及包含一個介面,用於以機械方式將一冷卻站附加至該迷你環境,其中該載入口附加至該等二或多個介面之一者,並且該預烘烤裝置附加至該等二或多個介面另一者,並且該EFEM區另包含一冷卻站,其附加至用於一冷卻站的該介面。
仍舊是本發明的另一具體實施例,提供一種基板製程方法,其使用具有該等前述EFEM區任一者的一製程工具,該方法包含:(I)從該FOUP取出一基板放入該迷你環境;(II)將該基板插入該預烘烤裝置來預烘烤該基板,其中該預烘烤裝置的該等箱體已經加熱,並且吹送一高溫惰性氣體通過該等箱體朝向該迷你環境;(III)透過該迷你環境將該已預烘烤的基板插入該製程工具內;(III)在該製程工具內處理將該已預烘烤的基板;以及(V)透過該迷你環境將該已處理基板放入該FOUP或另一個FOUP內。
在某些具體實施例中,一冷卻站附加至該EFEM區,並且該方法另包含在將該已處理基板放入該FOUP或另一個FOUP之前,將該 已處理基板插入該冷卻站內。
在某些具體實施例中,吹送過該預烘烤裝置的該高溫惰性氣體為以大約10slm至大約40slm(標準公升/分)的流率流動並且加熱至大約50℃至大約150℃之氮氣氣體。在某些具體實施例中,該預烘烤裝置的該等箱體已加熱至大約50℃至大約150℃。
在某些具體實施例中,可在底下表1中所示的條件之下進行該預烘烤。
此時將以相關較佳具體實施歷來解釋該等具體實施例,不過,本發明並不受限於該等較佳具體實施例。
圖1為一典型設備前端模組(EFEM)區用於一製程工具的圖解圖。一製程工具(未顯示)提供於此圖式內用兩點一線的線條所表示的該EFEM之後。該EFEM設置一迷你環境3,其為比清潔室還要乾淨的一區域,並設置成連接至該製程工具。該迷你環境具有盒開啟器/載入器至工具標準 介面(Box Opener/Loader-to-Tool Standard Interfaces,以下簡稱BOTLS介面),用於以機械方式附加至含FOUP(未顯示)的載入口6,用於儲存尚未處理以及已經處理的基板,以及一冷卻站2,用於將已經處理的基板儲存在該FOUP之前冷卻基板。每一FOUP都安裝在一控制單元4頂端上提供的一桌面上,面相一開口1。迷你環境3具有一門5可進入其內部。本發明可應用至任何其他EFEM類型。在此具體實施例中,EFEM內提供四個BOLTS介面;不過BOLTS介面數並不受限並且可根據EFEM的大小來選擇。在某些具體實施例中,載入口6之一係以一預烘烤站取代,其以機械方式附加至該BOLTS介面。因為該預烘烤站調適成要連接至該EFEM的該BOLTS介面,則利用取代該載入口,就可簡單附加至許多種EFEM。
該冷卻站已經安裝,以便將一已處理基板載入該FOUP之前,根據構成該FOUP的材料耐熱溫度,將該基板冷卻。若該FOUP的耐熱溫度夠高,則該預烘烤裝置可取代冷卻站2附加至該EFEM的介面。
圖2A為根據本發明一具體實施例的一預烘烤站之後面(該清潔室側,簡稱「C/R側」)透視照片。圖2B為圖2A內所示該預烘烤站的正面(「EFEM側」)透視照片。圖2C為圖2B內所示該預烘烤站的一腔體正面照片。該預烘烤站具有一連接框24,用於將一腔體27連接至該EFEM,其中該連接框24裝入該EFEM的該BOLTS介面內。腔體27已安裝在一外殼21之內。該預烘烤站在一控制單元22的底部上提供腳輪29,如此該預烘烤站可輕易與該EFEM對接。此預烘烤站包含控制單元22用於控制該預烘烤站的操作,控制單元22位於腔體27之下並包含例如一溫度控制器、一質流控制器等等。控制單元22已模組化,如此可獨立於該EFEM之外(如 此,在含該載入口時,該預烘烤站的安裝就不需要控制該EFEM的修改)。不過,該控制單元可與腔體27分開提供或與該EFEM合併。腔體27具有一正面23,其具有排列在該腔體高度方向內的多個溝槽28,每一溝槽都延伸至兩側。該預烘烤裝置另包含一排氣管道26,其位於腔體27底部上並往與正面23垂直的方向突出,讓流出多個溝槽28的一高溫惰性氣體透過排氣管道26排出。排氣管道26具有一狹縫板25。在一迷你環境內,惰性氣體以大約0.8m/s的流率持續往下吹,並且由於該氣流,該高溫惰性氣體流受引導往下並迅速進入排氣管到26並從該EFEM排出。
圖3為根據本發明一具體實施例的一部分EFEM區之圖解透視圖,其中一預烘烤顯示成可看透外殼,並且該預烘烤站內提供的所有控制設備都省略。在此具體實施例中,一迷你環境34提供一機械手臂35,用於在一製程工具與該FOUP之間輸送基板。迷你環境34的該製程工具側(後側)具有一介面,連接一載入鎖定腔體31。迷你環境34也在與該製程工具側垂直的一側上提供一冷卻站38。在迷你環境34正面相對於該製程工具側上,將載入口40裝配至迷你環境34的一BOLTS介面41,載入口40具有一開口36,用於在迷你環境34與安裝在一桌面37上的該FOUP(未顯示)之間輸送基板。在此具體實施例中,用一預烘烤站32取代位於冷卻站38旁邊的一最右邊載入口。該預烘烤站包含一腔體33,其位於一桌面39上並在一底部框架30底下提供腳輪(未顯示)。該預烘烤站具有一連接框42,用於將腔體33連接至迷你環境34。連接框42裝配至BOLTS介面41並密封。以和使用機械手臂35在迷你環境34與該FOUP之間輸送基板相同的方式,基板可通過開口36在迷你環境34與腔體33之間輸送。精通技術人士將了 解,該等裝置包含經過程式編輯或設置的一或多個控制器(未顯示),進行本文內描述的基板輸送。如本技術領域具通常知識者所了解,該(等)控制器可與許多加熱系統、泵、機械手臂以及氣流控制器或閥門或閘門通訊。
在某些具體實施例中,因為該烘烤站為一模組,如此可輕易改裝至一EFEM並幫助連接至該EFEM。順便提及,在某些具體實施例中,承載一FOUP並設定在一載入口或使用一高架載具(OHV,overhead vehicle)從該載入口移除,當該預烘烤站安裝在該載入口旁時不應干擾該FOUP載入該載入口或從該載入口卸載之操作,如此該預烘烤站的尺寸讓該FOUP與該預烘烤站之間的間隙足夠使用該OHV將該FOUP載入該載入口或從該載入口卸載。
在另一個具體實施例中,該預烘烤站可獨立於該載入口來安置並且/或可在迷你環境34的結構許可之下安置在任何位置上。
圖4由(a)一預烘烤站腔體的圖解表示,以及(b)構成根據本發明一具體實施例中該預烘烤腔體的一箱體之圖解表示所組成。該腔體具有一正面56,其上有往該腔體高度方向排列的多個溝槽55,每一溝槽都延伸至兩側,並且分成分別從多個溝槽55朝向該腔體後面延伸的多個箱體57,用於透過多個溝槽55將基板49載入多個箱體57或從多個箱體57卸載。該等多個箱體用隔板45彼此相隔,並且提供加熱器48加熱多個箱體57(側壁53和後壁52具有形成於其高度方向內的多個孔50,其中每一孔內都插入一筒形加熱器48)。在某些具體實施例中,穿過該等側壁形成用於安裝一加熱器的孔50只位在該等側壁內靠近該正面之處。
每一箱體57都具有一氣體注入口51,用於朝向溝槽55將 高溫惰性氣體吹過其內的該基板。每一箱體57都由相鄰的上方與下方隔板45、側壁53以及相對於溝槽55的後壁52所定義,其中氣體注入口51位於後壁52的中央。在此圖式中,圖4的(b)所例示的隔板45具有形成於隔板45頂端上的側壁53和後壁52,而圖4的(a)所例示的隔板45具有形成於隔板45之下的側壁53和後壁52,這兩種類型都可使用。不過(b)的組態較佳,因為在隔板45頂端表面上的側壁53內面上可提供其上支撐基板49的基板支撐物54。每一箱體57都提供基板支撐物54,基板49用允許來自氣體注入口51的高溫惰性氣體流過該基板上面與底面之方式放置在該基板支撐物54上。使用側壁53和後壁52,可輕易將隔板45固定在彼此頂端上。該等隔板可由任何合適的材料製成,例如金屬材料(鋁、不鏽鋼等等)、石英、陶瓷等等。
在此具體實施例中,該預烘烤站另包含往該腔體高度方向延伸的一氣體歧管47,在此氣體歧管將高溫惰性氣體透過一氣體線路46通過每一氣體注入口51供應至每一箱體57。
在此具體實施例中,箱體57具有足夠將整個基板49放入箱體57的深度。在某些具體實施例中,該箱體的深度可比該基板直徑長約0%至大約20%,該箱體的寬度可比該基板直徑長約10%至大約30%,並且該箱體的高度可為約8mm至大約15mm。該等箱體的數量並不設限,但是可在8至19(通常12至16)的範圍內,以便改善生產力或產量。若箱體數量增加,則要增加一機器人Z軸跨距(垂直方向內一FE RB的行程)以及處置該FE RB(前端機器人)所需的時間,影響該RR(運轉速率)。進一步,箱體數量不可增加超過該BOLTS介面的大小。在某些具體實施例中,該EFEM內安 裝超過一個預烘烤站。
在某些具體實施例中,該腔體無法處理未利用該等加熱器與該高溫惰性氣體預先烘烤過的基板,或設置成單獨進行預烘烤。
圖5由(a)一預烘烤站腔體的圖解剖面圖,以及(b)由依照本發明具體實施例中(a)所示一方形所圍繞該區之圖解放大剖面圖所組成。每一箱體62在該後壁的中央具有一方形孔64,當成一氣體注入口。方形孔64連接至一緩衝管61,通過一噴嘴孔65當成一氣體歧管。在此具體實施例中,具有一個方形孔,但是在該後壁及/或兩側壁上該第一方形孔附近可提供一個以上的方形孔。在此具體實施例中,該方形孔的大小每邊大約3mm,但是可從例如大約1mm至5mm的範圍選取。另外在此具體實施例中,該噴嘴孔的直徑大約0.5mm,但是可從例如大約0.2mm至1.0mm的範圍選取,在此該噴嘴孔的直徑小於該方形孔的每一邊。該緩衝管垂直立於筒形加熱器63之間((b)只顯示筒形加熱器63其中之一)。
圖6為顯示根據本發明一具體實施例一基板從一FOUP(前開式晶圓傳送盒)開始並且於一FOUP結束的製程程序之流程圖。該程序包含:從一FOUP取出一基板放入該迷你環境(S1);將該基板插入該預烘烤站(也稱為「預烘烤單元」)來預烘烤該基板(S2),其中該預烘烤站的該等箱體已經加熱,並且通過該等箱體朝向該迷你環境吹送一高溫惰性氣體;將該預烘烤基板運送到附加至該迷你環境的一載入鎖定腔體(S3);將該預烘烤基板從該載入鎖定腔體運送到附加至該載入鎖定腔體的一晶圓處置腔體(WHC,wafer handling chamber)(S4);將該預烘烤基板從該WHC運送到一反應器腔體(S5),在該反應器腔體內處理該預烘烤基板;將該已處理基板從 該反應器腔體運送到該WHCS6);將該已處理基板從該WHC運送到該載入鎖定腔體(S7);透過該迷你環境將該已處理基板插入一冷卻站(也稱為「冷卻階段」)(S8),其中該冷卻站附加至該迷你環境;以及透過該迷你環境將該已冷卻處理基板放入該FOUP或另一個FOUP內(S9)。
在某些具體實施例中,在步驟S2內,吹送過該預烘烤裝置的該高溫惰性氣體為以大約10slm至大約40slm(標準公升/分)的流率流動並且加熱至大約50℃至大約150℃之氮氣氣體。在某些具體實施例中,該預烘烤裝置的該等箱體被加熱至大約50℃至大約150℃。
範例1
在圖2A至圖2C、圖4和圖5內所例示,附加至圖3內所例示一迷你環境的一預烘烤站內部之溫度分佈已經過評估。圖7為顯示一基板上(300-mm晶圓)溫度測量位置的一箱體之圖解平面圖。一第一筒形加熱器77a已安裝在狹縫76(前開口)附近的每一側壁內,一第二筒形加熱器77b(具有比該第一筒形加熱器還要多的熱容量)安裝在該第一筒形加熱器77a後面的每一側壁內,並且一第三筒形加熱器77c(具有與該第一筒形加熱器相同的熱容量)安裝在兩側上一氮氣氣體緩衝管75旁邊的該後壁內。該等筒形加熱器的設定溫度為100℃。透過一方形噴嘴以30SLM從該後壁中央,將加熱的氮氣(在N2熱交換器上溫度設定為100℃)導入該箱體。一熱電耦(TC)74安裝在該後壁內,用於控制溫度。此外,該腔體可在該後壁內提供一過溫TC,如此當偵測到過熱時,會關閉系統。該箱體內的壓力為大氣壓力。一晶圓放置在晶圓支撐物上該箱體之內,並且該箱體的尺寸為高 11.5mm、寬320mm以及深339mm。在載入該晶圓之後之240至300秒,對圖7內所顯示晶圓上位置1至9的溫度測量(上方溝槽達到該設定溫度所需的時間少於下方溝槽)。第1、5、9、13、18和19號溝槽的箱體上進行上述溫度測量。
圖8為顯示不同箱體的該等測量位置上溫度之圖式。如圖8所見,所有測量溫度都介於90.0℃與98.0℃之間,即是同平面溫度變化以及溝槽至溝槽溫度變化相當低,並且達成均勻加熱。
範例2
運轉速率(產量:每小時所處理的晶圓數,RR)使用大體上類似於範例1內所使用的系統,利用模擬來評估RR。模擬情況如下:載入模式:層疊(針對處理,同時進行從一個FOUP,將一晶圓載入一前端機器人(FE RB;大氣機器人)、將一晶圓載入一載入鎖定腔體、將一晶圓載入一後端機器人(BE RB;真空機器人)、將一晶圓載入多個反應腔體之每一者);該預烘烤站的溝槽數:12;該預烘烤站上預烘烤持續時間:200秒;平台:具有四個製程模組的五角形WHC,每一模組都具有兩個反應器(單晶圓批次製程)以及兩個載入鎖定腔體;預烘烤操作:三種情況(不預烘烤、在反應腔體內預烘烤、在一EFEM內預烘烤);以及膜沉積厚度:兩種情況(100Å、320Å)。
最終運行速率(RR)顯示在底下的表2中。
如表2所示,利用在該EFEM內預烘烤可顯著改善該RR,而不是在該RC內。該RR改善對於厚層沉積更顯著,並且該預烘烤並不會降低該RR。不過,針對薄層沉積,雖然該RR已經改善,不過該預烘烤仍舊會降低該RR,即是12個溝槽對於薄層沉積而言似乎不夠。
利用增加該預烘烤站內提供的溝槽數,或在該EFEM內使用另一個預烘烤站,則即使對於薄層沉積而言,該預烘烤站都將不再是RR限制因素,如底下表3所示。
如表3所示,利用增加溝槽數,即使用於薄層沉積,也會增加該RR。不過,若溝槽數增加,則要增加該機器人Z軸跨距(垂直方向內該FE RB的行程)以及處置該FE RB所需的時間,影響該RR。
本技術領域具通常知識者將了解,在不脫離本發明精神之下,可進行各種修改以及改變。因此,應清楚了解,本發明的形式僅為例示,並非用於限制本發明的範疇。
30‧‧‧底部框架
31‧‧‧載入鎖定腔體
32‧‧‧預烘烤站
33‧‧‧腔體
34‧‧‧迷你環境
35‧‧‧機械手臂
36‧‧‧開口
37‧‧‧桌面
38‧‧‧冷卻站
39‧‧‧桌面
40‧‧‧載入口
41‧‧‧BOLTS介面
42‧‧‧連接框

Claims (20)

  1. 一種預烘烤裝置,用於在一製程工具上游加熱一基板,包含:一腔體,其具有一正面,上有排列在該腔體高度方向的多個溝槽,每一溝槽都從側邊延伸到側邊,並且其分成多個箱體,分別從該等多個溝槽朝向該腔體後端延伸,用於透過該等多個溝槽將基板載入至該等多個箱體或從該等多個箱體卸載,所述多個箱體利用一分隔板彼此相隔,並提供給加熱器來加熱該等多個箱體,每一箱體都具有一氣體注入口,用於將一高溫惰性氣體朝向該溝槽吹過位於其內的該基板;以及一連接框,用於將該腔體連接至該製程工具。
  2. 如申請專利範圍第1項之預烘烤裝置,其中該連接框設置成將該腔體連接至與該製程工具相連的一設備前端模組(EFEM)。
  3. 如申請專利範圍第2項之預烘烤裝置,其中該預烘烤裝置經過模組化,並且可獨立於該EFEM之外運作。
  4. 如申請專利範圍第2項之預烘烤裝置,其中該連接框具有一結構,固定至一介面,以機械方式將一載入口固定至該EFEM。
  5. 如申請專利範圍第1項之預烘烤裝置,其中每一箱體都提供一基板支撐物,該基板用允許該高溫惰性氣體流過該基板上面與底面之方式放置在該支撐物上。
  6. 如申請專利範圍第1項之預烘烤裝置,其中每一箱體都由相鄰的上方與下方隔板、側壁以及相對於該溝槽的後壁所定義,該氣體注入口位於該後壁的中央。
  7. 如申請專利範圍第6項之預烘烤裝置,其中該等多個箱體具有多個在該高度方向上貫穿該側壁和該後壁的孔,每一孔內都插入一個筒形加熱器。
  8. 如申請專利範圍第7項之預烘烤裝置,其中穿過該側壁形成的該等孔只位在該等側壁內靠近該正面之處。
  9. 如申請專利範圍第1項之預烘烤裝置,其中該箱體具有足夠將整個該基板放入該箱體的深度。
  10. 如申請專利範圍第1項之預烘烤裝置,其中該腔體無法處理未利用該等加熱器與該高溫惰性氣體預先烘烤過的基板。
  11. 如申請專利範圍第1項之預烘烤裝置,另包含一排氣管道,其位於該腔體底部上並往與該正面垂直的方向突出,讓流出該等多個溝槽的該高溫惰性氣體透過該排氣管道排出。
  12. 如申請專利範圍第1項之預烘烤裝置,另包含往該腔體高度方向延伸的一氣體歧管,在此氣體歧管將高溫惰性氣體透過每一氣體注入口供應至每一箱體。
  13. 如申請專利範圍第1項之預烘烤裝置,另包含一控制單元,該單元包含一氣體供應系統,用於在該氣體注入口上游加熱一惰性氣體,並且將該已加熱的惰性氣體當成該高溫惰性氣體供應至該氣體注入口。
  14. 如申請專利範圍第13項之預烘烤裝置,其中該控制單元位於該腔體底下,並且在該控制單元底部具有腳輪。
  15. 一種EFEM(設備前端模組)區,用於處理基板的一製程工具,包含:一迷你環境,這是比一清潔室還要乾淨的區域,並且設置成連接至 該製程工具,該迷你環境具有介面,用於以機械方式使用一前開式晶圓傳送盒(FOUP)附加到至少一個載入口,用於儲存尚未處理或已經處理的基板,以及具有一冷卻站,用於在將已經處理基板儲存在該FOUP之前冷卻基板;一載入口,其具有一FOUP以機械方式附加至該等介面之一者;以及申請專利範圍第1項之預烘烤裝置之至少一者,以機械方式附加至該等介面另一者。
  16. 如申請專利範圍第15項之EFEM區,其中該等介面包含二或多個介面,每一都以機械方式將具有一FOUP的一個載入口附加至該迷你環境,以及包含一個介面,用於以機械方式將一冷卻站附加至該迷你環境,其中該載入口附加至該等二或多個介面之一者,並且該預烘烤裝置附加至該等二或多個介面另一者,並且該EFEM區另包含一冷卻站,其附加至用於一冷卻站的該介面。
  17. 一種使用具有如申請專利範圍第13項之一EFEM區的一製程工具之基板製程方法,該方法包含:從該FOUP取出一基板放入該迷你環境;將該基板插入該預烘烤裝置來預烘烤該基板,其中該預烘烤裝置的該等箱體已經加熱,並且吹送一高溫惰性氣體通過該等箱體朝向該迷你環境;透過該迷你環境將該已預烘烤的基板插入該製程工具內;在該製程工具內處理將該已預烘烤的基板;以及 透過該迷你環境將該已處理基板放入該FOUP或另一個FOUP內。
  18. 如申請專利範圍第17項之方法,其中一冷卻站附加至該EFEM區,並且該方法另包含在將該已處理基板放入該FOUP或另一個FOUP之前,將該已處理基板插入該冷卻站內。
  19. 如申請專利範圍第17項之方法,其中吹送過該預烘烤裝置的該高溫惰性氣體為以大約10slm至大約40slm(標準公升/分)的流率流動並且加熱至大約50℃至大約150℃之氮氣氣體。
  20. 如申請專利範圍第17項之方法,其中該預烘烤裝置的該等箱體會加熱至大約50℃至大約150℃。
TW104115532A 2014-07-09 2015-05-15 製程腔體上游預烘烤基板的裝置及方法 TW201603166A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/327,134 US9349620B2 (en) 2014-07-09 2014-07-09 Apparatus and method for pre-baking substrate upstream of process chamber

Publications (1)

Publication Number Publication Date
TW201603166A true TW201603166A (zh) 2016-01-16

Family

ID=55068120

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104115532A TW201603166A (zh) 2014-07-09 2015-05-15 製程腔體上游預烘烤基板的裝置及方法

Country Status (4)

Country Link
US (1) US9349620B2 (zh)
JP (1) JP2016018994A (zh)
KR (1) KR20160006630A (zh)
TW (1) TW201603166A (zh)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9918594B2 (en) * 2014-04-10 2018-03-20 Alan J. ROBERTSON Towel rack
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6430870B2 (ja) * 2015-03-20 2018-11-28 東京エレクトロン株式会社 クランプ装置及びこれを用いた基板搬入出装置、並びに基板処理装置
KR101860631B1 (ko) * 2015-04-30 2018-05-23 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105575859B (zh) * 2016-03-04 2018-07-17 京东方科技集团股份有限公司 一种空气帘装置
KR102345172B1 (ko) 2016-03-08 2021-12-31 에바텍 아크티엔게젤샤프트 기판 탈가스용 챔버
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
TWD188696S (zh) * 2017-06-09 2018-02-21 香岳實業股份有限公司 通風道型加熱模組
TWD188699S (zh) * 2017-06-09 2018-02-21 香岳實業股份有限公司 垂直式加熱模組
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
WO2018236544A1 (en) 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102527659B1 (ko) 2017-11-27 2023-05-03 삼성전자주식회사 공기청정기
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3094396A (en) * 1959-07-07 1963-06-18 Continental Can Co Method of and apparatus for curing internal coatings on can bodies
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
JP2709568B2 (ja) * 1994-06-30 1998-02-04 日本プレシジョン・サーキッツ株式会社 ダウンフロー型スピンドライヤ
US5852879A (en) * 1995-04-26 1998-12-29 Schumaier; Daniel R. Moisture sensitive item drying appliance
US5724748A (en) * 1996-07-24 1998-03-10 Brooks; Ray G. Apparatus for packaging contaminant-sensitive articles and resulting package
JP3230051B2 (ja) * 1997-05-16 2001-11-19 東京エレクトロン株式会社 乾燥処理方法及びその装置
WO1999023276A1 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
JP2963443B1 (ja) * 1998-06-19 1999-10-18 キヤノン販売株式会社 半導体装置の製造装置
US6760981B2 (en) * 2002-01-18 2004-07-13 Speedline Technologies, Inc. Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US6874247B1 (en) * 2004-10-12 2005-04-05 Tsang-Hung Hsu Toothbrush dryer
JP4762835B2 (ja) * 2006-09-07 2011-08-31 東京エレクトロン株式会社 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
KR101220076B1 (ko) * 2006-12-08 2013-01-08 현대자동차주식회사 차량의 노우즈업 제어장치
JP5109376B2 (ja) * 2007-01-22 2012-12-26 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
JP2008192643A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP4805862B2 (ja) * 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
KR100956247B1 (ko) * 2007-12-13 2010-05-06 삼성엘이디 주식회사 금속유기 화학기상 증착장치
KR101017170B1 (ko) * 2008-08-13 2011-02-25 주식회사 동부하이텍 백 메탈 공정챔버
US8328494B2 (en) 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
JP5735304B2 (ja) * 2010-12-21 2015-06-17 株式会社日立国際電気 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
SG11201501144TA (en) * 2012-09-07 2015-04-29 Applied Materials Inc Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation

Also Published As

Publication number Publication date
JP2016018994A (ja) 2016-02-01
US9349620B2 (en) 2016-05-24
US20160013084A1 (en) 2016-01-14
KR20160006630A (ko) 2016-01-19

Similar Documents

Publication Publication Date Title
TW201603166A (zh) 製程腔體上游預烘烤基板的裝置及方法
US20230017917A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TWI717034B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
US8524004B2 (en) Loadlock batch ozone cure
TWI806837B (zh) 用於原子層沉積之設備及方法
US20140076494A1 (en) Processing system
US11373891B2 (en) Front-ducted equipment front end modules, side storage pods, and methods of operating the same
KR102521160B1 (ko) 할로겐 제거 모듈 및 연관된 시스템들 및 방법들
KR100905262B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
CN113140493A (zh) 铅直晶圆容器系统
JP2004119888A (ja) 半導体製造装置
KR101684929B1 (ko) 발열체 및 히터 어셈블리 그리고 그것을 갖는 클러스터 설비
JP2001210691A (ja) マルチチャンバ型半導体製造装置
JPWO2019172274A1 (ja) 処理装置、排気システム、半導体装置の製造方法
JP4000174B2 (ja) 処理システム
JP2010153480A (ja) 半導体装置の製造方法
JP2008294329A (ja) 基板処理装置
JP2001284334A (ja) 基板処理方法