US9349620B2 - Apparatus and method for pre-baking substrate upstream of process chamber - Google Patents
Apparatus and method for pre-baking substrate upstream of process chamber Download PDFInfo
- Publication number
- US9349620B2 US9349620B2 US14/327,134 US201414327134A US9349620B2 US 9349620 B2 US9349620 B2 US 9349620B2 US 201414327134 A US201414327134 A US 201414327134A US 9349620 B2 US9349620 B2 US 9349620B2
- Authority
- US
- United States
- Prior art keywords
- substrate
- baking apparatus
- chamber
- baking
- efem
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active, expires
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F27—FURNACES; KILNS; OVENS; RETORTS
- F27B—FURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
- F27B17/00—Furnaces of a kind not covered by any preceding group
- F27B17/0016—Chamber type furnaces
- F27B17/0025—Especially adapted for treating semiconductor wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67775—Docking arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67778—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F27—FURNACES; KILNS; OVENS; RETORTS
- F27B—FURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
- F27B9/00—Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity
- F27B9/12—Furnaces through which the charge is moved mechanically, e.g. of tunnel type; Similar furnaces in which the charge moves by gravity with special arrangements for preheating or cooling the charge
- F27B2009/124—Cooling
Definitions
- the present invention generally relates to an apparatus and method for pre-baking a substrate such as a semiconductor wafer upstream of a process chamber, wherein the pre-baking is conducted to remove moisture from a film such as a resist film before processing the film.
- a wafer run rate or throughput is decreased due to the time used for pre-baking and degassing in the process chamber.
- the process chamber is controlled at a low pressure, and thermal conductivity and transfer are low under a reduced pressure, heating in the reduced pressure is not as efficient as in atmospheric pressure, requiring a longer time to raise and control the temperature. This also contributes to a reduction of wafer run rate or throughput.
- An object of the present invention is to conduct pre-baking without suffering a throughput reduction.
- the mainstay of semiconductor automation is shuttling products (e.g., silicon wafers or quartz photo-masks) between ultra-clean storage carriers and a variety of process tools (e.g., processing, measurement, or testing chambers) through an equipment front end module (EFEM).
- EFEM equipment front end module
- pre-baking is conducted substantially at an atmospheric pressure in an EFEM area, not in a process chamber.
- a pre-baking station is provided in a mini environment in the EFEM area.
- the pre-baking station has a BOLTS interface (Box Opener/Loader-to-Tool Standard Interface; mechanical interface), not at a wafer cooling stage location, so that the pre-baking station can be installed universally in all tools.
- the pre-baking station can be installed at a wafer cooling stage location by replacing a cooling station with the pre-baking station if the system need not have a cooling stage.
- a heating up period is made short by providing multi-stage heating slots in the pre-baking station, wherein multiple wafers stored in respective slots are heated simultaneously.
- hot nitrogen injection ports are provided in the pre-baking station to blow hot nitrogen gas along wafers stored in the pre-baking station.
- a wafer is sandwiched or inserted between heating media (e.g., heated aluminum plates) so that the wafer is heated from both top and bottom, thereby shortening a heating up period as compared with heating equipment of general multi-slot-type ovens.
- FIG. 1 is a schematic view of an EFEM (equipment front end module) area for a process tool.
- EFEM equipment front end module
- FIG. 2A is an illustration of a rear side perspective view of a pre-baking apparatus according to an embodiment of the present invention.
- FIG. 2B is an illustration of a front side perspective view of the pre-baking apparatus shown in FIG. 2A .
- FIG. 2C is an illustration of a front view of a chamber of the pre-baking apparatus shown in FIG. 2B .
- FIG. 3 is a schematic perspective view of a part of an EFEM area according to an embodiment of the present invention, wherein a pre-baking apparatus is shown as if a cover is see-through, and all control equipment provided in the pre-baking apparatus is omitted.
- FIG. 4 consists of (a) a schematic representation of a chamber of a pre-baking apparatus, and (b) a schematic representation of a compartment constituting the chamber of the pre-baking apparatus according to an embodiment of the present invention.
- FIG. 5 consists of (a) a schematic cross sectional view of a chamber of a pre-baking apparatus, and (b) a schematic enlarged cross sectional view of the area surrounded by a square indicated in (a) according to an embodiment of the present invention.
- FIG. 6 is a flow chart showing the procedure for processing a substrate starting from a FOUP (front opening unified pod) and ending at a FOUP according to an embodiment of the present invention.
- FOUP front opening unified pod
- FIG. 7 is a schematic plan view of a compartment showing measurement positions of temperature on a substrate according to an embodiment of the present invention.
- FIG. 8 is a graph showing temperatures at the measurement positions of different compartments according to an embodiment of the present invention.
- gas may include vaporized solid and/or liquid and may be constituted by a single gas or a mixture of gases.
- an article “a” or “an” refers to a species or a genus including multiple species.
- any two numbers of a variable can constitute a workable range of the variable as the workable range can be determined based on routine work, and any ranges indicated may include or exclude the endpoints.
- any values of variables indicated may refer to precise values or approximate values and include equivalents, and may refer to average, median, representative, majority, etc. in some embodiments.
- the term “constituted by” refers to “comprising”, “consisting essentially of”, or “consisting of” in some embodiments.
- the term “connected” refers to direct connection without any intervening parts or indirect connection with an intervening part, and includes physical, electrical, and functional connection in some embodiments. In this disclosure, any defined meanings do not necessarily exclude ordinary and customary meanings in some embodiments.
- any element used in an embodiment can be replaced with any elements equivalent thereto, including those explicitly, necessarily, or inherently disclosed herein, for the intended purposes. Further, the present invention can equally be applied to apparatuses and methods.
- pre-baking refers to a process conducted upstream of a process tool and prior to the subsequent target process of a substrate in a process chamber, in order to remove moisture from a film formed on a substrate, degas (remove unwanted or excess gas from) a film formed on a substrate, etc. as a preliminary step for the target process of the substrate.
- the “pre-baking” does not substantially involve a chemical reaction (such as polymerization or crosslinking), and unlike “annealing,” the “pre-baking” does not substantially involve replacing or forming a terminal group (such as replacement of an alcohol group and hydroxyl group with a Si-Me group or formation of a Si—O bond).
- An embodiment of the present invention provides a pre-baking apparatus for heating a substrate upstream of a process tool, comprising: (i) a chamber which has a front face with multiple slots arranged in a height direction of the chamber, each slot extending from side to side, and which is divided into multiple compartments extending from the multiple slots, respectively, toward a rear end of the chamber for loading and unloading substrates to and from the multiple compartments through the multiple slots, said multiple compartments being separated from each other by a divider plate and provided with heaters for heating the multiple compartments, each compartment having a gas injection port for blowing a hot inert gas over the substrate placed therein toward the slot; and (ii) a connecting frame for connecting the chamber to the process tool.
- the connecting frame is configured to connect the chamber to an EFEM (equipment front end module) connected to the process tool.
- EFEM equipment front end module
- the pre-baking apparatus By installing the pre-baking apparatus in the EFEM, because of the specific configurations of the pre-baking apparatus and because the pre-baking can be conducted in parallel with the main process sequence (the pre-baking process can be applied to a cascade loading pattern, i.e., simultaneous loading of wafers to various chambers) and also the pre-baking can be conducted under standard atmospheric pressure, a wafer run rate (the number of wafers processed per hour) or throughput can significantly be improved.
- the connecting frame has a structure to be attached to an interface for mechanically attaching a load port to the EFEM.
- the pre-baking apparatus is modularized and operable independently of the EFEM.
- the pre-baking apparatus further comprises a control unit including a gas supply system for heating an inert gas upstream of the gas injection ports and supplying the heated inert gas as the hot inert gas to the gas injection ports.
- the control unit is disposed under the chamber and has casters at a bottom of the control unit.
- the chamber is not capable of processing the substrate other than pre-baking by the heaters and the hot inert gas. Accordingly, the pre-baking apparatus can readily be retrofitted to the EFEM, facilitating connection to the EFEM.
- the “EFEM” is a module or section used in semiconductor automation for shuttling product (silicon wafers or quartz photo-masks) between ultra-clean storage carriers and a variety of processing, measurement and testing systems, for example, and contains components needed to unload a product, deliver it to a parent tool for processing, and return the product to its carrier upon completion, for example.
- the processing includes, but is not limited to, depositing a film, etching, ashing, curing, etc.
- the EFEM includes a minienvironment which is a zone within a cleanroom that has a higher level of cleanliness than the rest of the cleanroom. It is usually separated by a physical barrier and can be: integral to the cleanroom, separated by hard or soft wall, or a modular cleanroom inside an existing larger cleanroom.
- each compartment is provided with a substrate support on which the substrate is placed in a manner allowing the hot inert gas to flow over both a top side and a reverse side of the substrate. Since both sides of the substrate are heated, the substrate can efficiently be heated.
- the duration of pre-baking may be about 240 seconds to about 300 seconds (generally, upper slots require less time than lower slots), as compared with 360 seconds by a conventional oven.
- each compartment is defined by adjacent upper and lower divider plates, side walls, and a rear wall opposite to the slot, wherein the gas injection port is disposed in a center of the rear wall.
- the divider plate can function as a heating medium radiating heat, increasing the heating efficiency.
- the multiple compartments have multiple holes formed in the height direction through the side walls and through the rear wall, wherein a cartridge heater is inserted in each hole.
- the holes formed through the side walls are disposed only near the front face in the side walls.
- the pre-baking apparatus further comprises a gas manifold extending in the height direction of the chamber, from which gas manifold the hot inert gas is supplied to each compartment through each gas injection port.
- the inert gas is heated by passing through a heat exchanger before being supplied to the gas manifold.
- the heat exchanger may be installed in a control unit of the pre-baking apparatus.
- the compartment has a depth sufficient to place the substrate entirely inside the compartment so that the substrate can efficiently be heated.
- the pre-baking apparatus further comprises an exhaust duct disposed at a bottom of the chamber and projecting in a direction perpendicular to the front face in a manner discharging through the exhaust duct the hot inert gas flowing out of the multiple slots.
- an EFEM (equipment front end module) area for a process tool for processing substrates comprising: (a) a minienvironment which is a zone cleaner than a cleanroom and is configured to be connected to the process tool, said minienvironment having interfaces for mechanically attaching thereto at least one load port with a FOUP (front opening unified pod) for storing unprocessed and processed substrates and a cooling station for cooling substrates before storing processed substrates in the FOUP; (b) a load port with a FOUP mechanically attached to one of the interfaces; and (c) at least one of any of the foregoing pre-baking apparatuses mechanically attached to another of the interfaces.
- a minienvironment which is a zone cleaner than a cleanroom and is configured to be connected to the process tool, said minienvironment having interfaces for mechanically attaching thereto at least one load port with a FOUP (front opening unified pod) for storing unprocessed and processed substrates and a cooling station for cooling substrates before storing processed
- the interfaces include two or more interfaces each for mechanically attaching one load port with a FOUP to the minienvironment and one interface for mechanically attaching a cooling station to the minienvironment, wherein the load port is attached to one of the two or more interfaces, and the pre-baking apparatus is attached to another of the two or more interfaces, and the EFEM area further comprises a cooling station attached to the interface for a cooling station.
- Still another embodiment of the present invention provides a method for processing a substrate using a process tool with any one of the foregoing EFEM areas, said method comprising: (I) taking out a substrate from the FOUP to the minienvironment; (II) inserting the substrate into the pre-baking apparatus to pre-bake the substrate, wherein the compartments of the pre-baking apparatus are heated and a hot inert gas blows through the compartments toward the minienvironment; (III) inserting the pre-baked substrate into the process tool via the minienvironment; (IV) processing the pre-baked substrate in the process tool; and (V) placing the processed substrate in the FOUP or another FOUP via the minienvironment.
- a cooling station is attached to the EFEM area and the method further comprises inserting the processed substrate into the cooling station before placing the processed substrate in the FOUP or another FOUP.
- the hot inert gas blowing through the pre-baking apparatus is N 2 gas flowing at a flow rate of about 10 slm to about 40 slm (standard liter/minute) and being heated to about 50° C. to about 150° C.
- the compartments of the pre-baking apparatus are heated to about 50° C. to about 150° C.
- the pre-baking may be conducted under conditions indicated in Table 1 below.
- Preferable Typical Temperature of a chamber 75° C. to 130° C. for e.g., 100° C. set for pre-baking avoiding photoresist burnout
- Type of gas blowing into Inert gas which is, N 2 , Ar, N 2 the chamber for pre-baking He, Ne, etc.
- Flow rate of pre-baking gas 0 SLM to 50
- SLM 30 SLM Temperature of pre-baking 100° C. to 140° C. 100° C. gas Duration of pre-baking 100 to 350 seconds (upper 200 to process slots require less time than 300 seconds lower slots)
- FIG. 1 is a schematic view of a typical EFEM (equipment front end module) area for a process tool.
- a process tool (not shown) is to be provided behind the EFEM represented by two-dot chain lines in this drawing.
- the EFEM is provided with a minienvironment 3 which is a zone cleaner than a cleanroom and is configured to be connected to the process tool.
- the minienvironment has BOTLS interfaces (Box Opener/Loader-to-Tool Standard Interfaces) for mechanically attaching thereto load ports 6 with FOUPs (front opening unified pods) (not shown) for storing unprocessed and processed substrates, and a cooling station 2 for cooling substrates before storing processed substrates in the FOUPs.
- BOTLS interfaces Box Opener/Loader-to-Tool Standard Interfaces
- FOUPs front opening unified pods
- Each FOUP is installed on a table provided on top of a control unit 4 , facing an opening 1 .
- the minienvironment 3 has a door 5 to access the inside thereof.
- the present invention can be applied to any other suitable types of EFEM.
- one of the load ports 6 is replaced with a pre-baking station which is mechanically attached to the BOLTS interface. Since the pre-baking station is adapted to be connected to the BOLTS interface of the EFEM, it can be attached to various types of EFEM simply by replacing the load port therewith.
- the cooling station is installed in order to cool a processed substrate before loading it to the FOUP depending on the heat resistant temperature of a material constituting the FOUP. If the heat resistant temperature of the FOUP is sufficient, the pre-baking apparatus can replace the cooling station 2 attached to an interface of the EFEM.
- FIG. 2A is an illustration of a rear side perspective view (the cleanroom side, “C/R Side”) of a pre-baking station according to an embodiment of the present invention.
- FIG. 2B is an illustration of a front side perspective view (“EFEM Side”) of the pre-baking station shown in FIG. 2A .
- FIG. 2C is an illustration of a front view of a chamber of the pre-baking station shown in FIG. 2B .
- the pre-baking station has a connecting frame 24 for connecting a chamber 27 to the EFEM, wherein the connecting frame 24 is fitted in the BOLTS interface of the EFEM.
- the chamber 27 is installed inside a housing 21 .
- the pre-baking station is provided with casters 29 at a bottom of a control unit 22 so that the pre-baking station can easily be docked to the EFEM.
- This pre-baking station includes the control unit 22 for controlling operation of the pre-baking station, which control unit 22 is disposed under the chamber 27 and includes, e.g., a temperature controller, a mass flow controller, etc.
- the control unit 22 is modularized so that it can be independent from the EFEM (thus, as with the load port, installation of the pre-baking station does not require control modifications of the EFEM). However, the control unit can be provided separately from the chamber 27 or incorporated into the EFEM.
- the chamber 27 has a front face 23 with multiple slots 28 arranged in a height direction of the chamber, each slot extending from side to side.
- the pre-baking station further comprises an exhaust duct 26 disposed at a bottom of the chamber 27 and projecting in a direction perpendicular to the front face 23 in a manner discharging through the exhaust duct 26 a hot inert gas flowing out of the multiple slots 28 .
- the exhaust duct 26 has a slit plate 25 .
- inert gas constantly blows downward at a flow rate of about 0.8 m/s, and due to the gas flow, the hot inert gas flow is directed downward and readily enters into the exhaust duct 26 and is discharged from the EFEM.
- FIG. 3 is a schematic perspective view of a part of an EFEM area according to an embodiment of the present invention, wherein a pre-baking station is shown as if a housing were see-through, and all control equipment provided in the pre-baking apparatus is omitted.
- a minienvironment 34 is provided with a robotic arm 35 which transfers a substrate between a process tool and the FOUP.
- the process tool side (the rear side) of the minienvironment 34 has an interface to which a load lock chamber 31 is connected.
- the minienvironment 34 is also provided with a cooling station 38 on a side perpendicular to the process tool side.
- Load ports 40 are fitted to a BOLTS interface 41 of the minienvironment 34 on a front side of the minienvironment 34 opposite to the process tool side.
- the load port 40 has an opening 36 for transporting substrates between the minienvironment 34 and the FOUP (not shown) installed on a table 37 .
- a rightmost load port disposed next to the cooling station 38 is replaced with a pre-baking station 32 .
- the pre-baking station comprises a chamber 33 disposed on a table 39 and is provided with casters (not shown) under a bottom frame 30 .
- the pre-baking station has a connecting frame 42 for connecting the chamber 33 to the minienvironment 34 .
- the connecting frame 42 is fitted to the BOLTS interface 41 and can be sealed.
- Substrates can be transported between the minienvironment 34 and the chamber 33 through the opening 36 in the same manner as that for transporting substrates between the minienvironment 34 and the FOUP using the robotic arm 35 .
- the apparatus includes one or more controller(s) (not shown) programmed or otherwise configured to cause the transportation of substrates described elsewhere herein to be conducted.
- the controller(s) are communicated with the various heating systems, pumps, robotics and gas flow controllers or valves or gates, as will be appreciated by the skilled artisan.
- the pre-baking station since the pre-baking station is a module, it can readily be retrofitted to an EFEM and facilitate connection to the EFEM.
- a FOUP is carried and set in a load port or removed from the load port using an overhead vehicle (OHV), the pre-baking station when installed next to the load port should not interfere with the operation of loading and unloading the FOUP to and from the load port, and thus, the pre-baking station has dimensions such that a clearance between the FOUP and the pre-baking station is sufficient for loading and unloading the FOUP to and from the load port using the OHV.
- OCV overhead vehicle
- the pre-baking station can be disposed independently of the load port and/or at any location as far as the structure of the minienvironment 34 permits.
- FIG. 4 consists of (a) a schematic representation of a chamber of a pre-baking station, and (b) a schematic representation of a compartment constituting the pre-baking chamber according to an embodiment of the present invention.
- the chamber has a front face 56 with multiple slots 55 arranged in a height direction of the chamber, each slot extending from side to side, and is divided into multiple compartments 57 extending from the multiple slots 55 , respectively, toward a rear end of the chamber for loading and unloading substrates 49 to and from the multiple compartments 57 through the multiple slots 55 .
- the multiple compartments are separated from each other by a divider plate 45 and provided with heaters 48 for heating the multiple compartments 57 (the side walls 53 and the rear wall 52 have multiple holes 50 formed in the height direction therethrough, wherein a cartridge heater 48 is inserted in each hole).
- the holes 50 formed through the side walls for installing a heater are disposed only near the front face in the side walls.
- Each compartment 57 has a gas injection port 51 for blowing a hot inert gas over the substrate placed therein toward the slot 55 .
- Each compartment 57 is defined by adjacent upper and lower divider plates 45 , side walls 53 , and a rear wall 52 opposite to the slot 55 , wherein the gas injection port 51 is disposed in a center of the rear wall 52 .
- the divider plate 45 illustrated in (b) in FIG. 4 has side walls 53 and the rear wall 52 formed on top of the divider plate 45
- the divider plate 45 illustrated in (a) in FIG. 4 has side walls 53 and the rear wall 52 formed under the divider plate 45 , and either one of the types can be used.
- substrate supports 54 for supporting a substrate 49 thereon can be provided on inner faces of the side walls 53 on a top surface of the divider plate 45 .
- Each compartment 57 is provided with the substrate support 54 on which the substrate 49 is placed in a manner allowing hot inert gas jetting from the gas injection port 51 to flow over both a top side and a reverse side of the substrate.
- the divider plates 45 can easily be mounted on top of each other using the side walls 53 and the rear walls 52 .
- the divider plates can be made of any suitable materials such as metalized material (aluminum, stainless steel, etc), quartz, ceramics, etc.
- the pre-baking station further comprises a gas manifold 47 extending in the height direction of the chamber, from which gas manifold hot inert gas is supplied to each compartment 57 through each gas injection port 51 via a gas line 46 .
- the compartment 57 has a depth sufficient to place the substrate 49 entirely inside the compartment 57 .
- the depth of the compartment may be about 0% to about 20% longer than the diameter of the substrate
- the width of the compartment may be about 10% to about 30% longer than the diameter of the substrate
- the height of the compartment may be about 8 mm to about 15 mm.
- the number of the compartments are not limited, but it may be in a range of 8 to 19 (typically 12 to 16) in order to improve productivity or throughput. If the number of compartments is increased, a robot Z-axis span (the stroke of a FE RB (front end robot) in the vertical direction) and the time for handling the FE RB may need to be increased, affecting the RR (run rate). Further, the number of compartments cannot be increased beyond the size of the BOLTS interface. In some embodiments, more than one pre-baking station is installed in the EFEM.
- the chamber is not capable of processing the substrate other than pre-baking by the heaters and the hot inert gas, or is configured to solely conduct pre-baking.
- FIG. 5 consists of (a) a schematic cross sectional view of a chamber of a pre-baking station, and (b) a schematic enlarged cross sectional view of the area surrounded by a square indicated in (a) according to an embodiment of the present invention.
- Each compartment 62 has a square hole 64 as a gas injection port in the center of the rear wall.
- the square hole 64 is connected to a buffer pipe 61 as a gas manifold through a nozzle hole 65 .
- there is one square hole but more than one square hole can be provided near the first square hole on the rear wall, and/or on both the side walls.
- the size of the square hole is about 3 mm on each side, but it can be selected in a range of about 1 mm to 5 mm, for example. Also in this embodiment, the diameter of the nozzle hole is about 0.5 mm, but it can be selected in a range of about 0.2 mm to 1.0 mm, for example, where the diameter of the nozzle hole is smaller than each side of the square hole.
- the buffer pipe is disposed vertically between cartridge heaters 63 ((b) shows only one of the cartridge heaters 63 ).
- FIG. 6 is a flow chart showing the procedure for processing a substrate starting from a FOUP (front opening unified pod) and ending at a FOUP according to an embodiment of the present invention.
- the procedure comprises: taking out a substrate from a FOUP to the minienvironment (S 1 ); inserting the substrate into the pre-baking station (also referred to as “pre-baking unit”) to pre-bake the substrate (S 2 ), wherein the compartments of the pre-baking station are heated and a hot inert gas blows through the compartments toward the minienvironment; transporting the pre-baked substrate to a load lock chamber attached to the minienvironment (S 3 ); transporting the pre-baked substrate from the load lock chamber to a wafer handling chamber (WFHC) attached to the load lock chamber (S 4 ); transporting the pre-baked substrate from the WHC to a reactor chamber (S 5 ) to process the pre-baked substrate in the reactor chamber; transporting the processed substrate from the reactor chamber to the WHC (S 6
- the hot inert gas blowing through the pre-baking apparatus is N 2 gas flowing at a flow rate of about 10 slm to about 40 slm (standard liter/minute) and being heated to about 50° C. to about 150° C.
- the compartments of the pre-baking apparatus are heated to about 50° C. to about 150° C.
- FIG. 7 is a schematic plan view of a compartment showing temperature-measurement positions on a substrate (a 300-mm wafer).
- a first cartridge heater 77 a was installed in each side wall near the slit 76 (front opening)
- a second cartridge heater 77 b (having a higher heat capacity than the first cartridge heater) was installed in each side wall behind the first cartridge heater 77 a
- a third cartridge heater 77 c (having the same heat capacity as the first cartridge heater) was installed in the rear wall next to a N 2 gas buffer pipe 75 on both sides.
- the set temperature of the cartridge heaters was 100° C.
- a heated nitrogen gas (the temperature was set at 100° C. at a N 2 heat exchanger) was introduced into the compartment from the center of the rear wall through a square nozzle at 30 SLM.
- a thermocouple (TC) 74 was installed in the rear wall for controlling temperature.
- the chamber can be provided with an over-temperature TC in the rear wall so that when overheating is detected, the system can be shut down.
- the pressure in the compartment was atmospheric pressure.
- a wafer was placed inside the compartment on wafer supports, and the dimensions of the compartment were 11.5 mm in height, 320 mm in width, and 339 mm in depth.
- Temperatures at locations 1 to 9 on the wafer shown in FIG. 7 were measured 240 to 300 seconds after the wafer was loaded (upper slots required less time to reach the set temperature than lower slots). The above temperature measurement was conducted on compartments of slot Nos. 1, 5, 9, 13, 18, and 19.
- FIG. 8 is a graph showing temperatures at the measurement positions of the different compartments. As can be seen from FIG. 8 , all of the measured temperatures were between 90.0° C. and 98.0° C., i.e., in-plane temperature variations and slot-to-slot temperature variations were significantly low, and uniform heating was achieved.
- a run rate (throughput: the number of wafers processed per hour), RR, was estimated using a system substantially similar to that used in Example 1 by simulation.
- the conditions for the simulation were as follows:
- Loading pattern Cascade (from one FOUP, loading a wafer to a front end robot (FE RB; an atmospheric robot), loading a wafer to a load lock chamber, loading a wafer to a back end robot (BE RB; a vacuum robot), loading a wafer to each of multiple reaction chambers are conducted simultaneously, for processing);
- FE RB front end robot
- BE RB back end robot
- the number of slots of the pre-baking station 12 ;
- the duration of pre-baking at the pre-baking station 200 seconds;
- Pre-baking operation Three conditions (no pre-baking; pre-baking in a reaction chamber; pre-baking in an EFEM); and
- Thickness of film deposited Two conditions (100 ⁇ ; 320 ⁇ ).
- the RR was significantly improved by performing pre-baking in the EFEM, but not in the RC.
- the RR improvement was more significant for thick layer deposition, and the pre-baking did not reduce the RR at all.
- the pre-baking still reduced the RR, i.e., 12 slots appear not to be sufficient for thin layer deposition.
- the pre-baking station By increasing the number of slots provided in the pre-baking station, or by using another pre-baking station in the EFEM, the pre-baking station will no longer be a RR limiting factor even for thin layer deposition as shown in Table 3 below.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Mechanical Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/327,134 US9349620B2 (en) | 2014-07-09 | 2014-07-09 | Apparatus and method for pre-baking substrate upstream of process chamber |
TW104115532A TW201603166A (zh) | 2014-07-09 | 2015-05-15 | 製程腔體上游預烘烤基板的裝置及方法 |
JP2015134506A JP2016018994A (ja) | 2014-07-09 | 2015-07-03 | 処理チャンバの上流の基板をプリベークする装置及び方法 |
KR1020150097114A KR20160006630A (ko) | 2014-07-09 | 2015-07-08 | 프로세스 챔버의 기판 업스트림 프리-베이킹 장치 및 방법 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/327,134 US9349620B2 (en) | 2014-07-09 | 2014-07-09 | Apparatus and method for pre-baking substrate upstream of process chamber |
Publications (2)
Publication Number | Publication Date |
---|---|
US20160013084A1 US20160013084A1 (en) | 2016-01-14 |
US9349620B2 true US9349620B2 (en) | 2016-05-24 |
Family
ID=55068120
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/327,134 Active 2034-12-11 US9349620B2 (en) | 2014-07-09 | 2014-07-09 | Apparatus and method for pre-baking substrate upstream of process chamber |
Country Status (4)
Country | Link |
---|---|
US (1) | US9349620B2 (zh) |
JP (1) | JP2016018994A (zh) |
KR (1) | KR20160006630A (zh) |
TW (1) | TW201603166A (zh) |
Cited By (318)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20150289729A1 (en) * | 2014-04-10 | 2015-10-15 | Alan J. ROBERTSON | Towel rack |
US20160273836A1 (en) * | 2015-03-20 | 2016-09-22 | Tokyo Electron Limited | Clamp apparatus, substrate carry-in/out apparatus using the same, and substrate processing apparatus |
US20160322241A1 (en) * | 2015-04-30 | 2016-11-03 | Shibaura Mechatronics Corporation | Substrate processing apparatus and substrate processing method |
US20180104724A1 (en) * | 2016-03-04 | 2018-04-19 | Boe Technology Group Co., Ltd. | Air curtain device |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US12051602B2 (en) | 2020-05-04 | 2024-07-30 | Asm Ip Holding B.V. | Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US12125700B2 (en) | 2021-01-13 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
Families Citing this family (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108780766B (zh) | 2016-03-08 | 2022-03-04 | 瑞士艾发科技 | 用于衬底脱气的室 |
TWD188699S (zh) * | 2017-06-09 | 2018-02-21 | 香岳實業股份有限公司 | 垂直式加熱模組 |
US10566216B2 (en) * | 2017-06-09 | 2020-02-18 | Lam Research Corporation | Equipment front end module gas recirculation |
TWD188696S (zh) * | 2017-06-09 | 2018-02-21 | 香岳實業股份有限公司 | 通風道型加熱模組 |
TWI717034B (zh) | 2017-06-23 | 2021-01-21 | 美商應用材料股份有限公司 | 側儲存倉、電子裝置處理系統、和處理基板的方法 |
KR102527659B1 (ko) | 2017-11-27 | 2023-05-03 | 삼성전자주식회사 | 공기청정기 |
Citations (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3094396A (en) * | 1959-07-07 | 1963-06-18 | Continental Can Co | Method of and apparatus for curing internal coatings on can bodies |
US5577331A (en) * | 1994-06-30 | 1996-11-26 | Nippon Precision Circuits Inc. | Downflow spin dryer |
US5621982A (en) * | 1992-07-29 | 1997-04-22 | Shinko Electric Co., Ltd. | Electronic substrate processing system using portable closed containers and its equipments |
US5724748A (en) * | 1996-07-24 | 1998-03-10 | Brooks; Ray G. | Apparatus for packaging contaminant-sensitive articles and resulting package |
US5852879A (en) * | 1995-04-26 | 1998-12-29 | Schumaier; Daniel R. | Moisture sensitive item drying appliance |
US6134807A (en) * | 1997-05-16 | 2000-10-24 | Tokyo Electron Limited | Drying processing method and apparatus using same |
US6212789B1 (en) * | 1998-06-19 | 2001-04-10 | Canon Sales Co., Inc. | Semiconductor device manufacturing system |
US6325858B1 (en) * | 1997-11-03 | 2001-12-04 | Asm America, Inc. | Long life high temperature process chamber |
US20040016637A1 (en) * | 2002-07-24 | 2004-01-29 | Applied Materials, Inc. | Multi-chemistry plating system |
US6760981B2 (en) * | 2002-01-18 | 2004-07-13 | Speedline Technologies, Inc. | Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation |
US6874247B1 (en) * | 2004-10-12 | 2005-04-05 | Tsang-Hung Hsu | Toothbrush dryer |
KR20080052750A (ko) * | 2006-12-08 | 2008-06-12 | 현대자동차주식회사 | 차량의 노우즈업 제어장치 |
US20080257494A1 (en) | 2007-01-31 | 2008-10-23 | Tokyo Electron Limited | Substrate processing apparatus |
KR20100020834A (ko) * | 2008-08-13 | 2010-02-23 | 주식회사 동부하이텍 | 백 메탈 공정챔버 |
US20110143461A1 (en) | 2009-12-15 | 2011-06-16 | Varian Semiconductor Equipment Associates, Inc. | In vacuum optical wafer heater for cryogenic processing |
US7992318B2 (en) * | 2007-01-22 | 2011-08-09 | Tokyo Electron Limited | Heating apparatus, heating method, and computer readable storage medium |
US8020315B2 (en) * | 2006-09-07 | 2011-09-20 | Tokyo Electron Limited | Substrate processing method, substrate processing apparatus, and program storage medium |
JP2012146939A (ja) * | 2010-12-21 | 2012-08-02 | Hitachi Kokusai Electric Inc | 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法 |
US8393091B2 (en) * | 2007-02-21 | 2013-03-12 | Fujitsu Semiconductor Limited | Substrate processing method, and method of manufacturing semiconductor device |
US9171714B2 (en) * | 2012-09-07 | 2015-10-27 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
Family Cites Families (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100956247B1 (ko) * | 2007-12-13 | 2010-05-06 | 삼성엘이디 주식회사 | 금속유기 화학기상 증착장치 |
-
2014
- 2014-07-09 US US14/327,134 patent/US9349620B2/en active Active
-
2015
- 2015-05-15 TW TW104115532A patent/TW201603166A/zh unknown
- 2015-07-03 JP JP2015134506A patent/JP2016018994A/ja active Pending
- 2015-07-08 KR KR1020150097114A patent/KR20160006630A/ko unknown
Patent Citations (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3094396A (en) * | 1959-07-07 | 1963-06-18 | Continental Can Co | Method of and apparatus for curing internal coatings on can bodies |
US5621982A (en) * | 1992-07-29 | 1997-04-22 | Shinko Electric Co., Ltd. | Electronic substrate processing system using portable closed containers and its equipments |
US5577331A (en) * | 1994-06-30 | 1996-11-26 | Nippon Precision Circuits Inc. | Downflow spin dryer |
US5852879A (en) * | 1995-04-26 | 1998-12-29 | Schumaier; Daniel R. | Moisture sensitive item drying appliance |
US5724748A (en) * | 1996-07-24 | 1998-03-10 | Brooks; Ray G. | Apparatus for packaging contaminant-sensitive articles and resulting package |
US6134807A (en) * | 1997-05-16 | 2000-10-24 | Tokyo Electron Limited | Drying processing method and apparatus using same |
US6325858B1 (en) * | 1997-11-03 | 2001-12-04 | Asm America, Inc. | Long life high temperature process chamber |
US6212789B1 (en) * | 1998-06-19 | 2001-04-10 | Canon Sales Co., Inc. | Semiconductor device manufacturing system |
US6760981B2 (en) * | 2002-01-18 | 2004-07-13 | Speedline Technologies, Inc. | Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation |
US20040016637A1 (en) * | 2002-07-24 | 2004-01-29 | Applied Materials, Inc. | Multi-chemistry plating system |
US6874247B1 (en) * | 2004-10-12 | 2005-04-05 | Tsang-Hung Hsu | Toothbrush dryer |
US8020315B2 (en) * | 2006-09-07 | 2011-09-20 | Tokyo Electron Limited | Substrate processing method, substrate processing apparatus, and program storage medium |
KR20080052750A (ko) * | 2006-12-08 | 2008-06-12 | 현대자동차주식회사 | 차량의 노우즈업 제어장치 |
US7992318B2 (en) * | 2007-01-22 | 2011-08-09 | Tokyo Electron Limited | Heating apparatus, heating method, and computer readable storage medium |
US20080257494A1 (en) | 2007-01-31 | 2008-10-23 | Tokyo Electron Limited | Substrate processing apparatus |
US8393091B2 (en) * | 2007-02-21 | 2013-03-12 | Fujitsu Semiconductor Limited | Substrate processing method, and method of manufacturing semiconductor device |
KR20100020834A (ko) * | 2008-08-13 | 2010-02-23 | 주식회사 동부하이텍 | 백 메탈 공정챔버 |
US20110143461A1 (en) | 2009-12-15 | 2011-06-16 | Varian Semiconductor Equipment Associates, Inc. | In vacuum optical wafer heater for cryogenic processing |
JP2012146939A (ja) * | 2010-12-21 | 2012-08-02 | Hitachi Kokusai Electric Inc | 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法 |
US9171714B2 (en) * | 2012-09-07 | 2015-10-27 | Applied Materials, Inc. | Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation |
Cited By (415)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US20150289729A1 (en) * | 2014-04-10 | 2015-10-15 | Alan J. ROBERTSON | Towel rack |
US9918594B2 (en) * | 2014-04-10 | 2018-03-20 | Alan J. ROBERTSON | Towel rack |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9857124B2 (en) * | 2015-03-20 | 2018-01-02 | Tokyo Electron Limited | Clamp apparatus, substrate carry-in/out apparatus using the same, and substrate processing apparatus |
US20160273836A1 (en) * | 2015-03-20 | 2016-09-22 | Tokyo Electron Limited | Clamp apparatus, substrate carry-in/out apparatus using the same, and substrate processing apparatus |
US9964358B2 (en) * | 2015-04-30 | 2018-05-08 | Shibaura Mechatronics Corporation | Substrate processing apparatus and substrate processing method |
US20160322241A1 (en) * | 2015-04-30 | 2016-11-03 | Shibaura Mechatronics Corporation | Substrate processing apparatus and substrate processing method |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US20180104724A1 (en) * | 2016-03-04 | 2018-04-19 | Boe Technology Group Co., Ltd. | Air curtain device |
US10252299B2 (en) * | 2016-03-04 | 2019-04-09 | Boe Technology Group Co., Ltd. | Air curtain device |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US12000042B2 (en) | 2016-12-15 | 2024-06-04 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11970766B2 (en) | 2016-12-15 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US12106965B2 (en) | 2017-02-15 | 2024-10-01 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11976361B2 (en) | 2017-06-28 | 2024-05-07 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US12033861B2 (en) | 2017-10-05 | 2024-07-09 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US12040184B2 (en) | 2017-10-30 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11972944B2 (en) | 2018-01-19 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US12119228B2 (en) | 2018-01-19 | 2024-10-15 | Asm Ip Holding B.V. | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US12020938B2 (en) | 2018-03-27 | 2024-06-25 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11453946B2 (en) | 2019-06-06 | 2022-09-27 | Asm Ip Holding B.V. | Gas-phase reactor system including a gas detector |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US12107000B2 (en) | 2019-07-10 | 2024-10-01 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11996304B2 (en) | 2019-07-16 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing device |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US12040229B2 (en) | 2019-08-22 | 2024-07-16 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US12033849B2 (en) | 2019-08-23 | 2024-07-09 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US12119220B2 (en) | 2019-12-19 | 2024-10-15 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US12051602B2 (en) | 2020-05-04 | 2024-07-30 | Asm Ip Holding B.V. | Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US12055863B2 (en) | 2020-07-17 | 2024-08-06 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US12125700B2 (en) | 2021-01-13 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US12131885B2 (en) | 2021-12-17 | 2024-10-29 | Asm Ip Holding B.V. | Plasma treatment device having matching box |
US12129545B2 (en) | 2021-12-17 | 2024-10-29 | Asm Ip Holding B.V. | Precursor capsule, a vessel and a method |
US12130084B2 (en) | 2022-11-14 | 2024-10-29 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US12129548B2 (en) | 2023-04-05 | 2024-10-29 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
Also Published As
Publication number | Publication date |
---|---|
KR20160006630A (ko) | 2016-01-19 |
JP2016018994A (ja) | 2016-02-01 |
US20160013084A1 (en) | 2016-01-14 |
TW201603166A (zh) | 2016-01-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9349620B2 (en) | Apparatus and method for pre-baking substrate upstream of process chamber | |
US8524004B2 (en) | Loadlock batch ozone cure | |
JP7125430B2 (ja) | インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法 | |
US6780251B2 (en) | Substrate processing apparatus and method for fabricating semiconductor device | |
US9378991B2 (en) | Substrate processing apparatus and substrate processing method | |
US20100147396A1 (en) | Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus | |
JP2010153467A (ja) | 基板処理装置および半導体装置の製造方法 | |
US11404291B2 (en) | Substrate processing apparatus and method of manufacturing semiconductor device | |
KR20180124726A (ko) | 할로겐 제거 모듈 및 연관된 시스템들 및 방법들 | |
TW202324569A (zh) | 熱扼流板 | |
KR20230038781A (ko) | 다중 프로세스 반도체 프로세싱 시스템 | |
KR102396808B1 (ko) | 다중-기판 열 관리 장치 | |
US20240234183A1 (en) | Substrate processing apparatus | |
JP6282983B2 (ja) | 基板処理装置 | |
TWI700764B (zh) | 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置 | |
TW202145411A (zh) | 半導體處理系統的底部淨化 | |
JP7175201B2 (ja) | 処理装置 | |
JP6823575B2 (ja) | 基板処理装置、反応管及び半導体装置の製造方法 | |
TWI831676B (zh) | 用於更高產量和更快轉變時間的半導體處理腔室架構 | |
TW202302904A (zh) | 熱噴淋頭 | |
JP2004304116A (ja) | 基板処理装置 | |
KR20230039732A (ko) | 반도체 처리 시스템들의 분배 컴포넌트들 | |
KR20230130775A (ko) | 처리 장치, 배기 시스템 및 반도체 장치의 제조 방법 | |
US20240290644A1 (en) | Two level vacuum wafer transfer system with robots on each level | |
JP2012033619A (ja) | 基板処理装置及び基板搬送方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: ASM IP HOLDING B.V., NETHERLANDS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAMATA, KEISUKE;SATO, HIROYUKI;FURUKAWAHARA, KAZUNORI;AND OTHERS;SIGNING DATES FROM 20140704 TO 20140708;REEL/FRAME:033274/0509 |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 4 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 8 |