JP2012146939A - 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法 - Google Patents

基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法 Download PDF

Info

Publication number
JP2012146939A
JP2012146939A JP2011037171A JP2011037171A JP2012146939A JP 2012146939 A JP2012146939 A JP 2012146939A JP 2011037171 A JP2011037171 A JP 2011037171A JP 2011037171 A JP2011037171 A JP 2011037171A JP 2012146939 A JP2012146939 A JP 2012146939A
Authority
JP
Japan
Prior art keywords
gas
gas supply
supply port
reaction chamber
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011037171A
Other languages
English (en)
Other versions
JP5735304B2 (ja
JP2012146939A5 (ja
Inventor
Kenji Shirako
賢治 白子
Masanao Fukuda
正直 福田
Takashi Sasaki
隆史 佐々木
Yoshinori Imai
義則 今井
Daisuke Hara
大介 原
Shuhei Nishido
周平 西堂
Yukinaga Kuribayashi
幸永 栗林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2011037171A priority Critical patent/JP5735304B2/ja
Priority to US13/331,123 priority patent/US20120156886A1/en
Priority to CN201110442414.6A priority patent/CN102543689B/zh
Publication of JP2012146939A publication Critical patent/JP2012146939A/ja
Publication of JP2012146939A5 publication Critical patent/JP2012146939A5/ja
Application granted granted Critical
Publication of JP5735304B2 publication Critical patent/JP5735304B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

【課題】基板(特にSiCエピタキシャル膜が形成された基板)の生産効率を向上させると共にガス供給口への膜の形成を抑制する。
【解決手段】複数の基板14が縦方向に並んで配置される反応室と、反応室を覆うように設けられ、処理室を加熱する加熱部と、反応室内に複数の基板14に沿うように設けられ、複数の基板14が配置される方向に向けて第1ガスを噴出する第1ガス供給口68を有する第1ガス供給管60と、反応室内に複数の基板14に沿うように設けられ、複数の基板14が配置される方向に向けて第2ガスを噴出する第2ガス供給口72を有する第2ガス供給管70と、少なくとも第2ガスが第1ガス供給口へ向かう流れを抑制する第1遮蔽部と、を具備する熱処理装置。
【選択図】図6

Description

本発明は、基板を処理する基板処理装置、半導体デバイスの製造方法及び基板の製造方法、特に炭化ケイ素(以下、SiCとする)エピタキシャル膜を基板上に成膜する工程を有する基板処理装置、半導体デバイスの製造方法及び基板製造方法に関するもの、又は、当該基板処理装置に用いられるガス供給ノズルに関するものである。
SiCは、特にパワーデバイス用素子材料として注目されている。一方で、SiCはシリコン(以下Siとする)に比べて結晶基板やデバイスの作製が難しいことが知られている。
一方で、SiCを用いてデバイスを作製する場合は、SiC基板の上にSiCエピタキシャル膜を形成したウェーハを用いる。このSiC基板上にSiCエピタキシャル膜を形成するSiCエピタキシャル成長装置の一例として特許文献1がある。
特許文献1にも代表されるように、現在SiCエピタキシャル膜を形成する装置は、平面状のサセプタに複数のウェーハを配置し、装置中央部から原料ガスを供給する構成を取っている。
特開2006−196807号公報
しかしながら、特許文献1に代表されるような平面状のサセプタに複数のウェーハを配置する装置構成では、基板コストの削減のため多数枚のウェーハを一度に処理する場合やウェーハの径を大きくする場合、反応室の床面積が増大してしまう問題がある。
本発明は斯かる実情に鑑み、高温条件下で行われるSiCエピタキシャル膜成長に於いて、複数枚の基板を均一に成膜することができる基板処理装置及び半導体デバイスの製造方法及び基板の製造方法を提供することを一つの目的とするものである。
前記課題を解決するための本発明の代表的な例は、複数の基板が縦方向に並んで配置される反応室と、前記反応室を覆うように設けられ、前記処理室を加熱する加熱部と、前記反応室内に前記複数の基板に沿うように設けられ、前記複数の基板が配置される方向に向けて第1ガスを噴出する第1ガス供給口を有する第1ガス供給管と、前記反応室内に前記複数の基板に沿うように設けられ、前記複数の基板が配置される方向に向けて第2ガスを噴出する第2ガス供給口を有する第2ガス供給管と、少なくとも前記第2ガスが前記第1ガス供給口へ向かう流れを抑制する第1遮蔽部と、を具備する熱処理装置である。
前記課題を解決するための本発明の代表的な他の例は、複数の基板を縦方向に搭載したボートを反応室内に搬入するボートローディング工程と、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第1ガス供給ノズルに設けられた第1ガス供給口から第1ガス、及び、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第2ガス供給ノズルに設けられた第2ガス供給口から第2ガスを前記複数の基板に供給し、前記第1ガスと前記第2ガスが混合されることにより前記複数の基板上に所定の膜を形成する成膜工程と、前記所定の膜が形成された前記複数の基板を前記反応室から搬出するボートアンローディング工程と、を有し、前記成膜工程において、前記第1ガスが前記第2ガス供給口に向かう流れを遮蔽部により抑制する半導体デバイスの製造方法、又は、基板の製造方法である。
本発明によれば、生産性の向上を図ることができる。
本発明が適用される半導体製造装置の斜視図である。 本発明が適用される処理炉の側面断面図である。 本発明が適用される処理炉の平面断面図である。 本発明が適用される半導体製造装置の制御構成を示すブロック図である。 本発明が適用される半導体製造装置の処理炉及びその周辺構造の概略断面図である。 第1の実施形態に関する処理炉の平断面図の概略の一例である。 第1の実施形態に関するガス供給ノズルの一例を示す図である。 第1の実施形態に関するガス供給ノズルの他の一例を示す図である。 第1の実施形態に関するガス供給ノズルの他の一例を示す図である。 第1の実施形態に関するガス供給ノズルの他の一例を示す図である。 第1の実施形態に関する本発明の処理炉の平断面図の概略の他の一例である。 第2の実施形態に関する処理炉の平断面図の概略の一例である。 第2の実施形態に関する処理炉の平断面図の概略の他の一例である。 第3の実施形態に関する処理炉の平断面図の概略の一例である。 第4の実施形態に関する課題を説明する図である。 第4の実施形態に関するガス供給ノズルの一例を示す図である。 第4の実施形態に関する処理炉の平断面図の概略の一例である。
以下、図面を参照しつつ本発明の実施形態を説明する。以下の実施形態では、基板処理装置の一例であるSiCエピタキシャル成長装置における、高さ方向にSiCウェーハを並べる、所謂バッチ式縦型SiCエピタキシャル成長装置で説明する。なお、バッチ式縦型SiCエピタキシャル成長装置とすることで、一度に処理できるSiCウェーハの数が多くなりスループットが向上する。
<<第1の実施形態>>
<全体構成>
先ず、図1に於いて、本発明の第1の実施形態に於けるSiCエピタキシャル膜を成膜する基板処理装置、および、半導体デバイスの製造工程の一つであるSiCエピタキシャル膜を成膜する基板の製造方法について説明する。
基板処理装置(成膜装置)としての半導体製造装置10は、バッチ縦型式熱処理装置であり、主要部が配置される筐体12を有する。前記半導体製造装置10には、例えばSi又はSiC等で構成された基板としてのウェーハ14(図2参照)を収納する基板収容器として、フープ(以下、ポッドと称す)16がウェーハキャリアとして使用される。前記筐体12の正面側には、ポッドステージ18が配置されており、該ポッドステージ18にポッド16が搬送される。ポッド16には、例えば25枚のウェーハ14が収納され、蓋が閉じられた状態で前記ポッドステージ18にセットされる。
前記筐体12内の正面であって、前記ポッドステージ18に対向する位置には、ポッド搬送装置20が配置されている。又、該ポッド搬送装置20の近傍にはポッド収納棚22、ポッドオープナ24及び基板枚数検知器26が配置されている。前記ポッド収納棚22は前記ポッドオープナ24の上方に配置され、ポッド16を複数個載置した状態で保持する様に構成されている。前記基板枚数検知器26は、前記ポッドオープナ24に隣接して配置され、前記ポッド搬送装置20は前記ポッドステージ18と前記ポッド収納棚22と前記ポッドオープナ24との間でポッド16を搬送する。前記ポッドオープナ24はポッド16の蓋を開けるものであり、前記基板枚数検知器26は蓋を開けられたポッド16内のウェーハ14の枚数を検知する様になっている。
前記筐体12内には、基板移載機28、基板保持具としてのボート30が配置されている。前記基板移載機28は、アーム(ツイーザ)32を有し、図示しない駆動手段により昇降可能且つ回転可能な構造となっている。前記アーム32は、例えば5枚のウェーハ14を取出すことができ、前記アーム32を動かすことにより、前記ポッドオープナ24の位置に置かれたポッド16及びボート30間にてウェーハ14を搬送する。
前記ボート30は、例えばカーボングラファイトやSiC等の耐熱性材料で構成されており、複数枚のウェーハ14を水平姿勢で、且つ互いに中心を揃えた状態で整列させて縦方向に積上げ、保持する様に構成されている。尚、前記ボート30の下部には、例えば石英やSiC等の耐熱性材料で構成された円盤形状の断熱部材としてボート断熱部34が配置されており、後述する被加熱体48からの熱が処理炉40の下方側に伝わりにくくなる様に構成されている(図2参照)。
前記筐体12内の背面側上部には前記処理炉40が配置されている。該処理炉40内に複数枚のウェーハ14を装填した前記ボート30が搬入され、熱処理が行われる。
<処理炉構成>
次に、図2、図3に於いて、SiCエピタキシャル膜を成膜する前記半導体製造装置10の前記処理炉40について説明する。処理炉40には、第1のガス供給口68を有する第1のガス供給ノズル60、第2のガス供給口72を有する第2のガス供給ノズル70、及び第1のガス排気口90が代表例としてそれぞれ1つずつ図示されている。又、不活性ガスを供給する第3のガス供給口360、第2のガス排気口390が図示されている。
前記処理炉40は、円筒形状の反応室44を形成する前記反応管42を備えている。該反応管42は、石英又はSiC等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成されている。該反応管42の内側の筒中空部には、前記反応室44が形成れており、Si又はSiC等で構成された基板としてのウェーハ14を前記ボート30によって水平姿勢で、且つ互いに中心を揃えた状態で整列させて縦方向に積上げ、保持した状態で収納可能に構成されている。
前記反応管42の下方には、該反応管42と同心円状にマニホールド36が配設されている。該マニホールド36は、例えばステンレス等からなり、上端及び下端が開口した円筒形状に形成されている。該マニホールド36は、前記反応管42を支持する様に設けられている。尚、前記マニホールド36と前記反応管42との間には、シール部材としてのOリング(図示せず)が設けられている。前記マニホールド36が図示しない保持体に支持されることにより、前記反応管42は垂直に据付けられた状態になっている。該反応管42と前記マニホールド36により、反応容器が形成されている。
前記処理炉40は、加熱される被加熱体48及び磁場発生部としての誘導コイル50を具備している。前記被加熱体48は、前記反応室44内に配設され、該反応管42の外側に設けられた前記誘導コイル50により発生される磁場によって加熱される様になっており、前記被加熱体48が発熱することにより、前記反応室44内が加熱される様になっている。
前記被加熱体48の近傍には、前記反応室44内の温度を検出する温度検出体として図示しない温度センサが設けられている。前記誘導コイル50及び温度センサは、温度制御部52と電気的に接続されており、温度センサにより検出された温度情報に基づき、前記誘導コイル50への通電具合が調節されることで、前記反応室44内の温度が所望の温度分布となる様所定のタイミングにて制御される様構成されている(図4参照)。
尚、好ましくは、前記反応室44内に於いて前記第1及び第2のガス供給ノズル60,70と第1のガス排気口90との間であって、前記被加熱体48とウェーハ14との間には、前記被加熱体48とウェーハ14との間の空間を埋める様、鉛直方向に延在し断面が円弧状の構造物400を前記反応室44内に設けるのがよい。例えば、図3に示す様に、対向する位置にそれぞれ構造物400を設けることで、前記第1及び第2のガス供給ノズル60,70から供給されるガスが、前記被加熱体48の内壁に沿ってウェーハ14を迂回するのを防止することができる。前記構造物400としては、好ましくは断熱材若しくはカーボンフェルト等で構成すると、耐熱及びパーティクルの発生を抑制することができる。
前記反応管42と前記被加熱体48との間には、例えば誘電されにくいカーボンフェルト等で構成された断熱材54が設けられ、該断熱材54を設けることにより、前記被加熱体48の熱が前記反応管42或は該反応管42の外側へ伝達するのを抑制することができる。
又、前記誘導コイル50の外側には、前記反応室44内の熱が外側に伝達するのを抑制する為の、例えば水冷構造である外側断熱壁が前記反応室44を囲む様に設けられている。更に、前記外側断熱壁の外側には、前記誘導コイル50により発生された磁場が外側に漏れるのを防止する磁気シール58が設けられている。
図2に示す様に、前記被加熱体48とウェーハ14との間には、少なくともSi(シリコン)原子含有ガスと、Cl(塩素)原子含有ガスとをウェーハ14に供給するために少なくとも1つの第1のガス供給口68が設けられた第1のガス供給ノズル60が設置される。又、被加熱体48とウェーハ14との間の前記第1のガス供給ノズル60とは異なる箇所には、少なくともC(炭素)原子含有ガスと還元ガスとをウェーハ14に供給するために、少なくとも1つの前記第2のガス供給口72が設けられた第2のガス供給ノズル70が設けられる。また、第1のガス排気口90も同様に被加熱体48とウェーハ14との間に配置される。又、前記反応管42と前記断熱材54との間に、前記第3のガス供給口360及び前記第2のガス排気口390が配置されている。
なお、上述の第1のガス供給ノズル60及び第2のガス供給ノズル70へ供給されるガスは、装置構造を説明するための一例であり、その詳細については後述する。また、本図において、説明を簡単にするために第1のガス供給ノズル60及び第2のガス供給ノズル70を1本ずつ配置しているが、これらについても後に詳述する。
前記第1のガス供給口68及び第1のガス供給ノズル60は、例えばカーボングラファイトで構成され、前記反応室44内に設けられる。又、前記第1のガス供給ノズル60は、マニホールド36を貫通する様に該マニホールド36に取付けられている。ここで、SiCエピタキシャル膜を形成する際に、前記第1のガス供給口68は、少なくともSi(シリコン)原子含有ガスとして、例えばモノシラン(以下SiH4とする)ガスと、Cl(塩素)原子含有ガスとして、例えば塩化水素(以下HClとする)ガスとを前記第1のガス供給ノズル60を介して、前記反応室44内に供給する様になっている。
該第1のガス供給ノズル60は、第1のガスライン222に接続されている。該第1のガスライン222は、例えばガス配管213a,213bに接続され、該ガス配管213a,213bはそれぞれSiH4ガス、HClガスに対して流量制御器(流量制御手段)としてのマスフローコントローラ(以下MFCとする)211a,211b及びバルブ212a,212bを介して、例えばSiH4ガス供給源210a、HClガス供給源210bに接続されている。
上記構成により、例えばSiH4ガス、HClガスのそれぞれの供給流量、濃度、分圧、供給タイミングを前記反応室44内に於いて制御することができる。前記バルブ212a,212b、前記MFC211a,211bは、ガス流量制御部78に電気的に接続されており、それぞれ供給するガスの流量が所定流量となる様に、所定のタイミングにて制御される様になっている(図4参照)。尚、SiH4ガス、HClガスそれぞれの前記ガス供給源210a,210b、前記バルブ212a,212b、前記MFC211a,211b、前記ガス配管213a,213b、前記第1のガスライン222、前記第1のガス供給ノズル60及び該第1のガス供給ノズル60に少なくとも1つ設けられる前記第1のガス供給口68により、ガス供給系として第1のガス供給系が構成される。
前記第2のガス供給口72は、例えばカーボングラファイトで構成され、前記反応室44内に設けられる。また、前記第2のガス供給ノズル70は、前記マニホールド36を貫通する様に、該マニホールド36に取付けられている。ここで、SiCエピタキシャル膜を形成する際に、前記第2のガス供給口72は、少なくともC(炭素)原子含有ガスとして、例えばプロパン(以下C3H8とする)ガスと、還元ガスとして、例えば水素(H原子単体、若しくはH2分子。以下H2とする)とを前記第2のガス供給ノズル70を介して前記反応室44内に供給する様になっている。尚、前記第2のガス供給ノズル70は、複数本設けてもよい。
該第2のガス供給ノズル70は、第2のガスライン260に接続されている。該第2のガスライン260は、例えばガス配管213c,213dと接続され、該ガス配管213c,213dはそれぞれ、C(炭素)原子含有ガスとして、例えばC3H8ガスに対して流量制御手段としてのMFC211c及びバルブ212cを介してC3H8ガス供給源210cに接続され、還元ガスとして、例えばH2ガスに対して流量制御手段としてのMFC211d及びバルブ212dを介してH2ガス供給源210dに接続されている。
上記構成により、例えばC3H8ガス、H2ガスの供給流量、濃度、分圧を前記反応室44内に於いて制御することができる。前記バルブ212c,212d、前記MFC211c,211dは前記ガス流量制御部78に電気的に接続されており、供給するガス流量が所定の流量となる様、所定のタイミングにて制御される様になっている(図4参照)。尚、C3H8ガス、H2ガスのガス供給源210c,210d、前記バルブ212c,212d、前記MFC211c,211d、前記ガス配管213c,213d、前記第2のガスライン260、第2のガス供給ノズル70、前記第2のガス供給口72により、ガス供給系として第2のガス供給系が構成される。
又、前記第1のガス供給ノズル60及び前記第2のガス供給ノズル70に於いて、基板の配列領域に前記第1のガス供給口68及び前記第2のガス供給口72が1つ設けられていてもよく、ウェーハ14の所要数枚毎に設けられていてもよい。
<排気系>
図3に示す様に、前記第1のガス排気口90が、前記第1のガス供給ノズル60及び前記第2のガス供給ノズル70の位置に対して対向する様に配置され、前記マニホールド36には、前記第1のガス排気口90に接続されたガス排気管230が貫通する様設けられている。該ガス排気管230の下流側には、図示しない圧力検出器としての圧力センサ及び、圧力調整器としてのAPC(Auto Pressure Controller)バルブ214を介して真空ポンプ等の真空排気装置220が接続されている。圧力センサ及び前記APCバルブ214には、圧力制御部98が電気的に接続されており、該圧力制御部98は圧力センサにより検出された圧力に基づいて前記APCバルブ214の開度を調整し、前記処理炉40内の圧力が所定の圧力となる様所定のタイミングにて制御する様に構成されている(図4参照)。
上記した様に、前記第1のガス供給口68から少なくともSi(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給し、前記第2のガス供給口72から少なくともC(炭素)原子含有ガスと還元ガスとを供給し、供給されたガスはSi又はSiCで構成されたウェーハ14に対し平行に流れ、前記第1のガス排気口90より排気されるので、ウェーハ14全体が効率的且つ均一にガスに晒される。
又、図3に示す様に、前記第3のガス供給口360は前記反応管42と前記断熱材54との間に配置され、前記マニホールド36を貫通する様に取付けられている。更に、前記第2のガス排気口390が、前記反応管42と前記断熱材54との間であり、前記第3のガス供給口360に対して対向する様に配置され、前記第2のガス排気口390は前記ガス排気管230に接続されている。前記第3のガス供給口360は前記マニホールド36を貫通する第3のガスライン240に形成され、バルブ212e、MFC211eを介してガス供給源210eと接続されている。該ガス供給源210eからは不活性ガスとして、例えば希ガスのArガスが供給され、SiCエピタキシャル膜成長に寄与するガス、例えばSi(シリコン)原子含有ガス又はC(炭素)原子含有ガス又はCl(塩素)原子含有ガス又はそれらの混合ガスが、前記反応管42と前記断熱材54との間に進入するのを防ぎ、前記反応管42の内壁又は前記断熱材54の外壁に不要な生成物が付着するのを防止することができる。
又、前記反応管42と前記断熱材54との間に供給された不活性ガスは、前記第2のガス排気口390より前記ガス排気管230の下流側にある前記APCバルブ214を介して前記真空排気装置220から排気される。
<処理炉の周辺構成>
次に、図5に於いて、前記処理炉40及びその周辺の構成について説明する。
該処理炉40の下方には、該処理炉40の下端開口を気密に閉塞する為の炉口蓋体としてシールキャップ102が設けられている。該シールキャップ102は、例えばステンレス等の金属製であり、円盤状に形成されている。該シールキャップ102の上面には、前記処理炉40の下端と当接するシール材としてのOリング(図示せず)が設けられている。前記シールキャップ102には回転機構104が設けられ、該回転機構104の回転軸106は前記シールキャップ102を貫通して前記ボート30に接続されており、該ボート30を回転させることでウェーハ14を回転させる様に構成されている。
又、前記シールキャップ102は前記処理炉40の外側に設けられた昇降機構として、後述する昇降モータ122によって垂直方向に昇降される様に構成されており、これにより前記ボート30を前記処理炉40に対して搬入搬出することが可能となっている。前記回転機構104及び前記昇降モータ122には、駆動制御部108が電気的に接続されており、所定の動作をする様所定のタイミングにて制御する様構成されている(図4参照)。
予備室としてのロードロック室110の外面に下基板112が設けられている。該下基板112には、昇降台114と摺動自在に嵌合するガイドシャフト116及び前記昇降台114と螺合するボール螺子118が設けられている。又、前記下基板112に立設した前記ガイドシャフト116及び前記ボール螺子118の上端には上基板120が設けられている。前記ボール螺子118は、前記上基板120に設けられた前記昇降モータ122によって回転され、前記ボール螺子118が回転されることで前記昇降台114が昇降する様になっている。
該昇降台114には中空の昇降シャフト124が垂設され、前記昇降台114と前記昇降シャフト124の連結部は気密となっており、該昇降シャフト124は前記昇降台114と共に昇降する様になっている。前記昇降シャフト124は前記ロードロック室110の天板126を遊貫し、前記昇降シャフト124が貫通する前記天板126の貫通孔は、前記昇降シャフト124が前記天板126と接触することがない様充分な隙間が形成されている。
又、前記ロードロック室110と前記昇降台114との間には、前記昇降シャフト124の周囲を覆う様に伸縮性を有する中空伸縮体としてベローズ128が設けられ、該ベローズ128により前記ロードロック室110が気密に保たれる様になっている。尚、前記ベローズ128は前記昇降台114の昇降量に対応できる充分な伸縮量を有し、前記ベローズ128の内径は前記昇降シャフト124の外径に比べて充分に大きく、伸縮の際に前記ベローズ128と前記昇降シャフト124が接触することがない様に構成されている。
該昇降シャフト124の下端には、昇降基板130が水平に固着され、該昇降基板130の下面にはOリング等のシール部材を介して駆動部カバー132が気密に取付けられる。前記昇降基板130と前記駆動部カバー132とで駆動部収納ケース134が構成され、この構成により該駆動部収納ケース134内部は前記ロードロック室110内の雰囲気と隔離される。
又、前記駆動部収納ケース134の内部には前記ボート30の前記回転機構104が設けられ、該回転機構104の周辺は冷却機構135によって冷却される様になっている。
電力ケーブル138は、前記昇降シャフト124の上端から中空部を通り、前記回転機構104に導かれて接続されている。又、前記冷却機構135及び前記シールキャップ102には冷却水流路140が形成されている。更に、冷却水配管142が前記昇降シャフト124の上端から中空部を通り前記冷却水流路140に導かれて接続されている。
前記昇降モータ122が駆動され、前記ボール螺子118が回転することで、前記昇降台114及び昇降シャフト124を介して前記駆動部収納ケース134を昇降させる。
該駆動部収納ケース134が上昇することにより、前記昇降基板130に気密に設けられている前記シールキャップ102が前記処理炉40の開口部である炉口144を閉塞し、ウェーハ処理が可能な状態となる。又、前記駆動部収納ケース134が下降することにより、前記シールキャップ102と共に前記ボート30が降下され、ウェーハ14を外部に搬出できる状態となる。
<制御部>
次に、図4に於いて、SiCエピタキシャル膜を成膜する前記半導体製造装置10を構成する各部の制御構成について説明する。
温度制御部52、前記ガス流量制御部78、前記圧力制御部98、前記駆動制御部108は、操作部及び入出力部を構成し、前記半導体製造装置10全体を制御する主制御部150に電気的に接続されている。又、前記温度制御部52、前記ガス流量制御部78、前記圧力制御部98、前記駆動制御部108は、コントローラ152として構成されている。
<各ガス供給系に供給されるガスの詳細>
次に、上述した第1のガス供給系及び第2のガス供給系を構成する理由について説明する。SiCエピタキシャル膜を成膜する半導体製造装置では、少なくともSi(シリコン)原子含有ガスと、C(炭素)原子含有ガスとで構成される原料ガスを前記反応室44に供給し、SiCエピタキシャル膜を成膜する必要がある。また、本実施例の様に、複数枚のウェーハ14が水平姿勢で多段に整列させて保持される場合に於いて、ウェーハ間の均一性を向上させるため、原料ガスを夫々のウェーハ近傍のガス供給口から供給できるように、前記反応室44内にガス供給ノズルを設けている。従って、ガス供給ノズル内も反応室と同じ条件となっている。この時、Si原子含有ガスとC原子含有ガスを同じガス供給ノズルにて供給すると、原料ガス同士が反応することで原料ガスが消費され、前記反応室44の下流側で原料ガスが不足するだけでなく、ガス供給ノズル内で反応し堆積したSiC膜等の堆積物がガス供給ノズルを閉塞し、原料ガスの供給が不安定になると共に、パーティクルを発生させる等の問題を生じてしまう。
そこで、本実施例では、第1のガス供給ノズル60を介してSi原子含有ガスを供給し、第2のガス供給ノズル70を介してC原子含有ガスを供給している。このように、Si原子含有ガスとC原子含有ガスを異なるガス供給ノズルから供給することにより、ガス供給ノズル内では、SiC膜が堆積しないようにすることができる。なお、Si原子含有ガス及びC原子含有ガスの濃度や流速を調整したい場合は、夫々適切なキャリアガスを供給すればよい。
更に、Si原子含有ガスを、より効率的に使用するため水素ガスのような還元ガスを用いる場合がある。この場合、還元ガスは、C原子含有ガスを供給する第2のガス供給ノズル70を介して供給することが望ましい。このように還元ガスをC原子含有ガスと共に供給し、反応室44内でSi原子含有ガスと混合することにより、還元ガスが少ない状態となるためSi原子含有ガスの分解を成膜時と比較して抑制することができ、第1のガス供給ノズル内におけるSi膜の堆積を抑制することが可能となる。この場合、還元ガスをC原子含有ガスのキャリアガスとして用いることが可能となる。なお、Si原子含有ガスのキャリアとしては、アルゴン(Ar)のような不活性ガス(特に希ガス)を用いることにより、Si膜の堆積を抑制することが可能となる。
更に、第1のガス供給ノズル60には、HClのような塩素原子含有ガスを供給することが望ましい。このようにすると、Si原子含有ガスが熱により分解し、第1のガス供給ノズル内に堆積可能な状態となったとしても、塩素によりエッチングモードとすることが可能となり、第1のガス供給ノズル内へのSi膜の堆積をより抑制することが可能になる。
尚、図2に示す例では、第1のガス供給ノズル60にSiH4ガス及びHClガスを供給し、第2のガス供給ノズル70にC3H8ガス及びH2ガスを供給する構成で説明したが、上述した通り、図2に示す例は、最も良いと考えられる組合せであり、それに限られることはない。
又、図2に示す例では、SiCエピタキシャル膜を形成する際に流すCl(塩素)原子含有ガスとしてHClガスを例示したが、塩素ガスを用いてもよい。
又、上述ではSiCエピタキシャル膜を形成する際に、Si(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給したが、Si原子とCl原子を含むガス、例えばテトラクロロシラン(以下SiCl4とする)ガス、トリクロロシラン(以下SiHCl3)ガス、ジクロロシラン(以下SiH2Cl2)ガスを供給してもよい。また、言うまでもないが、これらのSi原子及びCl原子を含むガスは、Si原子含有ガスでも有り、又は、Si原子含有ガス及びCl原子含有ガスの混合ガスともいえる。特に、SiCl4は、熱分解される温度が比較的高いため、ノズル内のSi消費抑制の観点から望ましい。
又、上述ではC(炭素)原子含有ガスとしてC3H8ガスを例示したが、エチレン(以下C2H4とする)ガス、アセチレン(以下C2H2とする)ガスを用いてもよい。
また、還元ガスとしてH2ガスを例示したが、これに限らず他のH(水素)原子含有ガスを用いても良い。更には、キャリアガスとしては、Ar(アルゴン)ガス、He(ヘリウム)ガス、Ne(ネオン)ガス、Kr(クリプトン)ガス、Xe(キセノン)ガス等の希ガスのうち少なくとも1つを用いてもよいし、上記したガスを組合わせた混合ガスを用いてもよい。
上述では、第1のガス供給ノズル60を介してSi原子含有ガスを供給し、第2のガス供給ノズル70を介してC原子含有ガスを供給することでガス供給ノズル内のSiC膜の堆積を抑制するようにしている(以下、Si原子含有ガスとC原子含有ガスを分離して供給する方式を、「セパレート方式」と呼ぶ。)。しかしながら、この方法は、ガス供給ノズル内でのSiC膜の堆積を抑制できるものの、Si原子含有ガスとC原子含有ガスの混合がガス供給口68,72からウェーハ14に到達するまでの間に充分に行う必要がある。
従って、ウェーハ内の均一化の観点から見れば、Si原子含有ガスとC原子含有ガスを予め混合して、ガス供給ノズル60に供給するほうが望ましい(以下、Si原子含有ガスとC原子含有ガスを同一のガス供給ノズルから供給する方式を「プレミックス方式」と呼ぶ。)。しかしながら、Si原子含有ガス及びC原子含有ガスを同一のガス供給ノズルから供給するとガス供給ノズル内にSiC膜が堆積してしまう恐れがある。一方で、Si原子含有ガスは、エッチングガスである塩素と還元ガスである水素との比(Cl/H)を大きくすると塩素によるエッチング効果の方が大きくなり、Si原子含有ガスの反応を抑えることが可能である。従って、一方のガス供給ノズルにSi原子含有ガス、C原子含有ガス、及び、塩素含有ガスを供給し、還元反応に用いられる還元ガス(例えば、水素ガス)を他方のガス供給ノズルから供給することで、ガス供給ノズル内のCl/Hが大きくなり、SiC膜の堆積を抑制することが可能である。
<ガス供給ノズルの構成>
ここで、上述の通り、ガス供給ノズル内の堆積は、Si原子含有ガス等のSiC膜の成膜に寄与する原料ガスの供給方法を工夫することで抑制することは可能である。しかしながら、分離して供給された原料ガスは、ガス供給口68、72から噴出した直後に混合される。ガス供給口68、72付近で原料ガスが混合されるとガス供給口にSiC膜が堆積する可能性があり、その結果、ガス供給口の閉塞や堆積したSiC膜の剥がれによるパーティクルの発生が生じる恐れがある。
上述のガス供給口付近でのSiC膜の堆積を抑制するための構造を図6及び図7を用いて説明する。なお、ガス供給方式は、セパレート方式として説明する。まず、ガス供給ノズルの配置について、図6を用いて説明する。図6は、反応室44を上部から見た断面図であり、理解を容易にするため必要な部材のみを記載している。図6が示す通り、Si原子含有ガスを供給する第1のガス供給ノズル60とC原子含有ガスを供給する第2のガス供給ノズル70とが交互に配置される。このように交互に配置することにより、Si原子含有ガスとC原子含有ガスの混合を促進することができる。また、第1のガス供給ノズル及び第2のガス供給ノズルは、奇数本とすることが望ましい。奇数本とすると、中心の第2ガス供給ノズル70を中心に原料ガス供給を左右対称とすることができ、ウェーハ14内の均一性を高めることができる。
また、図6では、C原子含有ガスを供給する第2のガス供給ノズル70を中央、及び、両端に配置し、Si原子含有ガスを供給する第1のガス供給ノズル60を第2のガス供給ノズルの間に配置しているが、Si原子含有ガスを供給する第1のガス供給ノズル70を中央、及び、両端に配置し、Si原子含有ガスを供給する第2のガス供給ノズル70を第1のガス供給ノズルの間に配置するようにしてもよい。なお、C原子含有ガスを供給する第2のガス供給ノズル70を中央、及び、両端に配置し、Si原子含有ガスを供給する第1のガス供給ノズル60を第2のガス供給ノズルの間に配置することが望ましい。このように配置することにより、C原子含有ガスと共にキャリアガスとして大量に供給する(場の主流となる)H2の流量比(中央/両端)を調整することでウェーハ上のガス流れをコントロールすることができ、面内膜厚の制御が容易となる。なお、プリミックス方式を用いる場合は、第1のガス供給ノズル60にSi原子含有ガス、C原子含有ガス、及び、塩素含有ガスを供給し、第2のガス供給ノズル70には、還元ガスである水素ガスを供給するほうがよい。このようにすることにより、キャリアガスとして大量に供給する(場の主流となる)H2の流量比(中央/両端)を調整することでウェーハ上のガス流れをコントロールすることができ、面内膜厚の制御が容易となる。
次に各ガス供給ノズルについて、図7を用いて説明する。図7は、1つのガス供給ノズルの正面図、及び、A−A断面図の関係を示した図である。図7(a)は、A−A断面図であり、図7(b)は、正面図である。各ガス供給ノズル60(70)は、ガス供給口68(72)へ向かう他のガス供給口から噴出したガスの流れを抑制する遮蔽部として、ガス供給口68(72)を挟むようにウェーハ方向に延びる遮蔽壁が設けられている。この遮蔽壁の内壁の間隔L1は、ガス供給口68(72)の径より大きい。これにより、ガス供給口と比較して、閉塞が起こりにくくなる。また、遮蔽壁のガス供給口から先端までの長さL2は、遮蔽壁の内壁の間隔L1より大きくすると、よりガスの回り込みを抑制できる。
また、ガス供給ノズルの遮蔽壁を含めた先端部の幅L3は、ガス供給口を正面から見た際のガス供給ノズルの幅L4より小さくしている。図6に示すようにガス供給ノズルは、直線状に配置するのではなく、ウェーハと等距離になるように周方向に並べることが望ましい。その際に、先端部の幅L3をガス供給ノズルの幅L4より小さくすると、ウェーハ中心に向かってガス供給ノズルが細くなるため、ガス供給ノズルを密に配置することが可能となる。ガス供給ノズルを密に配置することで、ガス供給ノズル間に流れる原料ガスの量を減らすことができ、ウェーハ上に到達するガスの量を多くすることができる。
また、遮蔽壁の先端部は、図7(c)に示すように、紙面上、斜め方向に設けられた遮蔽壁の外壁と縦方向に設けられた遮蔽壁の内壁を結んだ際にできる三角形の領域を切り落とした構造となっている。言い換えれば、遮蔽壁の内壁の長さL2は、遮蔽壁の外壁の延長線と交差するまでの遮蔽壁の内壁の延長線の長さL5より短くなっている。この構造により、遮蔽壁の内壁にガス供給口68(72)から供給されたガスが接触し、ガス流の速度が遅くなってしまうことを抑制することができる。
更に、切り落とした後の先端部の角は、面取りがされ、曲線となっている。このように先端部の角を面取りしない場合は、角部を基点として嘴状のSiC膜の堆積が発生する恐れがある。しかしながら、本実施例のように面取りをし、角部を曲線状とすることにより、SiC膜が堆積したとしても平面状に堆積することになり、パーティクルの発生を抑制することができる。
また、図7では、遮蔽壁とガス供給ノズルの本体とを一体化して形成していたが、これに限らず、従来用いられている円形(若しくは、楕円形)のガス供給ノズルに別部材として遮蔽壁を取り付けても良い。
また、ガス供給口68(72)は、図7のように複数の孔状である必要はなく、図8に示すようにスリット状であっても構わない。スリット状とすることによりガス流の速度を小さくすることができ、エピ膜の成長速度が小さくなる可能性はあるものの、2つの異なるガス供給ノズルから供給された原料ガスの混合を促進することが可能となり、ウェーハ内の均一性を向上させることができる。なお、この場合、遮蔽壁の内壁の幅L1は、スリット状のガス供給口の幅より大きければよい。即ち、図7、図8の観点を考慮すれば、遮蔽壁の内壁の幅L1は、ウェーハの平面に平行な方向のガス供給口の幅より大きいことが望ましいと言える。
また、遮蔽壁は、ガス供給口を挟むように構成するのではなく、図9に示すようにガス供給口68(72)を囲うように構成しても良い。これにより、ガス供給口から噴出した原料ガスが遮蔽壁の内壁に接触し速度が落ちる可能性があるものの、ガス供給口の周囲を囲っているため、図7に示す構造と比較して、ガスの回り込みをより抑制することが可能となる。
また、遮蔽壁の外壁は、図10に示すように遮蔽壁の内壁と平行方向に延びるように構成しても良い。このように構成すると、ガス供給ノズル間の隙間が増えることになるが、ガス供給ノズルを軽くすることができる。なお、図10では、ガス供給口を囲うように遮蔽壁を構成しているが、図7のようなガス供給口を挟む構成であっても良い。また、ガス供給口も複数の孔状ではなく、スリット状としても良い。
次に、図6の変形例を説明する。図6では、第1のガス供給ノズル60及び第2のガス供給ノズル70の両方に遮蔽壁を設ける構造であったが、すべてのガス供給ノズルに遮蔽壁を設ける必要はなく、一部のガス供給ノズルに遮蔽壁を設けてもよい。特に、第1のガス供給ノズル70がCl原子含有ガスを供給する場合は、Cl原子が成膜を抑制する効果を有するため、遮蔽壁がなくともガス供給口に成膜されることを抑制することができる。従って、図11に示すように第1のガス供給ノズル60は、遮蔽壁がない通常のガス供給ノズルとし、第2のガス供給ノズル70は、遮蔽壁付きのガス供給ノズルとしても良い。
また、特にプレミックス方式の場合、第2のガス供給口72に遮蔽壁を設けないほうが望ましい。第2のガス供給口72から還元ガスが噴出しているため、成膜の原料となるガスが供給されていない。従って、例え第1のガス供給口68から噴出したガスが第2ガス供給口に向かったとしてもその濃度は小さくなると考えられる。その一方、還元ガスの流速はSi原子含有ガスやC原子含有ガスより速い。従って、あえて遮蔽壁を設けないように構成することでガスの流速を稼ぐことが可能となる。
<SiC膜の形成方法>
次に、上述した前記半導体製造装置10を用い、半導体デバイスの製造工程の一工程として、SiC等で構成されるウェーハ14等の基板上に、例えばSiC膜を形成する基板の製造方法について説明する。尚、以下の説明に於いて前記半導体製造装置10を構成する各部の動作は、前記コントローラ152により制御される。
先ず、前記ポッドステージ18に複数枚のウェーハ14を収納したポッド16がセットされると、前記ポッド搬送装置20により前記ポッド16を前記ポッドステージ18から前記ポッド収納棚22へ搬送し、ストックする。次に、前記ポッド搬送装置20により、前記ポッド収納棚22にストックされた前記ポッド16を前記ポッドオープナ24に搬送してセットし、該ポッドオープナ24により前記ポッド16の蓋を開き、前記基板枚数検知器26により前記ポッド16に収納されているウェーハ14の枚数を検知する。
次に、前記基板移載機28により、前記ポッドオープナ24の位置にある前記ポッド16からウェーハ14を取出し、前記ボート30に移載する。
複数枚のウェーハ14が前記ボート30に装填されると、ウェーハ14を保持した前記ボート30は、前記昇降モータ122による前記昇降台114及び昇降シャフト124の昇降動作により前記反応室44内に搬入(ボートローディング)される。この状態では、前記シールキャップ102はOリング(図示せず)を介して前記マニホールド36の下端をシールした状態となる。
前記ボート30搬入後、前記反応室44内が所定の圧力(真空度)となる様に、前記真空排気装置220によって真空排気される。この時、前記反応室44内の圧力は、圧力センサ(図示せず)によって測定され、測定された圧力に基づき前記第1のガス排気口90及び前記第2のガス排気口390に連通するAPCバルブ214がフィードバック制御される。又、ウェーハ14及び前記反応室44内が所定の温度となる様前記被加熱体48が加熱される。この時、前記反応室44内が所定の温度分布となる様、温度センサ(図示せず)が検出した温度情報に基づき前記誘導コイル50への通電具合がフィードバック制御される。続いて、前記回転機構104により、前記ボート30が回転されることで、ウェーハ14が周方向に回転される。
続いて、SiCエピタキシャル成長反応に寄与するSi(シリコン)原子含有ガス及びCl(塩素)原子含有ガスは、それぞれ前記ガス供給源210a,210bから供給され、前記第1のガス供給口68より前記反応室44内に噴出される。又、C(炭素)原子含有ガス及び還元ガスであるH2ガスが、所定の流量となる様に対応する前記MFC211c,211dの開度が調整された後、前記バルブ212c,212dが開かれ、それぞれのガスが前記第2のガスライン260に流通し、前記第2のガス供給ノズル70に流通して前記第2のガス供給口72より前記反応室44内に導入される。
前記第1のガス供給口68及び前記第2のガス供給口72より供給されたガスは、前記反応室44内の前記被加熱体48の内側を通り、前記第1のガス排気口90から前記ガス排気管230を通って排気される。前記第1のガス供給口68及び前記第2のガス供給口72より供給されたガスは、前記反応室44内を通過する際に、SiC等で構成されるウェーハ14と接触し、ウェーハ14表面上にSiCエピタキシャル膜成長がなされる。その際、ガス供給ノズルに設けられた遮蔽壁により他のガス供給口に向かう流れが抑制され、その結果、ウェーハの均質化を図ることができる。
又、前記ガス供給源210eより、不活性ガスとしての希ガスであるArガスが所定の流量となる様に対応する前記MFC211eの開度が調整された後、前記バルブ212eが開かれ、前記第3のガスライン240に流通し、前記第3のガス供給口360から前記反応室44内に供給される。前記第3のガス供給口360から供給された不活性ガスとしての希ガスであるArガスは、前記反応室44内の前記断熱材54と前記反応管42との間を通過し、前記第2のガス排気口390から排気される。
次に、予め設定された時間が経過すると、上述したガスの供給が停止され、図示しない不活性ガス供給源より不活性ガスが供給され、前記反応室44内の前記被加熱体48の内側の空間が不活性ガスで置換されると共に、前記反応室44内の圧力が常圧に復帰される。
その後、前記昇降モータ122により前記シールキャップ102が下降され、前記マニホールド36の下端が開口されると共に、処理済みのウェーハ14が前記ボート30に保持された状態で前記マニホールド36の下端から前記反応管42の外部に搬出(ボートアンローディング)され、前記ボート30に保持されたウェーハ14が冷える迄、前記ボート30を所定位置にて待機させる。待機させた該ボート30のウェーハ14が所定温度迄冷却されると、前記基板移載機28により、前記ボート30からウェーハ14を取出し、前記ポッドオープナ24にセットされている空のポッド16に搬送して収納する。その後、ポッド搬送装置20によりウェーハ14が収納された前記ポッド16を前記ポッド収納棚22、又は前記ポッドステージ18に搬送する。この様にして、前記半導体製造装置10の一連の作動が完了する。
上述した様に、前記第1のガス供給口68から少なくともSi(シリコン)原子含有ガスとCl(塩素)原子含有ガスとを供給し、前記第2のガス供給口72から少なくともC(炭素)原子含有ガスと還元ガスとを供給したので、前記第1のガス供給ノズル60及び前記第2のガス供給ノズル70内での堆積膜の成長を抑制し、又前記反応室44内では前記第1のガス供給ノズル60及び前記第2のガス供給ノズル70より供給されるSi(シリコン)原子含有ガスとCl(塩素)原子含有ガス、C(炭素)原子含有ガスと還元ガスであるH2ガスが反応することで、SiC等から構成される複数のウェーハ14を水平姿勢で且つ多段に保持した場合に於いて、均一にSiCエピタキシャル膜成長を行うことができる。
このように、遮蔽部である遮蔽壁により少なくとも第2のガス供給口72から噴出した第2ガスが第1のガス供給口68に向かう流れを抑制することでガス供給口への膜の堆積を抑制し、均質なウェーハ14を製造することができる。
<<第2の実施形態>>
次に、ガス供給口68(72)の閉塞を抑制する第2の実施形態を図12を用いて説明する。なお、第2の実施形態では、第1の実施形態と相違する点について説明する。
第2の実施形態では、図12に示すように、中央に配置される第1のガス供給ノズル60と両端に配置される第2のガス供給ノズル70との間に第4のガス供給ノズル80が配置される。当該第4のガス供給ノズル80は、第4のガス供給口85から例えばアルゴン(Ar)ガスのような不活性ガスを供給する。即ち、第1のガス供給口68から供給される原料ガスの流れと第2のガス供給ノズル72から供給される原料ガスの流れの間に、第4のガス供給口85から供給される不活性ガスの流れを設ける。このようにすることで、ガス供給口付近において、第4のガス供給口85から供給される不活性ガスの流れにより、第1のガス供給ノズル60から第2のガス供給ノズルに向かう原料ガスの流れを遮断することができ、第2のガス供給ノズル70への回りこみを抑制することができる。
この場合、不活性ガスの流れが強すぎると第1のガス供給ノズル60から供給された原料ガスと第2のガス供給ノズル70から供給された原料ガスとの混合をも抑制してしまうので、第4のガス供給ノズルから供給される不活性ガスの流量は、第1及び第2のガス供給ノズルから供給される原料ガスの流量より小さいことが望ましい。なお、図12に示す構成は、セパレート方式、プレミックス方式の両方に適用可能である。
次に変形例を図13を用いて説明する。図12の構造と異なる点は、第4のガス供給口85が第2のガス供給口72に向かって設けられている点である。このように第4のガス供給口85を第2のガス供給口72に向けて設け、不活性ガスを直接的に第2のガス供給口72に吹き付けることにより、第1のガス供給口68から第2のガス供給口72に向かう原料ガスの流れをより効率的に遮断することができる。
なお、図13に示す構造は、ガスの供給方式がセパレート方式の場合であり、かつ、第1のガス供給ノズル60からSi原子含有ガス及びCl原子含有ガスが供給される。上述した通り、Cl原子は、成膜を抑制する効果を有する。従って、Cl原子含有ガスが供給される側ではなく、Cl原子が供給されない側(この場合、第2のガス供給ノズル70)に不活性ガスのガス流を吹きつけることが望ましい。
プレミックス方式の場合は、第1のガス供給口68からSiC膜の原料となるSi原子含有ガス及びC原子含有ガスが供給され、第2のガス供給口72からは還元ガスが供給される。従って、SiC膜として堆積する原料ガスは、両方とも第1のガス供給口68から供給されるため、濃度が最も濃い部分が第1のガス供給口68付近となる。従って、第1のガス供給口68に向けて不活性ガスを供給することで還元ガスの進入を抑制し、SiC膜の堆積を抑制することができる。
なお、図13では、第4のガス供給口85から供給される不活性ガスを直接第2のガス供給口72に吹き付ける構成としているが、これに限らず、第4のガス供給口85の向きがウェーハ14の中心部より第2のガス供給口72(プレミックス方式の場合は、第1のガス供給口68)に近い側に向けられていればよい。
<<第3の実施形態>>
次に、第3の実施形態について図14を用いて説明する。なお、第1の実施形態、及び、第2の実施形態と異なる点についてのみ説明する。
第3の実施形態では、プレミックス方式を用いた場合を示している。図14が示す通り、第1のガス供給ノズル60は、遮蔽壁を有しており、また、第1のガス供給ノズル60と第2のガス供給ノズル70の間には、不活性ガスを供給する第4のガス供給ノズル80を設けている。プレミックス方式の場合、上述したとおり、第1のガス供給口68にSiC膜の堆積が発生する可能性が高い。そこで、本実施形態では、不活性ガスによる第2のガス供給口からの還元ガスの第1のガス供給口68への回り込みを抑制しつつ、更に、第1のガス供給ノズルに設けられた遮蔽壁による抑制を実現している。これにより、より効率的にガス供給口へのSiC膜の堆積を抑制することが可能となっている。
なお、セパレート方式の場合は、第1のガス供給ノズル60及び第2のガス供給ノズル70の両方に遮蔽壁を設けるとより効果的である。
以上、実施形態に沿って説明をしてきたが、本発明の趣旨を逸脱しない限り、様々な変更が可能である。例えば、本発明は、所謂縦型バッチ式SiCエピタキシャル成長装置の検討段階で創生されたものであるため、実施形態もSiCエピタキシャル成長に関し説明してきた。しかしながら、他の膜の形成においても、成膜に用いるガスを2つのガス供給ノズルから供給すると共にガス供給口が反応室内と同等の条件となった場合、ガス供給口に堆積膜が付着する可能性がある。その場合、本発明のように構成することで、ガス供給口への堆積膜の付着を抑制することができるのは言うまでもない。
<<第4の実施形態>>
次に、第4の実施形態について、図15から図17を用いて説明する。なお、第1の実施形態から第3の実施形態と異なる点についてのみ説明する。
第1の実施形態において、遮蔽壁を有するガス供給ノズル構成を説明した。しかしながら、ガス供給ノズルから供給する原料ガスの流速を速くした場合、次のような問題が生じる。即ち、図15(a)に示すように流速が遅い場合は、ガス供給口68(72)から噴出される原料ガスは、ガス供給口68(72)を出た後、拡散しながら遮蔽壁領域を通過する。従って、ガス供給口68(72)から噴出された原料ガスは遮蔽壁の側壁に沿って噴出されることになるため、他のガス供給口から噴出された原料ガスは、遮蔽壁領域に侵入しない。しかし、ガス供給口68(72)から噴出する原料ガスの流速が速くなるにつれて、原料ガスの貫徹力が強くなり、拡散をしないまま遮蔽壁領域を出てしまう。そうすると、図15(b)に示すように原料ガスのガス流と遮蔽壁との間に間隙ができてしまい、他のガス供給口68(72)から噴出された原料ガスがその間隙に進入し、遮蔽壁内部に堆積膜が形成されてしまう恐れがある。その結果、ガス供給口68(72)から噴出した原料ガスが当該堆積膜と接触することになり、速度の低下やパーティクルの発生等の不具合が生じる。特に、SiCエピタキシャル成長装置においては、水素ガスを主流とするため、水素ガスが供給される第2のガス供給ノズル70のほうで、この課題が顕著になる。
そこで、本実施形態では、図16(a)に示すように、ガス供給ノズル70に遮蔽壁を設けず、ガス供給口72を面取りする構成としている。このように面取り構造を有することで、原料ガスの噴出部は、ガス供給口72より広い幅を持つためノズル閉塞を抑制することができる。また、面取りは、ガス供給口72を削ることにより設けるのではなく、円筒状のガス供給ノズルのガス供給口側を面取り分を厚くしている。従って、図16(a)に示す本実施形態のガス供給口72は、直線状の噴出部が幅T1で形成され、ガスの噴出方向に向かって徐々に広がる面取り部を有する構成となっている。このように、面取り分を厚く構成することで、一つのガス供給ノズル70に設けられた複数のガス供給口72の幅T1は、面取りの加工精度によらずほぼ同じにすることができる。これにより、各ガス供給口72から噴出する原料ガスの流速の均一化を実現することができる。
また、第4の実施形態のガス供給ノズルのガス供給ノズル面取り部のガス噴出方向の幅T2は、第1の実施形態のガス供給ノズルのガス供給ノズルの遮蔽壁のガス噴出方向の幅T3より小さい。これにより、遮蔽壁と速度の速いガス流との間隙がなくなり、ガス流と堆積物との接触が抑制される。
また、本実施形態におけるガス供給ノズルの構成は、図16(c)に示されるようにガス供給口72の周囲を囲むように面取り部を設けることが望ましい。例えば、図7に示されるようにガス供給口を挟むような構成とすると、ガス供給口の間に上述した間隙ができてしまい、そこに他のガス供給ノズルから噴出した原料ガスが進入してしまう恐れがあるためである。
また、図17に示すように、第1のガス供給ノズル60は、第1の実施形態で示した遮蔽壁付きのガス供給ノズルを用い、第2のガス供給ノズル70は、第4の実施形態で示した面取り型のガス供給ノズルを用いるのが望ましい。第2のガス供給ノズル70を第4の実施形態で示した面取り型のガス供給ノズルを用いる理由は、上述の通りである。また、第1のガス供給ノズル60を第1の実施形態で示した遮蔽壁付きのガス供給ノズルとするのは、遮蔽壁の長さを適当な長さとすることにより、ある程度速度を落し、拡散しやすくするためである。これにより、第1のガス供給ノズル60から供給されたシリコン原子含有ガスが第2のガス供給ノズル70から供給された炭素原子含有ガスに向かって拡散し、混合しやすくなる。
以上、実施形態に従って説明してきたが、本発明の趣旨を逸脱しない限り、様々な変更が可能である。例えば、本発明は、SiCエピタキシャル成長装置の検討にて創生された発明であるため、SiCエピタキシャル成長装置の実施形態で説明してきたが、これに限らず、2種類のガスを反応室内にて混合する方式の基板処理装置に適用できる。
なお、本発明の好ましい態様について付記する。
(1)本発明の一態様によれば、複数の基板が縦方向に並んで配置される反応室と、前記反応室を覆うように設けられ、前記処理室を加熱する加熱部と、前記反応室内に前記複数の基板に沿うように設けられ、前記複数の基板が配置される方向に向けて第1ガスを噴出する第1ガス供給口を有する第1ガス供給管と、前記反応室内に前記複数の基板に沿うように設けられ、前記複数の基板が配置される方向に向けて第2ガスを噴出する第2ガス供給口を有する第2ガス供給管と、少なくとも前記第2ガスが前記第1ガス供給口へ向かう流れを抑制する第1遮蔽部と、を具備する基板処理装置が提供される。
(2)上記(1)に記載される基板処理装置において、前記第1遮蔽部は、少なくとも前記第1ガス供給口の両側に設けられ、前記第1ガス供給口から前記複数の基板が配置される方向に延在する遮蔽壁である基板処理装置が提供される。
(3)上記(2)に記載される基板処理装置において、前記第1ガス供給口の両側に設けられた遮蔽壁の外壁の幅は、前記第1ガス供給口を正面から見た際の前記第1ガス供給ノズルの幅より小さい基板処理装置が提供される。
(4)上記(2)又は(3)に記載される基板処理装置において、前記遮蔽壁の先端部から前記第1ガス供給口までの長さは、前記遮蔽壁の内壁の幅より長い基板処理装置が提供される。
(5)上記(2)乃至(4)のいずれか一つに記載される基板処理装置において、前記第1ガスは、Si原子含有ガスとC原子含有ガスの混合ガスであり、前記第2ガスは、還元ガスである基板処理装置が提供される。
(6)上記(5)に記載される基板処理装置において、前記第2ガス供給ノズルには、前記第1ガスが前記第2ガス供給口に向かう流れを抑制する遮蔽部が設けられない基板処理装置が提供される。
(7)上記(2)乃至(4)のいずれか一つに記載される基板処理装置において、前記第1ガスは、Si原子含有ガスであり、前記第2ガスは、C原子含有ガスと還元ガスの混合ガスである基板処理装置が提供される。
(8)上記(7)に記載される基板処理装置において、前記第1ガスが前記第2ガス供給口へ向かう流れを抑制する第2遮蔽部を更に具備し、前記第2遮蔽部は、前記第2ガス供給口の両側に設けられ、前記第2ガス供給口から前記複数の基板が配置される方向に延在する第2遮蔽壁である基板処理装置が提供される。
(9)上記(2)乃至(8)のいずれか一つに記載される基板処理装置において、前記第1遮蔽壁の先端部は、曲面状である基板処理装置が提供される。
(10)上記(2)乃至(9)のいずれか一つに記載される基板処理装置において、前記第1遮蔽壁の厚さは、前記第1ガス供給ノズルの厚さと同じである基板処理装置が提供される。
(11)上記(2)乃至(10)のいずれか一つに記載される基板処理装置において、前記第1ガス供給口は、前記第1ガス供給ノズルに複数設けられ、前記第1遮蔽壁は、前記複数設けられた前記第1ガス供給口の周囲を囲うように設けられる基板処理装置が提供される。
(12)上記(2)乃至(10)のいずれか一つに記載される基板処理装置において、前記第1ガス供給口は、スリット状である基板処理装置が提供される。
(13)上記(1)に記載される基板処理装置において、前記第1遮蔽部は、前記第1ガス供給口から噴出する前記第1ガスの第1ガス流と前記第2ガス供給口から噴出する前記第2ガスの第2ガス流との間に設けられた不活性ガスの第3ガス流である基板処理装置が提供される。
(14)上記(13)に記載される基板処理装置において、前記第1ガス供給ノズルと前記第2ガス供給ノズルとの間に前記複数の基板に沿うように設けられ、前記不活性ガスを供給する第3ガス供給口を有する基板処理装置が提供される。
(15)上記(14)に記載される基板処理装置において、前記第3ガス供給口は、前記基板の中心部より前記第1ガス供給口に近い方向に向けられる基板処理装置が提供される。
(16)上記(15)において、前記第3ガス供給口は、前記第1ガス供給口に向けられる基板処理装置が提供される。
(17)上記(13)乃至(16)のいずれか一つに記載される基板処理装置において、前記第1ガスは、Si原子含有ガスであり、前記第2ガスは、C原子含有ガスである基板処理装置が提供される。
(18)上記(13)乃至(16)のいずれか一つに記載される基板処理装置において、前記第1ガスは、Si原子含有ガスとC原子含有ガスの混合ガスであり、前記第2ガスは、還元ガスである基板処理装置が提供される。
(19)上記(1)に記載される基板処理装置において、前記第2ガス供給管は、前記第2ガス供給口を構成する前記第2ガスの噴出方向に延びた直線状の噴出部と、前記噴出部を囲うように設けられ、前記噴出部から前記第2ガスの噴出方向に向かって広がる曲面状の面取り部を有する基板処理装置が提供される。
(20)上記(19)に記載される基板処理装置において、前記第2ガス供給管の前記面取り部の前記第2ガスの噴出方向の長さは、前記第1ガス供給管の遮蔽壁の前記第1ガスの噴出方向の長さより短い。
(21)また、上記(1)から(20)のいずれか一つに記載される第1ガス供給ノズルまたは第2ガス供給ノズルが提供される。
(22)本発明の他の一態様によれば、複数の基板を縦方向に搭載したボートを反応室内に搬入するボートローディング工程と、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第1ガス供給ノズルに設けられた第1ガス供給口から第1ガス、及び、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第2ガス供給ノズルに設けられた第2ガス供給口から第2ガスを前記複数の基板に供給し、前記第1ガスと前記第2ガスが混合されることにより前記複数の基板上に所定の膜を形成する成膜工程と、前記所定の膜が形成された前記複数の基板を前記反応室から搬出するボートアンローディング工程と、を有し、前記成膜工程において、前記第1ガスが前記第2ガス供給口に向かう流れを遮蔽部により抑制する基板の製造方法が提供される。
(23)本発明の他の一態様によれば、複数の基板を縦方向に搭載したボートを反応室内に搬入するボートローディング工程と、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第1ガス供給ノズルに設けられた第1ガス供給口から第1ガス、及び、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第2ガス供給ノズルに設けられた第2ガス供給口から第2ガスを前記複数の基板に供給し、前記第1ガスと前記第2ガスが混合されることにより前記複数の基板上に所定の膜を形成する成膜工程と、前記所定の膜が形成された前記複数の基板を前記反応室から搬出するボートアンローディング工程と、を有し、前記成膜工程において、前記第1ガスが前記第2ガス供給口に向かう流れを遮蔽部により抑制する半導体デバイスの製造方法が提供される。
10:半導体製造装置、12:筐体、14:ウェーハ、16:ポッド、30:ボート、
40:処理炉、42:反応管、44:反応室、48:被加熱体、50:誘導コイル、60
:第1のガス供給ノズル、68:第1のガス供給口、70:第2のガス供給ノズル、72
:第2のガス供給口、80:第4のガス供給ノズル、85:第4のガス供給口、90:第
1のガス排気口、150:主制御部、152:コントローラ。

Claims (4)

  1. 複数の基板が縦方向に並んで配置される反応室と、
    前記反応室を覆うように設けられ、前記処理室を加熱する加熱部と、
    前記反応室内に前記複数の基板に沿うように設けられ、前記複数の基板が配置される方向に向けて第1ガスを噴出する第1ガス供給口を有する第1ガス供給管と、
    前記反応室内に前記複数の基板に沿うように設けられ、前記複数の基板が配置される方向に向けて第2ガスを噴出する第2ガス供給口を有する第2ガス供給管と、
    少なくとも前記第2ガスが前記第1ガス供給口へ向かう流れを抑制する第1遮蔽部と、
    を具備する熱処理装置。
  2. 請求項1において、
    前記第1遮蔽部は、少なくとも前記第1ガス供給口の両側に設けられ、前記第1ガス供給口から前記複数の基板が配置される方向に延在する遮蔽壁である基板処理装置。
  3. 請求項1において、
    前記第1遮蔽部は、前記第1ガス供給口から噴出する前記第1ガスの第1ガス流と前記第2ガス供給口から噴出する前記第2ガスの第2ガス流との間に設けられた不活性ガスの第3ガス流である基板処理装置。
  4. 複数の基板を縦方向に搭載したボートを反応室内に搬入するボートローディング工程と、
    前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第1ガス供給ノズルに設けられた第1ガス供給口から第1ガス、及び、前記反応室内に搬入された前記複数の基板に沿うように前記反応室内に設けられた第2ガス供給ノズルに設けられた第2ガス供給口から第2ガスを前記複数の基板に供給し、前記第1ガスと前記第2ガスが混合されることにより前記複数の基板上に所定の膜を形成する成膜工程と、
    前記所定の膜が形成された前記複数の基板を前記反応室から搬出するボートアンローディング工程と、を有し、
    前記成膜工程において、前記第1ガスが前記第2ガス供給口に向かう流れを遮蔽部により抑制する基板の製造方法。
JP2011037171A 2010-12-21 2011-02-23 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管 Active JP5735304B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2011037171A JP5735304B2 (ja) 2010-12-21 2011-02-23 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
US13/331,123 US20120156886A1 (en) 2010-12-21 2011-12-20 Substrate processing apparatus, method of manufacturing substrate, and method of manufacturing semiconductor device
CN201110442414.6A CN102543689B (zh) 2010-12-21 2011-12-21 衬底处理装置、衬底的制造方法及半导体器件的制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010284387 2010-12-21
JP2010284387 2010-12-21
JP2011037171A JP5735304B2 (ja) 2010-12-21 2011-02-23 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管

Publications (3)

Publication Number Publication Date
JP2012146939A true JP2012146939A (ja) 2012-08-02
JP2012146939A5 JP2012146939A5 (ja) 2014-04-03
JP5735304B2 JP5735304B2 (ja) 2015-06-17

Family

ID=46234949

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011037171A Active JP5735304B2 (ja) 2010-12-21 2011-02-23 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管

Country Status (3)

Country Link
US (1) US20120156886A1 (ja)
JP (1) JP5735304B2 (ja)
CN (1) CN102543689B (ja)

Cited By (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160013084A1 (en) * 2014-07-09 2016-01-14 Asm Ip Holding B.V. Apparatus and Method for Pre-Baking Substrate Upstream of Process Chamber
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
WO2017056155A1 (ja) * 2015-09-28 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP2020057704A (ja) * 2018-10-02 2020-04-09 東京エレクトロン株式会社 インジェクタ及びこれを用いた基板処理装置、並びに基板処理方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
JP5602903B2 (ja) * 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US20170314120A1 (en) * 2014-12-17 2017-11-02 Applied Materials, Inc. Material deposition arrangement, a vacuum deposition system and method for depositing material
JP5968996B2 (ja) * 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
CN110050508B (zh) * 2016-12-08 2021-08-24 光洋热系统股份有限公司 感应加热线圈的支承结构和感应加热装置
JP6737215B2 (ja) * 2017-03-16 2020-08-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
EP3567138B1 (en) 2018-05-11 2020-03-25 SiCrystal GmbH Chamfered silicon carbide substrate and method of chamfering
EP3567139B1 (en) * 2018-05-11 2021-04-07 SiCrystal GmbH Chamfered silicon carbide substrate and method of chamfering
KR102643319B1 (ko) * 2018-07-05 2024-03-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
TW202335039A (zh) * 2022-02-07 2023-09-01 日商國際電氣股份有限公司 氣體供給部、處理裝置及半導體裝置之製造方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02271520A (ja) * 1989-04-12 1990-11-06 Fujitsu Ltd 気相成長装置
JPH0448721A (ja) * 1990-06-15 1992-02-18 Nec Corp 気相成長装置
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2002075978A (ja) * 2000-08-24 2002-03-15 Sharp Corp 縦型反応炉
JP2009088315A (ja) * 2007-10-01 2009-04-23 Hitachi Kokusai Electric Inc 基板処理装置
JP2010118462A (ja) * 2008-11-12 2010-05-27 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
US20060048707A1 (en) * 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
KR100776194B1 (ko) * 2005-03-09 2007-11-28 주식회사 솔믹스 콜드 스프레이용 노즐 및 이를 이용한 콜드 스프레이 장치
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5222652B2 (ja) * 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5564311B2 (ja) * 2009-05-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板の製造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02271520A (ja) * 1989-04-12 1990-11-06 Fujitsu Ltd 気相成長装置
JPH0448721A (ja) * 1990-06-15 1992-02-18 Nec Corp 気相成長装置
JP2000294511A (ja) * 1999-04-09 2000-10-20 Ftl:Kk 半導体装置の製造装置
JP2002075978A (ja) * 2000-08-24 2002-03-15 Sharp Corp 縦型反応炉
JP2009088315A (ja) * 2007-10-01 2009-04-23 Hitachi Kokusai Electric Inc 基板処理装置
JP2010118462A (ja) * 2008-11-12 2010-05-27 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20160013084A1 (en) * 2014-07-09 2016-01-14 Asm Ip Holding B.V. Apparatus and Method for Pre-Baking Substrate Upstream of Process Chamber
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10287680B2 (en) 2015-09-28 2019-05-14 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JPWO2017056155A1 (ja) * 2015-09-28 2018-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
WO2017056155A1 (ja) * 2015-09-28 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11846023B2 (en) 2018-10-02 2023-12-19 Tokyo Electron Limited Injector and substrate processing apparatus using the same, and substrate processing method
JP2020057704A (ja) * 2018-10-02 2020-04-09 東京エレクトロン株式会社 インジェクタ及びこれを用いた基板処理装置、並びに基板処理方法
JP7109331B2 (ja) 2018-10-02 2022-07-29 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
JP5735304B2 (ja) 2015-06-17
CN102543689B (zh) 2015-09-30
CN102543689A (zh) 2012-07-04
US20120156886A1 (en) 2012-06-21

Similar Documents

Publication Publication Date Title
JP5735304B2 (ja) 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
JP5562409B2 (ja) 半導体装置の製造方法及び基板製造方法及び基板処理装置
US9074284B2 (en) Heat treatment apparatus
JP2012195565A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
JP5564311B2 (ja) 半導体装置の製造方法、基板処理装置及び基板の製造方法
JP5560093B2 (ja) 基板処理装置及び半導体装置の製造方法及び基板製造方法
JP5677563B2 (ja) 基板処理装置、基板の製造方法及び半導体装置の製造方法
US20100151682A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2011205059A (ja) 半導体装置の製造方法及び基板製造方法及び基板処理装置
US20100282166A1 (en) Heat treatment apparatus and method of heat treatment
JP2012178492A (ja) 基板処理装置およびガスノズルならびに基板若しくは半導体デバイスの製造方法
WO2012026241A1 (ja) 半導体装置の製造方法、及び基板処理装置
WO2012120991A1 (ja) 基板処理装置、及び、基板の製造方法
JP2011029441A (ja) 基板処理装置及び基板処理方法
JP2012080035A (ja) 基板処理装置及び基板製造方法
JP2013197474A (ja) 基板処理方法と半導体装置の製造方法、および基板処理装置
JP2013197507A (ja) 基板処理装置および基板処理方法ならびに半導体装置の製造方法
JP2013207057A (ja) 基板処理装置、基板の製造方法、及び、基板処理装置のクリーニング方法
JP2012175077A (ja) 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法
JP2011216848A (ja) 半導体装置の製造方法及び基板の製造方法及び基板処理装置
JP2012191191A (ja) 基板処理装置
JP2012178443A (ja) 基板処理装置
JP2012175072A (ja) 基板処理装置
JP2014179550A (ja) 基板処理装置
JP2011082326A (ja) 半導体装置の製造方法及び基板の製造方法及び基板処理装置。

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140219

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140219

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150403

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150416

R150 Certificate of patent or registration of utility model

Ref document number: 5735304

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250