CN102543689A - 衬底处理装置、衬底的制造方法及半导体器件的制造方法 - Google Patents
衬底处理装置、衬底的制造方法及半导体器件的制造方法 Download PDFInfo
- Publication number
- CN102543689A CN102543689A CN2011104424146A CN201110442414A CN102543689A CN 102543689 A CN102543689 A CN 102543689A CN 2011104424146 A CN2011104424146 A CN 2011104424146A CN 201110442414 A CN201110442414 A CN 201110442414A CN 102543689 A CN102543689 A CN 102543689A
- Authority
- CN
- China
- Prior art keywords
- gas
- gas supply
- supply port
- substrates
- reative cell
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/48—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
- C23C16/481—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/14—Feed and outlet means for the gases; Modifying the flow of the reactive gases
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/36—Carbides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02378—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Abstract
本发明涉及衬底处理装置、半导体器件的制造方法及衬底的制造方法。能够提高衬底(尤其是形成有SiC外延膜的衬底)的生产效率并且抑制膜向气体供给口的形成。通过下述衬底处理装置能够解决上述问题,该衬底处理装置包括:收容多个衬底的反应室;以覆盖所述反应室的方式设置,对所述处理室进行加热的加热部;以在所述反应室内延伸的方式设置的第一气体供给管,所述第一气体供给管具有:向所述多个衬底喷出第一气体的第一气体供给口;第一遮蔽壁,其以所述第一气体供给口露出的方式设在所述第一气体供给口的两侧,且从所述第一气体供给口向所述多个衬底延伸。
Description
技术领域
本发明涉及对衬底进行处理的衬底处理装置、半导体器件的制造方法及衬底的制造方法,尤其涉及具有将碳化硅(以下,称为SiC)外延膜在衬底上成膜的工序的衬底处理装置、半导体器件的制造方法及衬底制造方法,或该衬底处理装置所使用的气体供给喷嘴。
背景技术
SiC尤其作为动力器件用元件材料而受到注目。另一方面,已知SiC与硅(以下记作“Si”)相比,结晶衬底以及器件的制作困难的情况。
另一方面,在利用SiC制作器件的情况下,使用在SiC衬底之上形成有SiC外延膜的晶片。作为在该SiC衬底上形成SiC外延膜的SiC外延成长装置的一例,已有专利文献1。
如以专利文献1为代表的那样,目前形成SiC外延膜的装置采用以下结构:在平面状的基座上配置多张晶片,从装置中央部供给原料气体。
专利文献1:日本特开2006-196807号公报
但是,在以专利文献1为代表的那样的在平面状的基座上配置多张晶片的装置结构中,在为了削减衬底成本而一次对多张晶片进行处理的情况下以及增大晶片的直径的情况下,存在反应室的底板面积增大的问题。
发明内容
本发明鉴于该实情,其一个目的在于提供一种衬底处理装置及半导体器件的制造方法及衬底的制造方法,在高温条件下进行的SiC外延膜成长中,能够对多张衬底均匀地进行成膜。
用于解决所述课题的本发明的代表性的例子为,一种衬底处理装置,包括:收容多个衬底的反应室;以覆盖所述反应室的方式设置,对所述处理室进行加热的加热部;以在所述反应室内延伸的方式设置的第一气体供给管,所述第一气体供给管具有:向所述多个衬底喷出第一气体的第一气体供给口;以所述第一气体供给口露出的方式设在所述第一气体供给口的两侧,从所述第一气体供给口向所述多个衬底延伸的第一遮蔽壁。
为了解决所述课题的本发明的其他的例子为,一种衬底处理装置,具有:对沿纵向堆积的多个衬底进行收容的反应室;以覆盖所述反应室的方式设置,并对所述处理室进行加热的加热部;在所述反应室内以沿所述纵向延伸的方式设置,具有向所述多个衬底喷出第一气体的第一气体供给口的第一气体供给管;在所述反应室内以沿所述纵向延伸的方式设置,具有向所述多个衬底喷出第二气体的第二气体供给口的第二气体供给管;设在所述第一气体供给管和第二气体供给管之间,在从所述第一气体供给口喷出的所述第一气体的第一气体流和从所述第二气体供给口喷出的所述第二气体的第二气体流之间形成惰性气体的第三气体流的第三气体供给管。
为了解决所述课题的本发明的代表性的其他的例子为,一种半导体器件的制造方法或衬底的制造方法,具有以下工序:将使多个衬底沿纵向搭载的舟皿向反应室内搬入的舟皿装载工序;成膜工序,将从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第一气体供给管所包含的第一气体供给口供给的第一气体、及从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第二气体供给管所包含的第二气体供给口供给的第二气体向所述多个衬底分别供给,通过所述第一气体和所述第二气体混合,而在所述多个衬底上分别形成规定的膜;将形成有所述规 定的膜的所述多个衬底从所述反应室搬出的舟皿卸载工序,在所述成膜工序中,通过遮蔽部抑制所述第一气体向所述第二气体供给口流动。
发明的效果
根据本发明,能够谋求生产效率的提高。
附图说明
图1是适用有本发明的半导体制造装置的立体图。
图2是适用有本发明的处理炉的侧视剖视图。
图3是适用有本发明的处理炉的俯视剖视图。
图4是表示适用有本发明的半导体制造装置的控制构成的框图。
图5是适用有本发明的半导体制造装置的处理炉及其周边构造的概要剖视图。
图6是第一实施方式所涉及的处理炉的俯视剖视图的概要的一例。
图7是表示第一实施方式所涉及的气体供给喷嘴的一例的图。
图8是表示第一实施方式所涉及的气体供给喷嘴的另一例的图。
图9是表示第一实施方式所涉及的气体供给喷嘴的另一例的图。
图10是表示第一实施方式所涉及的气体供给喷嘴的另一例的图。
图11是第一实施方式所涉及的本发明的处理炉的俯视剖视图的概要的另一例。
图12是第二实施方式所涉及的处理炉的俯视剖视图的概要的一例。
图13是第二实施方式所涉及的处理炉的俯视剖视图的概要的另一例。
图14是第三实施方式所涉及的处理炉的俯视剖视图的概要的一例。
图15是说明第四实施方式所涉及的课题的图。
图16是表示第四实施方式所涉及的气体供给喷嘴的一例的图。
图17是第四实施方式所涉及的处理炉的俯视剖视图的概要的一例。
图18是表示本发明的衬底的制造方法或半导体器件的制造方法的例示性的流程图。
附图标记的说明
10:半导体制造装置,12:框体,14:晶片,16:晶圆盒,30:舟皿,40:处理炉,42:反应管,44:反应室,48:被加热体,50:感应线圈,60:第一气体供给喷嘴,68:第一气体供给口,70:第二气体供给喷嘴,72:第二气体供给口,80:第四气体供给喷嘴,85:第四气体供给口,90:第一气体排气口,150:主控制部,152:控制器。
具体实施方式
以下,参照附图对本发明的实施方式进行说明。在以下的实施方式中,对在衬底处理装置的一例即SiC外延成长装置中的高度方向上并列SiC晶片的、所谓分批式纵型SiC外延成长装置进行说明。此外,通过采用分批式纵型SiC外延成长装置,一次能够处理的SiC晶片的数量增多,提高了生产能力。
《第一实施方式》<整体结构>
首先,根据图1中,对本发明的第一实施方式的对SiC外延膜进行成膜的衬底处理装置以及作为半导体器件的制造工序之一的对SiC外延膜进行成膜的衬底的制造方法进行说明。
作为衬底处理装置(成膜装置)的半导体制造装置10,为分批纵型式热处理装置,具有配置有主要部分的框体12。在所述半导体制造装置10中,作为收纳例如由Si或SiC等构成的作为衬底的晶片14(参照图2)的衬底收容器,使用晶篮(hoop)(以下,称为晶圆盒)16作为晶片运载工具。在所述框体12的正面侧配置有晶圆盒台 18,晶圆盒16被搬运至该晶圆盒台18。晶圆盒16中收纳有例如25张晶片14,在盖关闭的状态下,晶圆盒16设置在所述晶圆盒台18上。
在所述框体12内的正面且在与所述晶圆盒台18相对的位置上,配置有晶圆盒搬运装置20。另外,在该晶圆盒搬运装置20的附近配置有晶圆盒收纳架22、晶圆盒开启器24及衬底张数检测器26。所述晶圆盒收纳架22配置在所述晶圆盒开启器24的上方,构成为以载置多个晶圆盒16的状态进行保持。所述衬底张数检测器26与所述晶圆盒开启器24相邻地配置,所述晶圆盒搬运装置20在所述晶圆盒台18、所述晶圆盒收纳架22和所述晶圆盒开启器24之间对晶圆盒16进行搬运。所述晶圆盒开启器24是用于打开晶圆盒16的盖的部件,所述衬底张数检测器26对盖打开后的晶圆盒16内的晶片14的张数进行检测。
在所述框体12内配置有衬底移载机28和作为衬底保持工具的舟皿30。所述衬底移载机28具有臂部(镊子)32,是通过未图示的驱动机构能够升降且能够旋转的构造。所述臂部32能够取出例如5张晶片14,通过使所述臂部32运动,能够在被置于所述晶圆盒开启器24的位置的晶圆盒16及舟皿30之间搬运晶片14。
所述舟皿30由例如碳石墨、SiC等的耐热性材料构成,以将多张晶片14以水平姿势且以将中心相互对齐的状态整齐排列地沿纵向堆积并保持的方式构成。此外,在所述舟皿30的下部,作为由例如石英、SiC等的耐热性材料构成的圆盘形状的隔热部件配置有舟皿隔热部34,以来自后述的被加热体48的热难以传递到处理炉40的下方侧的方式构成(参照图2)。
在所述框体12内的背面侧上部配置有所述处理炉40。装填了多张晶片14的所述舟皿30被搬入该处理炉40内,进行热处理。
<处理炉构成>
下面,根据图2、图3,说明对SiC外延膜进行成膜的所述半导体制造装置10的所述处理炉40。对于处理炉40,作为代表例分别 各图示了一个具有第一气体供给口68的第一气体供给喷嘴60、具有第二气体供给口72的第二气体供给喷嘴70、及第一气体排气口90。另外,图示了供给惰性气体的第三气体供给口360、第二气体排气口390。
所述处理炉40具有形成圆筒形状的反应室44的所述反应管42。该反应管42由石英或SiC等的耐热性材料制成,形成为上端封闭且下端开口的圆筒形状。在该反应管42的内侧的筒中空部中,形成有所述反应室44,构成为能够将作为由Si或SiC等构成的衬底的晶片14在通过所述舟皿30以水平姿势且以将中心相互对齐的状态整齐排列地沿纵向堆积并进行保持的状态下进行收纳。
在所述反应管42的下方,与该反应管42同心圆状地配设有集流腔36。该集流腔36由例如不锈钢等制成,形成为上端及下端开口的圆筒形状。该集流腔36以支承所述反应管42的方式设置。此外,在所述集流腔36和所述反应管42之间设有作为密封部件的O型环(未图示)。所述集流腔36通过未图示的保持体得到支承,由此,所述反应管42成为垂直地安装的状态。通过该反应管42和所述集流腔36形成反应容器。
所述处理炉40具有被加热的被加热体48及作为磁场发生部的感应线圈50。所述被加热体48配设在所述反应室44内,通过设在该反应管42的外侧的所述感应线圈50所产生的磁场而被加热,所述被加热体48发热,由此所述反应室44内被加热。
在所述被加热体48的附近,设有作为对所述反应室44内的温度进行检测的温度检测体的未图示的温度传感器。所述感应线圈50及温度传感器与温度控制部52电连接,根据由温度传感器检测的温度信息,调节向所述感应线圈50的通电情况,由此,构成为以在规定的时刻使所述反应室44内的温度成为所希望的温度分布的方式进行控制(参照图4)。
此外,优选地,可以在所述反应室44内,在所述第一及第二气体供给喷嘴60、70与第一气体排气口90之间,在所述被加热体48 和晶片14之间,以填埋所述被加热体48和晶片14之间的空间的方式,将沿铅直方向延伸且截面为圆弧状的构造物400设在所述反应室44内。例如,如图3所示,通过在相对的位置上分别设置构造物400,能够防止从所述第一及第二气体供给喷嘴60、70被供给的气体沿所述被加热体48的内壁在晶片14上迂回。作为所述构造物400,优选由隔热材料或石墨毡等构成,这样能够耐热及抑制粒子的产生。
在所述反应管42和所述被加热体48之间,设有例如由难以被感应的石墨毡等构成的隔热材料54,通过设置该隔热材料54,能够抑制所述被加热体48的热向所述反应管42或该反应管42的外侧传递。
另外,在所述感应线圈50的外侧,以包围所述反应室44的方式设有用于抑制所述反应室44内的热向外侧传递的、例如水冷构造的外侧隔热壁。而且,在所述外侧隔热壁的外侧,设有防止由所述感应线圈50产生的磁场向外侧泄漏的磁密封部58。
如图2所示,在所述被加热体48和晶片14之间设有第一气体供给喷嘴60,该第一气体供给喷嘴60设有用于将至少含有Si(硅)原子的气体和含有Cl(氯)原子的气体向晶片14供给的至少一个第一气体供给口68。另外,在与被加热体48和晶片14之间的所述第一气体供给喷嘴60不同的位置,设有第二气体供给喷嘴70,该第二气体供给喷嘴70设有至少将含有C(碳)原子的气体和还原气体向晶片14供给的至少一个所述第二气体供给口72。另外,第一气体排气口90也同样地配置在被加热体48和晶片14之间。另外,在所述反应管42和所述隔热材料54之间配置有所述第三气体供给口360及所述第二气体排气口390。
此外,向上述的第一气体供给喷嘴60及第二气体供给喷嘴70供给的气体,是用于说明装置构造的一例,其详细情况后述。另外,本图中,为了简单地说明,将第一气体供给喷嘴60及第二气体供给喷嘴70各配置一根,但关于这些喷嘴后面还会详述。
所述第一气体供给口68及第一气体供给喷嘴60例如由碳石墨构成,设在所述反应室44内。另外,所述第一气体供给喷嘴60以贯穿集流腔36的方式安装在该集流腔36上。这里,在形成SiC外延膜时,所述第一气体供给口68经由所述第一气体供给喷嘴60向所述反应室44内供给以下气体:作为至少含有Si(硅)原子的气体,供给例如甲硅烷(以下称为SiH4)气体;作为含有Cl(氯)原子的气体,供给例如氯化氢(以下称为HCl)气体。
该第一气体供给喷嘴60连接在第一气体线路222上。该第一气体线路222连接在例如气体配管213a、213b上,该气体配管213a、213b相对于各SiH4气体、HCl气体经由作为流量控制器(流量控制机构)的质量流量控制器(以下记作MFC)211a、211b及阀212a、212b而连接在例如SiH4气体供给源210a、HCl气体供给源210b上。
根据上述结构,能够在所述反应室44内对例如SiH4气体、HCl气体各自的供给流量、浓度、分压、供给时刻进行控制。所述阀212a、212b、所述MFC211a、211b与气体流量控制部78电连接,在规定的时刻以各自供给的气体的流量成为规定流量的方式得到控制(参照图4)。此外,由SiH4气体、HCl气体各自的所述气体供给源210a、210b、所述阀212a、212b、所述MFC211a、211b、所述气体配管213a、213b、所述第一气体线路222、设在所述第一气体供给喷嘴60及该第一气体供给喷嘴60上的至少一个所述第一气体供给口68,构成作为气体供给系统的第一气体供给系统。
所述第二气体供给口72例如由碳石墨构成,设在所述反应室44内。另外,所述第二气体供给喷嘴70以贯穿所述集流腔36的方式安装在该集流腔36上。这里,在形成SiC外延膜时,所述第二气体供给口72经由所述第二气体供给喷嘴70向所述反应室44内供给以下气体:作为至少含有C(碳)原子的气体,供给例如丙烷(以下称为C3H8)气体;作为还原气体,供给例如氢气(H原子单体或H2分子。以下称为H2)。此外,所述第二气体供给喷嘴70可以设置多根。
该第二气体供给喷嘴70连接在第二气体线路260上。该第二气体线路260与例如气体配管213c、213d连接,该气体配管213c、213d分别连接在以下气体供给源上,即,作为含有C(碳)原子的气体,对于例如C3H8气体,经由作为流量控制机构的MFC211c及阀212c连接在C3H8气体供给源210c上,作为还原气体,对于例如H2气体,经由作为流量控制机构的MFC211d及阀212d连接在H2气体供给源210d上。
根据上述结构,能够在所述反应室44内对例如C3H8气体、H2气体的供给流量、浓度、分压进行控制。所述阀212c、212d、所述MFC211c、211d与所述气体流量控制部78电连接,在规定的时刻以供给的气体流量成为规定的流量的方式进行控制(参照图4)。此外,由C3H8气体、H2气体的气体供给源210c、210d、所述阀212c、212d、所述MFC211c、211d、所述气体配管213c、213d、所述第二气体线路260、第二气体供给喷嘴70、所述第二气体供给口72构成作为气体供给系统的第二气体供给系统。
另外,在所述第一气体供给喷嘴60及所述第二气体供给喷嘴70中,可以在衬底的配列区域设置一个所述第一气体供给口68及所述第二气体供给口72,也可以按照晶片14的所需要的张数设置。
<排气系统>
如图3所示,所述第一气体排气口90相对于所述第一气体供给喷嘴60及所述第二气体供给喷嘴70的位置以相对的方式配置,在所述集流腔36上,连接在所述第一气体排气口90上的气体排气管230以贯穿的方式设置。在该气体排气管230的下游侧,经由作为未图示的压力检测器的压力传感器及作为压力调整器的APC(Auto Pressure Controller、自动压力控制器)阀214连接有真空泵等的真空排气装置220。压力传感器及所述APC阀214上电连接有压力控制部98,该压力控制部98根据由压力传感器检测的压力而调整所述APC阀214的开度,构成为以在规定的时刻使所述处理炉40内的压力成为规定的压力的方式进行控制(参照图4)。
如上述那样,从所述第一气体供给口68至少供给含有Si(硅)原子的气体和含有Cl(氯)原子的气体,从所述第二气体供给口72至少供给含有C(碳)原子的气体和还原气体,供给的气体相对于由Si或SiC构成的晶片14平行地流动,并从所述第一气体排气口90排气,所以,晶片14整体高效且均匀地曝露在气体中。
另外,如图3所示,所述第三气体供给口360配置在所述反应管42和所述隔热材料54之间,以贯穿所述集流腔36的方式安装。而且,所述第二气体排气口390在所述反应管42和所述隔热材料54之间,相对于所述第三气体供给口360以相对的方式配置,所述第二气体排气口390连接在所述气体排气管230上。所述第三气体供给口360形成在贯穿所述集流腔36的第三气体线路240上,经由阀212e、MFC211e而与气体供给源210e连接。从该气体供给源210e供给作为惰性气体的例如稀有气体的Ar气体,能够防止有助于SiC外延膜成长的气体、例如含有Si(硅)原子的气体或含有C(碳)原子的气体或含有Cl(氯)原子的气体或它们的混合气体进入到所述反应管42和所述隔热材料54之间,能够防止在所述反应管42的内壁或所述隔热材料54的外壁附着不需要的生成物。
另外,供给到所述反应管42和所述隔热材料54之间的惰性气体从所述第二气体排气口390经由位于所述气体排气管230的下游侧的所述APC阀214从所述真空排气装置220排气。
<处理炉的周边结构>
下面,根据图5,对所述处理炉40及其周边的结构进行说明。在该处理炉40的下方,作为用于气密地密封该处理炉40的下端开口的炉口盖体而设有密封盖102。该密封盖102例如为不锈钢等的金属制,形成为圆盘状。在该密封盖102的上表面上,设有与所述处理炉40的下端抵接的作为密封件的O型环(未图示)。在所述密封盖102上设有旋转机构104,该旋转机构104的旋转轴106贯穿所述密封盖102而连接在所述舟皿30上,以使该舟皿30旋转由此使晶片14旋转的方式构成。
另外,所述密封盖102作为设在所述处理炉40的外侧的升降机构,以通过后述的升降马达122而在垂直方向上升降的方式构成,由此,能够将所述舟皿30相对于所述处理炉40搬入、搬出。在所述旋转机构104及所述升降马达122上,电连接有驱动控制部108,该驱动控制部108构成为以在规定在时刻进行规定的动作的方式进行控制(参照图4)。
在作为预备室的加载互锁(load lock)室110的外表面上设有下基板112。在该下基板112上,设有与升降台114滑动自由地嵌合的导向轴116及与所述升降台114螺合的滚珠丝杆118。另外,在立设在所述下基板112上的所述导向轴116及所述滚珠丝杆118的上端上设有上基板120。所述滚珠丝杆118通过设在所述上基板120上的所述升降马达122而旋转,通过所述滚珠丝杆118旋转,所述升降台114进行升降。
在该升降台114上垂设有中空的升降轴124,所述升降台114和所述升降轴124的连结部是气密的,该升降轴124与所述升降台114一起升降。所述升降轴124游隙贯穿于所述加载互锁室110的顶板126,供所述升降轴124贯穿的所述顶板126的贯穿孔以使所述升降轴124不与所述顶板126接触的方式形成有充分的间隙。
另外,在所述加载互锁室110和所述升降台114之间,以覆盖所述升降轴124的周围的方式设有作为具有伸缩性的中空伸缩体的波纹管128,通过该波纹管128,所述加载互锁室110被保持气密。此外,所述波纹管128具有能够应对所述升降台114的升降量的充分的伸缩量,所述波纹管128的内径以与所述升降轴124的外径相比充分大、伸缩时所述波纹管128和所述升降轴124不接触的方式构成。
在该升降轴124的下端,水平地固定有升降基板130,在该升降基板130的下表面上经由O型环等的密封部件气密地安装有驱动部罩132。通过所述升降基板130和所述驱动部罩132构成驱动部收纳 箱134,通过该结构,该驱动部收纳箱134内部与所述加载互锁室110内的环境气体隔离。
另外,在所述驱动部收纳箱134的内部设有所述舟皿30的所述旋转机构104,该旋转机构104的周边通过冷却机构135被冷却。
电缆138从所述升降轴124的上端通过中空部被导向并连接在所述旋转机构104上。另外,在所述冷却机构135及所述密封盖102上形成有冷却水流路140。而且,冷却水配管142从所述升降轴124的上端通过中空部被导向并连接在所述冷却水流路140上。
所述升降马达122被驱动,所述滚珠丝杆118进行旋转,由此,经由所述升降台114及升降轴124使所述驱动部收纳箱134升降。
通过该驱动部收纳箱134上升,气密地设在所述升降基板130上的所述密封盖102将作为所述处理炉40的开口部的炉口144封闭,并成为能够进行晶片处理的状态。另外,通过所述驱动部收纳箱134下降,所述舟皿30与所述密封盖102一起下降,成为能够将晶片14向外部搬出的状态。
<控制部>
下面,根据图4,对构成对SiC外延膜进行成膜的所述半导体制造装置10的各部分的控制构成进行说明。
温度控制部52、所述气体流量控制部78、所述压力控制部98、所述驱动控制部108构成操作部及输入输出部,并与控制整个所述半导体制造装置10的主控制部150电连接。另外,所述温度控制部52、所述气体流量控制部78、所述压力控制部98、所述驱动控制部108作为控制器152构成。
<关于被各气体供给系统供给的气体的详细>
下面,对构成上述的第一气体供给系统及第二气体供给系统的理由进行说明。在对SiC外延膜进行成膜的半导体制造装置中,需要将至少由含有Si(硅)原子的气体和含有C(碳)原子的气体构成的原料气体向所述反应室44供给,并对SiC外延膜进行成膜。另外,在如本实施例这样、多张晶片14以水平姿势多层整齐排列并保 持的情况下,为了提高晶片间的均匀性,以使原料气体能够从各晶片附近的气体供给口进行供给的方式,在所述反应室44内设有气体供给喷嘴。因此,气体供给喷嘴内也成为与反应室相同的条件。此时,若通过相同的气体供给喷嘴供给含有Si原子的气体和含有C原子的气体,则由于原料气体彼此进行反应而使原料气体被消耗,在所述反应室44的下游侧,不仅原料气体不足,而且会产生以下问题:在气体供给喷嘴内发生反应并堆积的SiC膜等的堆积物会堵塞气体供给喷嘴,导致原料气体的供给变得不稳定,并且会产生粒子等。
因此,在本实施例中,经由第一气体供给喷嘴60供给含有Si原子的气体,经由第二气体供给喷嘴70供给含有C原子的气体。这样,通过不同的气体供给喷嘴供给含有Si原子的气体和含有C原子的气体,由此,在气体供给喷嘴内,能够不使SiC膜堆积。此外,在欲调整含有Si原子的气体及含有C原子的气体的浓度以及流速的情况下,只要分别供给适当的载体气体即可。
而且,为了更有效地使用含有Si原子的气体,存在使用氢气这样的还原气体的情况。该情况下,还原气体优选经由供给含有C原子的气体的第二气体供给喷嘴70进行供给。这样,使还原气体与含有C原子的气体一起供给,并在反应室44内与含有Si原子的气体混合,由此,由于还原气体为少量的状态,所以,与成膜时相比能够抑制含有Si原子的气体的分解,能够抑制第一气体供给喷嘴内的Si膜的堆积。该情况下,能够将还原气体作为含有C原子的气体的载体气体使用。此外,作为含有Si原子的气体的运载气体,通过使用氩气(Ar)那样的惰性气体(尤其为稀有气体),能够抑制Si膜的堆积。
而且,优选向第一气体供给喷嘴60供给HCl那样的含有氯原子的气体。这样的话,即使含有Si原子的气体因热而分解并成为可能堆积在第一气体供给喷嘴内的状态,能够通过氯达到蚀刻模式,能够进一步抑制Si膜向第一气体供给喷嘴内的堆积。
此外,在图2所示的例子中,对向第一气体供给喷嘴60供给SiH4气体及HCl气体、向第二气体供给喷嘴70供给C3H8气体及H2气体的结构进行了说明,但如上所述,图2所示的例子,是最佳的组合,并不限于此。
另外,在图2所示的例子中,作为在形成SiC外延膜时流动的含有Cl(氯)原子的气体例示了HCl气体,但还可以使用氯气。
另外,上述中,在形成SiC外延膜时,供给含有Si(硅)原子的气体和含有Cl(氯)原子的气体,但还可以供给含有Si原子和Cl原子的气体,例如四氯化硅(以下记作SiCl4)气体、三氯硅烷(以下记作SiHCl3)气体、二氯甲硅烷(以下记作SiH2Cl2)气体。另外,当然,这些含有Si原子及Cl原子的气体还包括含有Si原子的气体,或者还可以称为含有Si原子的气体及含有Cl原子的气体的混合气体。尤其,由于SiCl4的热分解的温度比较高,所以,从喷嘴内的抑制Si消耗的观点而优选。
另外,上述中,作为含有C(碳)原子的气体列举了C3H8气体,但还可以使用乙烯(以下记作C2H4)气体、乙炔(以下记作C2H2)气体。
另外,作为还原气体例示了H2气体,但不限于此,还能够采用其他的含有H(氢)原子的气体。而且,作为载体气体,可以使用Ar(氩)气体、He(氦)气体、Ne(氖)气、Kr(氪)气、Xe(氙)气等的稀有气体中的至少一种,也可以使用将上述的气体进行组合的混合气体。
上述中,经由第一气体供给喷嘴60供给含有Si原子的气体,经由第二气体供给喷嘴70供给含有C原子的气体,由此,抑制气体供给喷嘴内的SiC膜的堆积(以下,将分离地供给含有Si原子的气体和含有C原子的气体进行供给的方式称为“分离方式”。)。但是,该方法虽然能够抑制气体供给喷嘴内的SiC膜的堆积,但在含有Si原子的气体和含有C原子的气体从气体供给口68、72到达晶片14期间需要进行充分的混合。
因此,从晶片内的均匀化的观点出发,优选预先对含有Si原子的气体和含有C原子的气体进行混合,然后在向气体供给喷嘴60供给(以下,将使含有Si原子的气体和含有C原子的气体从同一个气体供给喷嘴供给的方式称为“预混合方式”。)。但是,若使含有Si原子的气体及含有C原子的气体从同一个的气体供给喷嘴供给,则可能在气体供给喷嘴内堆积SiC膜。另一方面,对于含有Si原子的气体来说,若使蚀刻气体即氯和还原气体即氢的比(Cl/H)增大,则基于氯而实现的蚀刻效果较大,能够抑制含有Si原子的气体的反应。因此,向一方的气体供给喷嘴供给含有Si原子的气体、含有C原子的气体及含有氯的气体,而从另一方的气体供给喷嘴供给用于还原反应的还原气体(例如,氢气),由此,气体供给喷嘴内的Cl/H增大,能够抑制SiC膜的堆积。
<气体供给喷嘴的结构>
这里,如上所述,能够通过对有助于含有Si原子的气体等的SiC膜的成膜的原料气体的供给方法进行改进而抑制气体供给喷嘴内的堆积。但是,分离地进行供给的原料气体在从气体供给口68、72喷出后紧接着被混合。若原料气体在气体供给口68、72附近被混合,则存在SiC膜堆积在气体供给口的可能性,其结果为,有可能产生气体供给口的堵塞以及堆积的SiC膜的剥离引起的粒子的产生。
利用图6及图7对上述的气体供给口附近的用于抑制SiC膜堆积的构造进行说明。此外,关于气体供给方式,说明分离方式。首先,关于气体供给喷嘴的配置,利用图6进行说明。图6是从上部观察反应室44的剖视图,为了容易理解,仅记载必要的部件。如图6所示,供给含有Si原子的气体的第一气体供给喷嘴60和供给含有C原子的气体的第二气体供给喷嘴70交替地配置。通过这样交替地配置,能够促进含有Si原子的气体和含有C原子的气体的混合。另外,第一气体供给喷嘴及第二气体供给喷嘴优选为奇数根。若为奇数根,则能够以中心的第二气体供给喷嘴70为中心使原料气体供给左右对称,能够提高晶片14内的均匀性。
另外,图6中,将供给含有C原子的气体的第二气体供给喷嘴70配置在中央及两端,将供给含有Si原子的气体的第一气体供给喷嘴60配置在第二气体供给喷嘴之间,但也可以将供给含有Si原子的气体的第一气体供给喷嘴60配置在中央及两端,而将供给含有C原子的气体的第二气体供给喷嘴70配置在第一气体供给喷嘴之间。此外,优选将供给含有C原子的气体的第二气体供给喷嘴70配置在中央及两端,将供给含有Si原子的气体的第一气体供给喷嘴60配置在第二气体供给喷嘴之间。通过这样配置,通过对作为载体气体而与含有C原子的气体一起被大量供给的(成为场的主流)H2的流量比(中央/两端)进行调整,能够控制晶片上的气体流动,面内膜厚的控制变得容易。此外,在采用预混合方式的情况下,可以向第一气体供给喷嘴60供给含有Si原子的气体、含有C原子的气体及含有氯的气体,向第二气体供给喷嘴70供给还原气体即氢气。这样,通过对作为载体气体而被大量供给的(成为场的主流的)H2的流量比(中央/两端)进行调整,能够控制晶片上的气体流动,面内膜厚的控制变得容易。
下面,利用图7对各气体供给喷嘴进行说明。图7是一个气体供给喷嘴的主视图及表示A-A剖视图的关系的图。图7(a)是A-A剖视图,图7(b)是主视图。各气体供给喷嘴60(70)中,作为对从向气体供给口68(72)的另一个气体供给口喷出的气体的流动进行抑制的遮蔽部73,以夹持气体供给口68(72)的方式设有沿晶片方向延伸的遮蔽壁71。该遮蔽壁71的内壁的间隔L1比气体供给口68(72)的直径大。由此,与气体供给口相比,不易引起堵塞。另外,使遮蔽壁71的从气体供给口到前端的长度L2比遮蔽壁71的内壁的间隔L1大,这样能够进一步抑制气体的蔓延。
另外,使包含气体供给喷嘴的遮蔽壁71的前端部的宽度L3比从正面观察气体供给口时的气体供给喷嘴的宽度L4小。如图6所示,气体供给喷嘴不是直线状配置的,而是以与晶片等距离的方式沿周向并列。此时,若使前端部的宽度L3比气体供给喷嘴的宽度L4小, 则由于气体供给喷嘴朝向晶片中心变细,所以,能够将气体供给喷嘴密集地配置。通过将气体供给喷嘴密集地配置,能够减少在气体供给喷嘴间流动的原料气体的量,能够增加到达晶片上的气体的量。
另外,遮蔽壁71的前端部,如图7(c)所示,是在纸面上、将连结沿斜方向设置的遮蔽壁的外壁和沿纵向设置的遮蔽壁的内壁时得到的三角形的区域切除的构造。换言之,遮蔽壁71的内壁的长度L2比遮蔽壁71的内壁直到与遮蔽壁71的外壁的延长线交叉的延长线的长度L5短。通过该构造,能够抑制从气体供给口68(72)供给的气体与遮蔽壁71的内壁接触、气体流的速度减慢的情况。
而且,将切除后的前端部的角部倒角使其成为曲线。若不这样对前端部的角部进行倒角,则可能会以角部为基点产生嘴状的SiC膜的堆积。但是,通过如本实施例这样进行倒角而使角部成为曲线状,由此,即使堆积SiC膜也会堆积成平面状,能够抑制粒子的产生。
另外,图7中,使遮蔽壁71和气体供给喷嘴的主体一体化地形成,但不限于此,还可以在以往使用的圆形(或椭圆形)的气体供给喷嘴上作为其他部件而安装遮蔽壁71。
另外,气体供给口68(72)无需如图7那样为多个孔状,也可以如图8所示为狭缝状。通过形成为狭缝状能够减小气体流的速度,虽然存在外延(epi)膜的成长速度变小的可能性,但能够促进从两个不同的气体供给喷嘴供给的原料气体的混合,能够提高晶片内的均匀性。此外,该情况下,遮蔽壁71的内壁的宽度L1只要比狭缝状的气体供给口的宽度大即可。即,若考虑图7、图8的观点,则优选遮蔽壁71的内壁的宽度L1比与晶片的平面平行的方向的气体供给口的宽度大。
另外,遮蔽壁71还可以不以夹持气体供给口的方式构成,而是如图9所示、以包围气体供给口68(72)的方式构成。由此,虽然存在从气体供给口喷出的原料气体与遮蔽壁71的内壁接触、速度降 低的可能性,但由于包围气体供给口的周围,所以,与如图7所示的构造相比,能够进一步抑制气体的蔓延。
另外,遮蔽壁71的外壁,还可以如图10所示、以沿与遮蔽壁71的内壁平行方向延伸的方式构成。若这样构成,虽然气体供给喷嘴间的间隙增大,但能够使气体供给喷嘴轻量化。此外,图10中,以包围气体供给口的方式构成遮蔽壁71,但还可以为图7那样的夹持气体供给口的结构。另外,气体供给口可以不为多个孔状,可以为狭缝状。
下面,对图6的变形例进行说明。图6中,是在第一气体供给喷嘴60及第二气体供给喷嘴70的两方设置遮蔽壁的构造,但无需在所有的气体供给喷嘴上设置遮蔽壁,只要在一部分气体供给喷嘴上设置遮蔽壁即可。尤其,在第一气体供给喷嘴70供给含有Cl原子的气体的情况下,由于Cl原子具有抑制成膜的效果,所以,即使不设置遮蔽壁也能够抑制在气体供给口成膜。因此,如图11所示,第一气体供给喷嘴60是无遮蔽壁的通常的气体供给喷嘴,第二气体供给喷嘴70是带遮蔽壁的气体供给喷嘴。
另外,尤其在预混合方式的情况下,优选不在第二气体供给口72设置遮蔽壁。由于从第二气体供给口72喷出还原气体,所以,不供给作为成膜的原料的气体。因此,例如,即使从第一气体供给口68喷出的气体朝向第二气体供给口,其浓度也变得较小。另一方面,还原气体的流速比含有Si原子的气体以及含有C原子的气体快。因此,通过以不设置遮蔽壁的方式构成,能够有利于气体的流速。
<SiC膜的形成方法>
下面,作为利用上述的所述半导体制造装置10制造半导体器件的制造工序的一个工序,参照图18对在由SiC等构成的晶片14等的衬底上形成例如SiC膜的衬底的制造方法进行说明。此外,在以下的说明中,构成所述半导体制造装置10的各部分的动作由所述控制器152控制。
首先,在所述晶圆盒台18上设置收纳了多张晶片14的晶圆盒16,通过所述晶圆盒搬运装置20将所述晶圆盒16从所述晶圆盒台18向所述晶圆盒收纳架22搬运并存储。接下来,通过所述晶圆盒搬运装置20,将存储在所述晶圆盒收纳架22上的所述晶圆盒16向所述晶圆盒开启器24搬运并设置,通过该晶圆盒开启器24打开所述晶圆盒16的盖,通过所述衬底张数检测器26对所述晶圆盒16内收纳的晶片14的张数进行检测。
接着,通过所述衬底移载机28,从位于所述晶圆盒开启器24的位置的所述晶圆盒16中取出晶片14,并向所述舟皿30移载。
多张晶片14被装填到所述舟皿30上后,保持晶片14的所述舟皿30通过基于所述升降马达122的所述升降台114及升降轴124的升降动作而被向所述反应室44内搬入(舟皿装载)(S100)。在该状态下,所述密封盖102成为经由O型环(未图示)而对所述集流腔36的下端进行密封的状态。
在所述舟皿30搬入后,以使所述反应室44内成为规定的压力(真空度)的方式,通过所述真空排气装置220进行真空排气。此时,所述反应室44内的压力通过压力传感器(未图示)测定,根据测定的压力,与所述第一气体排气口90及所述第二气体排气口390连通的APC阀214被反馈控制。另外,以使晶片14及所述反应室44内成为规定的温度的方式加热所述被加热体48。此时,以使所述反应室44内成为规定的温度分布的方式,且根据温度传感器(未图示)检测到的温度信息对向所述感应线圈50的通电情况进行反馈控制。接下来,通过所述旋转机构104,所述舟皿30进行旋转,由此,晶片14在周向上旋转。
接下来,有助于SiC外延成长反应的含有Si(硅)原子的气体及含有Cl(氯)原子的气体分别从所述气体供给源210a、210b被供给,并从所述第一气体供给口68向所述反应室44内喷出。另外,以含有C(碳)原子的气体及还原气体即H2气体成为规定的流量的方式调整了对应的所述MFC211c、211d的开度后,所述阀212c、212d 被打开,各气体在所述第二气体线路260中流通,并流通至所述第二气体供给喷嘴70从而从所述第二气体供给口72导入到所述反应室44内。
从所述第一气体供给口68及所述第二气体供给口72供给的气体通过所述反应室44内的所述被加热体48的内侧,并从所述第一气体排气口90通过所述气体排气管230被排气。从所述第一气体供给口68及所述第二气体供给口72被供给的气体在通过所述反应室44内时,与由SiC等构成的晶片14接触,在晶片14表面上实现SiC外延膜成长。此时,通过设在气体供给喷嘴上的遮蔽壁,向其他的气体供给口的流动受到抑制,其结果为,能够谋求晶片的均质化。
另外,从所述气体供给源210e,以作为惰性气体的稀有气体即Ar气体成为规定的流量的方式调整了对应的所述MFC211e的开度后,所述阀212e被打开,Ar气体在所述第三气体线路240内流通,并从所述第三气体供给口360向所述反应室44内供给。从所述第三气体供给口360被供给的作为惰性气体的稀有气体即Ar气体通过所述反应室44内的所述隔热材料54和所述反应管42之间,并从所述第二气体排气口390被排气(S200)。
接下来,经过了预先设定的时间后,停止上述气体的供给,从未图示的惰性气体供给源供给惰性气体,所述反应室44内的所述被加热体48的内侧的空间被惰性气体置换,并且,所述反应室44内的压力恢复成为常压。
然后,通过所述升降马达122,所述密封盖102下降,所述集流腔36的下端开口,并且,处理过的晶片14以被保持在所述舟皿30上的状态从所述集流腔36的下端向所述反应管42的外部搬出(舟皿卸载),使所述舟皿30在规定位置上待机直到保持在所述舟皿30上的晶片14冷却(S300)。在待机的该舟皿30的晶片14冷却到规定温度后,通过所述衬底移载机28,从所述舟皿30取出晶片14,并将其搬运到设置在所述晶圆盒开启器24上的空的晶圆盒16中进行收纳。然后,通过晶圆盒搬运装置20将收纳有晶片14的所述晶 圆盒16向所述晶圆盒收纳架22或所述晶圆盒台18搬运。这样,完成所述半导体制造装置10的一系列的动作。
如上述那样,从所述第一气体供给口68至少供给含有Si(硅)原子的气体和含有Cl(氯)原子的气体,从所述第二气体供给口72至少供给含有C(碳)原子的气体和还原气体,所以,能够抑制所述第一气体供给喷嘴60及所述第二气体供给喷嘴70内的堆积膜的成长,另外,在所述反应室44内,从所述第一气体供给喷嘴60及所述第二气体供给喷嘴70供给的含有Si(硅)原子的气体和含有Cl(氯)原子的气体、含有C(碳)原子的气体和还原气体即H2气体发生反应,所以,在将由SiC等构成的多个晶片14以水平姿势且多层保持的情况下,能够均匀地进行SiC外延膜成长。
这样,通过遮蔽部即遮蔽壁至少能够对从第二气体供给口72喷出的第二气体向第一气体供给口68的流动进行抑制,由此,能够抑制向气体供给口的膜的堆积,能够制造均质的晶片14。
《第二实施方式》
下面,利用图12对抑制气体供给口68(72)的堵塞的第二实施方式进行说明。此外,第二实施方式中,对与第一实施方式的不同点进行说明。
第二实施方式中,如图12所示,在配置在中央的第一气体供给喷嘴60和配置在两端的第二气体供给喷嘴70之间配置有第四气体供给喷嘴80。该第四气体供给喷嘴80从第四气体供给口85供给例如氩(Ar)气体那样的惰性气体。即,在从第一气体供给口68供给的原料气体的气流和从第二气体供给喷嘴72供给的原料气体的气流之间,设置从第四气体供给口85供给的惰性气体的气流。这样,在气体供给口附近,通过从第四气体供给口85供给的惰性气体的气流,能够阻断从第一气体供给喷嘴60朝向第二气体供给喷嘴的原料气体的气流,能够抑制向第二气体供给喷嘴70的蔓延。
该情况下,若惰性气体的气流过强,则有可能还对从第一气体供给喷嘴60供给的原料气体和从第二气体供给喷嘴70供给的原料 气体的混合造成抑制,所以,从第四气体供给喷嘴供给的惰性气体的流量优选比从第一及第二气体供给喷嘴供给的原料气体的流量小。此外,图12所示的结构能够适用于分离方式、预混合方式两者。
下面,利用图13对变形例进行说明。与图12的构造的不同点在于,第四气体供给口85朝向第二气体供给口72设置。这样将第四气体供给口85朝向第二气体供给口72设置,将惰性气体直接向第二气体供给口72吹送,由此,能够进一步有效地阻断原料气体从第一气体供给口68向第二气体供给口72的流动。
此外,图13所示的构造为气体的供给方式为分离方式的情况,并且,从第一气体供给喷嘴60供给含有Si原子的气体及含有Cl原子的气体。如上所述,Cl原子具有抑制成膜的效果。因此,优选不向供给含有Cl原子的气体的一侧、而向不供给Cl原子的一侧(该情况下,为第二气体供给喷嘴70)吹送惰性气体的气体流。
在预混合方式的情况下,从第一气体供给口68供给成为SiC膜的原料的含有Si原子的气体及含有C原子的气体,从第二气体供给口72供给还原气体。因此,作为SiC膜堆积的原料气体,其两者都从第一气体供给口68供给,所以,浓度最浓的部分在第一气体供给口68附近。因此,通过向第一气体供给口68供给惰性气体,能够抑制还原气体的进入,并抑制SiC膜的堆积。
此外,图13中,构成为使从第四气体供给口85供给的惰性气体直接向第二气体供给口72吹送,但不限于此,只要第四气体供给口85的朝向与晶片14的中心部相比朝向更接近第二气体供给口72(预混合方式的情况下为第一气体供给口68)的一侧即可。
《第三实施方式》
下面,利用图14对第三实施方式进行说明。此外,仅对与第一实施方式及第二实施方式的不同点进行说明。第三实施方式中,示出了采用预混合方式的情况。如图14所示,第一气体供给喷嘴60具有遮蔽壁,另外,在第一气体供给喷嘴60和第二气体供给喷嘴70之间,设有供给惰性气体的第四气体供给喷嘴80。在预混合方式的 情况下,如上所述,在第一气体供给口68上产生SiC膜的堆积的可能性高。因此,在本实施方式中,通过惰性气体抑制来自第二气体供给口的还原气体向第一气体供给口68蔓延,而且,实现基于设在第一气体供给喷嘴上的遮蔽壁的抑制。由此,能够进一步有效地抑制向气体供给口的SiC膜的堆积。
此外,在分离方式的情况下,若在第一气体供给喷嘴60及第二气体供给喷嘴70双方都设置遮蔽壁则更有效。
以上,根据实施方式进行了说明,但只要不脱离本发明的主旨,能够进行各种变更。例如,本发明是在对所谓纵型分批式SiC外延成长装置的研究阶段研发出的发明,因此,实施方式也关于SiC外延成长进行了说明。但是,对于其他的膜的形成来说,在使成膜所使用的气体从两个气体供给喷嘴供给且气体供给口为与反应室内同等的条件的情况下,存在气体供给口附着有堆积膜的可能性。该情况下,通过如本发明这样地构成,当然也能够抑制堆积膜向气体供给口的附着。
《第四实施方式》
下面,利用图15到图17对第四实施方式进行说明。此外,仅对与第一实施方式到第三实施方式的不同点进行说明。第一实施方式中,说明了具有遮蔽壁的气体供给喷嘴结构。但是,在加快了从气体供给喷嘴供给的原料气体的流速的情况下,会发生以下的问题。即,如图15(a)所示,在流速慢的情况下,从气体供给口68(72)喷出的原料气体在从气体供给口68(72)出来后,边扩散边通过遮蔽壁区域。因此,从气体供给口68(72)喷出的原料气体成为沿遮蔽壁的侧壁被喷出的状态,所以,从其他的气体供给口喷出的原料气体不会侵入到遮蔽壁区域。但是,随着从气体供给口68(72)喷出的原料气体的流速加快,原料气体的贯彻力增强,不扩散而直接离开遮蔽壁区域。这样,如图15(b)所示,在原料气体的气体流与遮蔽壁之间形成有间隙,从其他的气体供给口68(72)喷出的原料气体进入到该间隙,有可能在遮蔽壁内部形成堆积膜。其结果为, 从气体供给口68(72)喷出的原料气体与该堆积膜接触,产生速度降低以及产生粒子等的不良情况。尤其是,在SiC外延成长装置中,由于氢气气体为主流,所以,在供给氢气气体的第二气体供给喷嘴70一方,该课题显著。
因此,本实施方式中,如图16(a)所示,不对气体供给喷嘴70设置遮蔽壁,而是构成为对气体供给口72进行倒角。这样,通过具有倒角构造,原料气体的喷出部71由于具有比气体供给口72宽的宽度因而能够抑制喷嘴堵塞。另外,倒角不是通过对气体供给口72进行切削而设置的,而是将圆筒状的气体供给喷嘴的气体供给口侧增厚与倒角相应的量。因此,图16(a)所示的本实施方式的气体供给口72,直线状的喷出部71以宽度T1形成,并构成为具有朝向气体的喷出方向逐渐扩开的倒角部73。这样,通过增厚与倒角相应的量地构成,无论倒角的加工精度如何,设在一个气体供给喷嘴70上的多个气体供给口72的宽度T1都能够形成得大致相同。由此,能够实现从各气体供给口72喷出的原料气体的流速的均匀化。
另外,第四实施方式的气体供给喷嘴的气体供给喷嘴倒角部73的气体喷出方向的宽度T2比第一实施方式的气体供给喷嘴的气体供给喷嘴的遮蔽壁的气体喷出方向的宽度T3小。由此,不存在遮蔽壁与速度快的气体流之间的间隙,能够抑制气体流和堆积物的接触。
另外,本实施方式中的气体供给喷嘴的结构,如图16(c)所示,优选以包围气体供给口72的周围的方式设置倒角部73。例如,若如图7所示、构成为夹持气体供给口,则在气体供给口之间形成上述的间隙,从其他的气体供给喷嘴喷出的原料气体有可能进入到该间隙。
另外,优选如图17所示,第一气体供给喷嘴60使用第一实施方式所示的带遮蔽壁的气体供给喷嘴,第二气体供给喷嘴70使用第四实施方式所示的倒角型的气体供给喷嘴。使用第四实施方式所示的倒角型的气体供给喷嘴作为第二气体供给喷嘴70的理由如上述那样。另外,使用第一实施方式所示的带遮蔽壁的气体供给喷嘴作为 第一气体供给喷嘴60的理由为,通过使遮蔽壁的长度成为适当的长度,能够某种程度地降低速度,使扩散容易。由此,从第一气体供给喷嘴60供给的含有硅原子的气体向从第二气体供给喷嘴70供给的含有碳原子的气体扩散,容易混合。
以上,根据实施方式进行了说明,但只要不脱离本发明的主旨,能够进行各种变更。例如,本发明是在研究SiC外延成长装置时研发的发明,通过SiC外延成长装置的实施方式进行了说明,但不限于此,能够适用于使两种气体在反应室内混合的方式的衬底处理装置。
此外,对本发明的优选的方式进行附记。(1)根据本发明的一个方式,衬底处理装置包括:收容多个衬底的反应室;以覆盖所述反应室的方式设置,且对所述处理室进行加热的加热部;以在所述反应室内延伸的方式设置的第一气体供给管,所述第一气体供给管具有:向所述多个衬底喷出第一气体的第一气体供给口;以所述第一气体供给口露出的方式设在所述第一气体供给口的两侧,且从所述第一气体供给口向所述多个衬底延伸的第一遮蔽壁。(2)在上述(1)记载的衬底处理装置中,还具有第二气体供给管,该第二气体供给管包含向所述多个衬底喷出第二气体的第二供给口、且该第二气体供给管以在所述反应管内延伸的方式设置。(3)在上述(2)记载的衬底处理装置中,提供一种设在所述第一气体供给口的两侧的遮蔽壁的外壁的宽度比从正面观察所述第一气体供给口时的所述第一气体供给喷嘴的宽度小的衬底处理装置。(4)在上述(2)或(3)记载的衬底处理装置中,提供一种从所述第一遮蔽壁的前端部到所述第一气体供给口的长度比所述遮蔽壁的内壁之间的间隙长的衬底处理装置。(5)在上述(2)至(4)的任一项记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体为含有Si原子的气体和含有C原子的气体的混合气体,所述第二气体为还原气体。(6)在上述(5)记载的衬底处理装置中,提供一种衬底处理装置,在所述第二气体供给管上没有设置用于抑制所述第一气体向所述第二气 体供给口的流动的遮蔽部。(7)在上述(2)记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体包括含有Si原子的气体,所述第二气体包括含有C原子的气体和还原气体的混合气体。(8)在上述(7)记载的衬底处理装置中,提供一种衬底处理装置,所述第二气体供给管以所述第二气体供给口露出的方式设在所述第二气体供给口的两侧,还包含从所述第二气体供给口向所述多个衬底延伸的第二遮蔽壁。(9)在上述(2)至(8)的任一项记载的衬底处理装置中,提供一种衬底处理装置,所述第一遮蔽壁的前端部为曲面状。(10)在上述(2)至(9)的任一项记载的衬底处理装置中,提供一种衬底处理装置,所述第一遮蔽壁的厚度与所述第一气体供给喷嘴的厚度相同。(11)在上述(2)至(10)的任一项记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体供给口在所述第一气体供给喷嘴上设置多个,所述第一遮蔽壁以包围设置多个的所述第一气体供给口的周围的方式设置。(12)在上述(2)至(10)的任一项记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体供给口包含狭缝。(13)在上述(2)记载的衬底处理装置中,提供一种衬底处理装置,还包括第三气体供给管,该第三气体供给管在从所述第一气体供给口喷出的所述第一气体的第一气体流和从所述第二气体供给口喷出的所述第二气体的第二气体流之间形成惰性气体的第三气体流。(14)在上述(13)记载的衬底处理装置中,提供一种衬底处理装置,所述第三气体供给管包含第三气体供给口,该第三气体供给口在所述第一气体供给管和所述第二气体供给管之间供给所述惰性气体。(15)在上述(14)记载的衬底处理装置中,提供一种衬底处理装置,所述第三气体供给口朝向所述第一遮蔽壁的前端部设置。(16)在上述(15)中提供一种衬底处理装置,所述第三气体供给口朝向所述第一气体供给口设置。(17)在上述(13)至(16)的任一项记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体为含有Si原子的气体,所述第二气体为含有C原子的气体。(18)在上述(13)至(16)的任一项记载的衬底处理装置 中,提供一种衬底处理装置,所述第一气体为含有Si原子的气体和含有C原子的气体的混合气体,所述第二气体为还原气体。(19)在上述(2)记载的衬底处理装置中,提供一种衬底处理装置,所述第二气体供给管具有:所述第二气体供给口在所述第二气体的喷出方向上延伸的直线状的喷出部;以包围所述喷出部的方式设置、从所述喷出部向所述第二气体的喷出方向扩开的曲面状的倒角部。(20)在上述(19)记载的衬底处理装置中,所述第二气体供给管的所述倒角部的所述第二气体的喷出方向的长度比所述第一气体供给管的遮蔽壁的所述第一气体的喷出方向的长度短。(21)另外,提供一种上述(1)到(20)的任一项记载的第一气体供给管或第二气体供给管。(22)根据本发明的其他的一个方式,提供一种衬底的制造方法,具有以下工序:舟皿装载工序,将使多个衬底沿纵向搭载的舟皿向反应室内搬入;成膜工序,将从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第一气体供给管所包含的第一气体供给口供给的第一气体、以及从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第二气体供给管所包含的第二气体供给口供给的第二气体向所述多个衬底分别供给,所述第一气体和所述第二气体混合,从而在所述多个衬底上分别形成规定的膜;舟皿卸载工序,将形成有所述规定的膜的所述多个衬底从所述反应室搬出,在所述成膜工序中,通过遮蔽部抑制所述第一气体向所述第二气体供给口流动。(23)根据本发明的另一方式,提供一种半导体器件的制造方法,具有以下工序:舟皿装载工序,将使多个衬底沿纵向搭载的舟皿向反应室内搬入;成膜工序,将从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第一气体供给管所包含的第一气体供给口供给的第一气体、以及从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第二气体供给管所包含的第二气体供给口供给的第二气体向所述多个衬底分别供给,所述第一气体和所述第二气体混合,从而在所述多个衬底上分别形成规定的膜;舟皿卸 载工序,将形成有所述规定的膜的所述多个衬底从所述反应室搬出,在所述成膜工序中,通过遮蔽部抑制所述第一气体向所述第二气体供给口流动。(24)根据本发明的另一方式,提供一种衬底处理装置,具有:对沿纵向堆积的多个衬底进行收容的反应室;以覆盖所述反应室的方式设置,对所述处理室进行加热的加热部;以在所述反应室内沿所述纵向延伸的方式设置,具有向所述多个衬底喷出第一气体的第一气体供给口的第一气体供给管;以在所述反应室内沿所述纵向延伸的方式设置,具有向所述多个衬底喷出第二气体的第二气体供给口的第二气体供给管;设在所述第一气体供给管和第二气体供给管之间,在从所述第一气体供给口喷出的所述第一气体的第一气体流和从所述第二气体供给口喷出的所述第二气体的第二气体流之间形成惰性气体的第三气体流的第三气体供给管。(25)在所述(24)记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体供给管还具有第一遮蔽壁,该第一遮蔽壁以所述第一气体供给口露出的方式设在所述第一气体供给口的两侧,从所述第一气体供给口向所述多个衬底延伸。(26)在所述(24)记载的衬底处理装置中,提供一种衬底处理装置,所述第二气体供给管还具有第二遮蔽壁,该第二遮蔽壁以所述第二气体供给口露出的方式设在所述第二气体供给口的两侧,从所述第二气体供给口向所述多个衬底延伸。(27)在所述(24)记载的衬底处理装置中,提供一种衬底处理装置,所述第三气体供给管包含沿所述纵向设置的第三气体供给口。(28)在所述(24)记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体包含含有Si原子的气体,所述第二气体包含含有C原子的气体。(29)在所述(24)记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体包含含有Si原子的气体和含有C原子的气体的混合气体,所述第二气体包含还原气体。(30)在所述(25)记载的衬底处理装置中,提供一种衬底处理装置,设在所述第一气体供给口的两侧的遮蔽壁的外壁的宽度比从正面观察所述第一气体供给口时的所述第一气体供给管的宽度小。(31)在 所述(25)记载的衬底处理装置中,提供一种衬底处理装置,所述第一遮蔽壁的从前端部到所述第一气体供给口的长度比所述遮蔽壁的内壁之间的间隙长。(32)在所述(25)记载的衬底处理装置中,提供一种衬底处理装置,所述第一遮蔽壁的厚度与所述第一气体供给管的厚度相同。(33)在所述(25)记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体供给口在所述第一气体供给管上设置多个,所述第一遮蔽壁以包围设置多个的所述第一气体供给口的周围的方式设置。(34)在所述(25)记载的衬底处理装置中,提供一种衬底处理装置,所述第一气体供给口包含狭缝。
Claims (10)
1.一种衬底处理装置,其特征在于,
包括:
收容多个衬底的反应室;
以覆盖所述反应室的方式设置对所述处理室进行加热的加热部;
以在所述反应室内延伸的方式设置的第一气体供给管,
所述第一气体供给管具有:
向所述多个衬底喷出第一气体的第一气体供给口;
第一遮蔽壁,其以所述第一气体供给口露出的方式设在所述第一气体供给口的两侧,并从所述第一气体供给口向所述多个衬底延伸。
2.如权利要求1所述的衬底处理装置,其特征在于:
还具有第二气体供给管,该第二气体供给管包含向所述多个衬底喷出第二气体的第二供给口,且该第二气体供给管以在所述反应管内延伸的方式设置。
3.如权利要求1所述的衬底处理装置,其特征在于:
设在所述第一气体供给口的两侧的所述第一遮蔽壁的外壁的宽度比从正面观察所述第一气体供给口时的所述第一气体供给管的宽度小。
4.如权利要求1所述的衬底处理装置,其特征在于:
从所述第一遮蔽壁的前端部到所述第一气体供给口的长度比所述遮蔽壁的内壁之间的间隙长。
5.如权利要求2所述的衬底处理装置,其特征在于:
所述第二气体供给管还具有第二遮蔽壁,该第二遮蔽壁以所述第二气体供给口露出的方式设在所述第二气体供给口的两侧,并从所述第二气体供给口向所述多个衬底延伸。
6.如权利要求1所述的衬底处理装置,其特征在于:
所述第一气体供给口在所述第一气体供给管上设置多个,所述第一遮蔽壁以包围设置了多个的所述第一气体供给口的周围的方式设置。
7.如权利要求2所述的衬底处理装置,其特征在于:
还包括第三气体供给管,该第三气体供给管在从所述第一气体供给口喷出的所述第一气体的第一气体流和从所述第二气体供给口喷出的所述第二气体的第二气体流之间形成惰性气体的第三气体流。
8.如权利要求2所述的衬底处理装置,其特征在于:
所述第二气体供给管具有:所述第二气体供给口沿所述第二气体的喷出方向延伸的直线状的喷出部;以包围所述喷出部的方式设置,并从所述喷出部向所述第二气体的喷出方向扩开的曲面状的倒角部。
9.一种衬底的制造方法,其特征在于,
具有以下工序:
舟皿装载工序,将使多个衬底沿纵向搭载的舟皿向反应室内搬入;
成膜工序,将从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第一气体供给管所包含的第一气体供给口供给的第一气体、以及从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第二气体供给管所包含的第二气体供给口供给的第二气体向所述多个衬底分别供给,所述第一气体和所述第二气体混合,从而在所述多个衬底上分别形成规定的膜;
舟皿卸载工序,将形成有所述规定的膜的所述多个衬底从所述反应室搬出,
在所述成膜工序中,通过遮蔽部抑制所述第一气体向所述第二气体供给口流动。
10.一种半导体器件的制造方法,其特征在于,
具有以下工序:
舟皿装载工序,将使多个衬底沿纵向搭载的舟皿向反应室内搬入;
成膜工序,将从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第一气体供给管所包含的第一气体供给口供给的第一气体、以及从以沿着被搬入到所述反应室内的所述多个衬底的方式设在所述反应室内的第二气体供给管所包含的第二气体供给口供给的第二气体向所述多个衬底分别供给,所述第一气体和所述第二气体混合,从而在所述多个衬底上分别形成规定的膜;
舟皿卸载工序,将形成有所述规定的膜的所述多个衬底从所述反应室搬出,
在所述成膜工序中,通过遮蔽部抑制所述第一气体向所述第二气体供给口流动。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2010-284387 | 2010-12-21 | ||
JP2010284387 | 2010-12-21 | ||
JP2011-037171 | 2011-02-23 | ||
JP2011037171A JP5735304B2 (ja) | 2010-12-21 | 2011-02-23 | 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102543689A true CN102543689A (zh) | 2012-07-04 |
CN102543689B CN102543689B (zh) | 2015-09-30 |
Family
ID=46234949
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201110442414.6A Active CN102543689B (zh) | 2010-12-21 | 2011-12-21 | 衬底处理装置、衬底的制造方法及半导体器件的制造方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20120156886A1 (zh) |
JP (1) | JP5735304B2 (zh) |
CN (1) | CN102543689B (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI584394B (zh) * | 2014-12-18 | 2017-05-21 | Hitachi Int Electric Inc | A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium on which a program is recorded |
CN108630577A (zh) * | 2017-03-16 | 2018-10-09 | 东京毅力科创株式会社 | 成膜装置、成膜方法以及存储介质 |
CN110468447A (zh) * | 2018-05-11 | 2019-11-19 | 硅晶体有限公司 | 倒角的碳化硅衬底以及倒角的方法 |
Families Citing this family (333)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090197424A1 (en) * | 2008-01-31 | 2009-08-06 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method for manufacturing semiconductor device |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5610438B2 (ja) * | 2010-01-29 | 2014-10-22 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US8409352B2 (en) * | 2010-03-01 | 2013-04-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus |
JP5589878B2 (ja) * | 2011-02-09 | 2014-09-17 | 東京エレクトロン株式会社 | 成膜装置 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
JP5959307B2 (ja) * | 2011-06-22 | 2016-08-02 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9512519B2 (en) | 2012-12-03 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition apparatus and method |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
JP5602903B2 (ja) | 2013-03-14 | 2014-10-08 | アプライド マテリアルズ インコーポレイテッド | エピタキシャル成長による成膜方法、および、エピタキシャル成長装置 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP6320824B2 (ja) * | 2014-03-31 | 2018-05-09 | 株式会社東芝 | ガス供給管、およびガス処理装置 |
US9349620B2 (en) * | 2014-07-09 | 2016-05-24 | Asm Ip Holdings B.V. | Apparatus and method for pre-baking substrate upstream of process chamber |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
EP3234213A1 (en) * | 2014-12-17 | 2017-10-25 | Applied Materials, Inc. | Material deposition arrangement, a vacuum deposition system and method for depositing material |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
WO2017056155A1 (ja) * | 2015-09-28 | 2017-04-06 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置および記録媒体 |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US20170207078A1 (en) * | 2016-01-15 | 2017-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition apparatus and semiconductor process |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11317481B2 (en) * | 2016-12-08 | 2022-04-26 | Koyo Thermo Systems Co., Ltd. | Supporting structure for induction heating coil, and induction heating device |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
EP3567138B1 (en) | 2018-05-11 | 2020-03-25 | SiCrystal GmbH | Chamfered silicon carbide substrate and method of chamfering |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TW202013553A (zh) | 2018-06-04 | 2020-04-01 | 荷蘭商Asm 智慧財產控股公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
JP7007481B2 (ja) * | 2018-07-05 | 2022-01-24 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
JP7109331B2 (ja) | 2018-10-02 | 2022-07-29 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TW202335039A (zh) * | 2022-02-07 | 2023-09-01 | 日商國際電氣股份有限公司 | 氣體供給部、處理裝置及半導體裝置之製造方法 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4082865A (en) * | 1976-11-19 | 1978-04-04 | Rca Corporation | Method for chemical vapor deposition |
CN1830576A (zh) * | 2005-03-09 | 2006-09-13 | Snt株式会社 | 冷喷涂用喷嘴及使用该冷喷涂用喷嘴的冷喷涂装置 |
US20080044568A1 (en) * | 2004-02-06 | 2008-02-21 | Applied Materials, Inc. | Anti-clogging nozzle for semiconductor processing |
US20100035437A1 (en) * | 2008-07-30 | 2010-02-11 | Hitachi Kokusai Electric, Inc. | Substrate processing apparatus and method of manufacturing semiconductor device |
US20100083898A1 (en) * | 2008-07-23 | 2010-04-08 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
US20100297832A1 (en) * | 2009-05-19 | 2010-11-25 | Hitachi-Kokusai Electric Inc. | Semiconductor device manufacturing method, substrate processing apparatus, substrate manufacturing method |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4401689A (en) * | 1980-01-31 | 1983-08-30 | Rca Corporation | Radiation heated reactor process for chemical vapor deposition on substrates |
JPH02271520A (ja) * | 1989-04-12 | 1990-11-06 | Fujitsu Ltd | 気相成長装置 |
JPH0448721A (ja) * | 1990-06-15 | 1992-02-18 | Nec Corp | 気相成長装置 |
JP2000294511A (ja) * | 1999-04-09 | 2000-10-20 | Ftl:Kk | 半導体装置の製造装置 |
JP3595763B2 (ja) * | 2000-08-24 | 2004-12-02 | シャープ株式会社 | 縦型反応炉 |
US7416635B2 (en) * | 2005-03-02 | 2008-08-26 | Tokyo Electron Limited | Gas supply member and plasma processing apparatus |
JP2009088315A (ja) * | 2007-10-01 | 2009-04-23 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP4634495B2 (ja) * | 2008-11-12 | 2011-02-16 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
KR101043211B1 (ko) * | 2008-02-12 | 2011-06-22 | 신웅철 | 배치형 원자층 증착 장치 |
-
2011
- 2011-02-23 JP JP2011037171A patent/JP5735304B2/ja active Active
- 2011-12-20 US US13/331,123 patent/US20120156886A1/en not_active Abandoned
- 2011-12-21 CN CN201110442414.6A patent/CN102543689B/zh active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4082865A (en) * | 1976-11-19 | 1978-04-04 | Rca Corporation | Method for chemical vapor deposition |
US20080044568A1 (en) * | 2004-02-06 | 2008-02-21 | Applied Materials, Inc. | Anti-clogging nozzle for semiconductor processing |
CN1830576A (zh) * | 2005-03-09 | 2006-09-13 | Snt株式会社 | 冷喷涂用喷嘴及使用该冷喷涂用喷嘴的冷喷涂装置 |
US20100083898A1 (en) * | 2008-07-23 | 2010-04-08 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
US20100035437A1 (en) * | 2008-07-30 | 2010-02-11 | Hitachi Kokusai Electric, Inc. | Substrate processing apparatus and method of manufacturing semiconductor device |
US20100297832A1 (en) * | 2009-05-19 | 2010-11-25 | Hitachi-Kokusai Electric Inc. | Semiconductor device manufacturing method, substrate processing apparatus, substrate manufacturing method |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI584394B (zh) * | 2014-12-18 | 2017-05-21 | Hitachi Int Electric Inc | A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium on which a program is recorded |
CN108630577A (zh) * | 2017-03-16 | 2018-10-09 | 东京毅力科创株式会社 | 成膜装置、成膜方法以及存储介质 |
CN108630577B (zh) * | 2017-03-16 | 2023-04-18 | 东京毅力科创株式会社 | 成膜装置、成膜方法以及存储介质 |
CN110468447A (zh) * | 2018-05-11 | 2019-11-19 | 硅晶体有限公司 | 倒角的碳化硅衬底以及倒角的方法 |
CN110468447B (zh) * | 2018-05-11 | 2022-01-14 | 硅晶体有限公司 | 倒角的碳化硅衬底以及倒角的方法 |
Also Published As
Publication number | Publication date |
---|---|
US20120156886A1 (en) | 2012-06-21 |
JP5735304B2 (ja) | 2015-06-17 |
JP2012146939A (ja) | 2012-08-02 |
CN102543689B (zh) | 2015-09-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102543689A (zh) | 衬底处理装置、衬底的制造方法及半导体器件的制造方法 | |
CN102653883B (zh) | 衬底处理装置及衬底的制造方法 | |
US20100154711A1 (en) | Substrate processing apparatus | |
CN101834119B (zh) | 衬底处理装置 | |
JP5732284B2 (ja) | 成膜装置および成膜方法 | |
JP5562409B2 (ja) | 半導体装置の製造方法及び基板製造方法及び基板処理装置 | |
US20120076936A1 (en) | Substrate processing apparatus, gas nozzle and method of processing substrate | |
US8071477B2 (en) | Method of manufacturing semiconductor device and substrate processing apparatus | |
JP5560093B2 (ja) | 基板処理装置及び半導体装置の製造方法及び基板製造方法 | |
KR101685096B1 (ko) | 기판처리장치 및 이를 이용한 기판처리방법 | |
CN102646617A (zh) | 衬底处理装置和方法以及半导体器件制造方法 | |
US20100282166A1 (en) | Heat treatment apparatus and method of heat treatment | |
JP2011205059A (ja) | 半導体装置の製造方法及び基板製造方法及び基板処理装置 | |
WO2012026241A1 (ja) | 半導体装置の製造方法、及び基板処理装置 | |
JP2012178492A (ja) | 基板処理装置およびガスノズルならびに基板若しくは半導体デバイスの製造方法 | |
WO2012120991A1 (ja) | 基板処理装置、及び、基板の製造方法 | |
US20110217852A1 (en) | Substrate processing apparatus and method of manufacturing semiconductor device | |
JP2011216848A (ja) | 半導体装置の製造方法及び基板の製造方法及び基板処理装置 | |
JP2012191191A (ja) | 基板処理装置 | |
JP2012175077A (ja) | 基板処理装置、基板の製造方法、及び、半導体デバイスの製造方法 | |
JP2012175072A (ja) | 基板処理装置 | |
JP2020161544A (ja) | 成膜装置および成膜方法 | |
JP2014179550A (ja) | 基板処理装置 | |
CN113604875B (zh) | 一种气相外延系统及其维护操作方法 | |
JP2012175074A (ja) | 基板処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
TR01 | Transfer of patent right | ||
TR01 | Transfer of patent right |
Effective date of registration: 20181205 Address after: Tokyo, Japan, Japan Patentee after: International Electric Co., Ltd. Address before: Tokyo, Japan, Japan Patentee before: Hitachi Kunisai Electric Corp. |