CN101834119B - 衬底处理装置 - Google Patents

衬底处理装置 Download PDF

Info

Publication number
CN101834119B
CN101834119B CN2010101323577A CN201010132357A CN101834119B CN 101834119 B CN101834119 B CN 101834119B CN 2010101323577 A CN2010101323577 A CN 2010101323577A CN 201010132357 A CN201010132357 A CN 201010132357A CN 101834119 B CN101834119 B CN 101834119B
Authority
CN
China
Prior art keywords
film forming
process chamber
gas
gas supply
supply nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010101323577A
Other languages
English (en)
Other versions
CN101834119A (zh
Inventor
田边润一
森谷敦
石桥清久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Electric Co., Ltd.
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN101834119A publication Critical patent/CN101834119A/zh
Application granted granted Critical
Publication of CN101834119B publication Critical patent/CN101834119B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种衬底处理装置,能够抑制在成膜气体供给喷嘴内壁上形成Si薄膜。通过设在处理室内的涂布气体供给喷嘴供给涂布气体而对处理室内的石英部件进行涂布,通过设在处理室内的成膜气体供给喷嘴供给成膜气体而在衬底上形成外延膜。

Description

衬底处理装置
技术领域
本发明涉及对衬底进行处理的衬底处理装置。
背景技术
作为DRAM等半导体装置的制造工序中的一个工序,衬底处理工序已被实施,该衬底处理工序具有:将多个衬底以规定的间隔层叠状地保持并送入到处理室内的工序;通过设在处理室内的成膜气体供给喷嘴供给成膜气体而在衬底上形成薄膜的工序;以及将多个衬底从处理室内送出的工序。该衬底处理工序是通过衬底处理装置来实施的,该衬底处理装置具有对衬底进行处理的处理室、将衬底加热的加热机构、以及包括对处理室内供给成膜气体的成膜气体供给喷嘴在内的成膜气体供给机构。
在上述衬底处理工序中,为了抑制由设在处理室内的石英部件引起的衬底的污染,或者为了提高处理室内的热传导效率,有时会在将薄膜形成在衬底上的工序之前,进行通过Si薄膜对处理室内的石英部件进行涂布的工序。在这一工序中,将处理室内加热,并通过成膜气体供给喷嘴供给含有硅(Si)的涂布气体,在石英部件的表面形成Si薄膜。
但是,若将处理室内加热,则成膜气体供给喷嘴内也同样会被加热,因此,若通过成膜气体供给喷嘴供给含有Si的涂布气体,则存在成膜气体供给喷嘴内壁上也形成Si薄膜的情况。而且,若在该状态下向成膜气体供给喷嘴内供给成膜气体,则会以已形成的Si薄膜为基底进一步形成薄膜,存在成膜气体供给喷嘴阻塞、破损的情况。此外,由于成膜气体在成膜气体供给喷嘴内被消耗,因此存在对衬底供给的成膜气体的流量控制变得困难的情况。
发明内容
本发明的目的是提供一种能够抑制在成膜气体供给喷嘴内壁上形成Si薄膜的衬底处理装置。
根据本发明的一个技术方案,提供一种衬底处理装置,该衬底处理装置具有:处理室,对衬底进行处理;加热机构,将所述衬底加热;涂布气体供给机构,其包括向所述处理室内供给涂布气体的涂布气体供给喷嘴;成膜气体供给机构,其包括向所述处理室内供给成膜气体的成膜气体供给喷嘴;以及控制部,对所述加热机构、所述涂布气体供给机构、和所述成膜气体供给机构进行控制,所述控制部进行控制,使得通过所述涂布气体供给喷嘴供给涂布气体而对所述处理室内的石英部件进行涂布,通过所述成膜气体供给喷嘴供给成膜气体而在所述衬底上形成外延膜。
(发明的效果)
根据本发明的衬底处理装置,能够抑制在成膜气体供给喷嘴内壁上形成Si薄膜。
附图说明
图1是本发明的第一实施方式的衬底处理装置的俯视透视图。
图2是本发明的第一实施方式的衬底处理装置的侧视透视图。
图3是本发明的第一实施方式的衬底处理装置的处理炉以及处理炉周边的概要结构图。
图4是例示本发明的第一实施方式的衬底处理装置的处理炉内的气体流的示意图。
图5是例示本发明的第一实施方式的衬底处理工序的流程图。
(附图标记说明)
200晶片(衬底)
201处理室
206加热器
280a成膜气体供给喷嘴
280b涂布气体供给喷嘴
240控制器(控制机构)
具体实施方式
(本发明的第一实施方式)
以下,参照附图对本发明的第一实施方式进行说明。图1是本发明的第一实施方式的衬底处理装置的俯视透视图,图2是本发明的第一实施方式的衬底处理装置的侧视透视图(图1的X-X剖视图),图3是本发明的第一实施方式的衬底处理装置的处理炉以及处理炉周边的概要结构图(图1的Y-Y剖视图)。
(1)衬底处理装置的结构
如图1、图2所示,本实施方式的衬底处理装置100具有框体111。在框体111的正面壁111a的正面前方部(图1的下侧)设有作为开口部的正面维护口103。此外,在正面维护口103上设有开闭正面维护口103的两片正面维护门104a、104b。
为了将作为衬底的晶片200向框体111内外运送,使用作为衬底收纳容器(也称为衬底载体)的舱110。舱110内构成为能够容纳多张晶片200。在框体111的正面壁111a上,以连通框体111的内外的方式设有用于将舱110向框体111内外运送的舱送入送出口112。舱送入送出口112通过作为开闭机构的前闸板113而开闭。
在舱送入送出口112的正面前方侧设有作为衬底收纳器交接台的装载端口114。舱110被载置在装载端口114上,并构成为能够在装载端口114上进行舱110的定位。舱110构成为通过未图示的工序内运送装置而被载置在装载端口114上,或从装载端口114上被送出。
在框体111内的前后方向的大致中央部(图2所示的框体111内的大致中央部)的上部空间中设有作为衬底收纳器载置架的旋转式舱架105。旋转式舱架105具有在垂直方向上设置且在水平面内间歇旋转的支柱116、和作为衬底收纳器载置台的多张架板117。多张架板117分别构成为以水平姿势并呈放射状被固定在支柱116上的上下四层的各位置上。另外,构成为能够在各架板117上分别载置多个舱110。
在框体111内的装载端口114与旋转式舱架105之间设有作为衬底收纳器运送装置的舱运送装置118。舱运送装置118具有:在保持着舱110的状态下进行升降移动的作为衬底收纳器升降机构的舱升降机118a、和在保持着舱110的状态下进行水平移动的作为衬底收纳器运送机构的舱运送机构118b。舱运送装置118构成为通过舱升降机118a和舱运送机构118b的协调动作,将舱110在装载端口114、旋转式舱架105、以及后述的载置台122之间运送。
在框体111内的下部空间中,从框体111内的大致中央部直到后端部设有副框体119。在副框体119的正面壁119a(框体111内的中央部侧)分上下层地设置有将晶片200运送到副框体119内外的作为衬底送入送出口的一对晶片送入送出口120。在上下层的晶片送入送出口120上分别设有舱开启器121。各个舱开启器121具有载置舱110的载置台122、和对舱110的盖体即盖进行装拆的作为盖体装拆机构的盖装拆机构123。舱开启器121构成为通过盖装拆机构123对载置在载置台122上的舱110的盖进行装拆,从而开闭舱110的晶片出入口。
在副框体119内形成有移载室124。移载室124以与设有舱运送装置118和旋转式舱架105等的框体111内的其他空间气密地隔离的方式构成。在移载室124内的前侧区域(框体111内的中央部侧)设有作为衬底移载机构的晶片移载机构125。晶片移载机构125具有作为衬底移载装置的晶片移载装置125a、和作为衬底移载装置升降机构的晶片移载装置升降机125b,所述晶片移载装置125a在作为衬底保持体的夹钳125c上载置晶片200并使其在水平方向上移动,所述晶片移载装置升降机125b使晶片移载装置125a升降移动。通过这些晶片移载装置125a和晶片移载装置升降机125b的协调动作,能够将晶片200装填(装料)到作为衬底保持构件的后述的舟皿217上,或将晶片200从舟皿217中取出(卸料)。
此外,如图1所示,在移载室124内的侧壁部设有清洁单元134。清洁单元134具有供给扇和防尘过滤器,从而构成为能够将经过净化的气体或惰性气体、即清洁空气133供给到移载室124内。此外,如图1所示,在晶片移载装置125a与清洁单元134之间设有使晶片200的周向上的位置对准的作为衬底对准装置的凹口对合装置135。从清洁单元134被供给到移载室124内的清洁空气133在通过凹口对合装置135、晶片移载装置125a以及位于装载锁定室141内的舟皿217的周围之后,被未图示的管道吸引。然后,被管道吸引的气体被排放到框体111的外部,或在循环至清洁单元134的吸入侧即一次侧并被净化之后,再次供给到移载室124内。
在移载室124内的后侧区域(框体111内的后端部侧)设置有耐压框体140,该耐压框体140具有能够将内部维持在不足大气压的压力(负压)的气密功能。在耐压框体140的内部形成有能够收纳舟皿217的作为装载锁定方式的待机室的装载锁定室141。在耐压框体140的正面壁140a上设有晶片送入送出开口(衬底送入送出开口)142。通过将设在晶片送入送出开口142的闸门阀143打开,能够使装载锁定室141与移载室124连通。如图1所示,在耐压框体140的其他侧壁上分别设有向装载锁定室141内供给氮气的气体供给管144、和用于将装载锁定室141内排气至负压的排气管145。在装载锁定室141的上方设有对晶片200进行处理的处理炉202。在处理炉202的下端部设有开口,以使处理炉202内与移载室124内连通。设在处理炉202上的开口构成为能够通过作为炉口开闭机构的炉口闸门阀147而开闭。在耐压框体140的正面壁140a的上端部安装有炉口闸门阀罩149。
如图1所示,在框体111内设有使舟皿217升降移动的舟皿升降机(衬底保持构件升降机构)115。在舟皿升降机115的下端部设有作为连结构件的臂128,在臂128上以水平姿态设有作为盖体的密封盖219。密封盖219构成为从下方垂直地支承舟皿217,并在舟皿升降机115上升时将设在处理炉202上的开口封闭。关于舟皿217的结构将在后面进行说明。
(2)衬底处理装置的动作
下面,对本发明的第一实施方式的衬底处理装置100的动作进行说明。
如图1和图2所示,当舱110被载置在装载端口114上时,前闸板113移动,舱送入送出口112开放。然后,通过舱运送装置118将装载端口114上的舱110经由舱送入送出口112送入框体111内。将被送入框体111内的舱110直接地、或者载置在旋转式舱架105的架板117上暂时保管之后,向上下四层中的任一个载置台122上移载。
此时,舱开启器121的晶片送入送出口120被盖装拆机构123关闭。此外,舟皿升降机115成为降下的状态,处理炉202的下端部的开口成为被炉口闸门阀147封闭的状态。此外,通过清洁单元134向移载室124内供给清洁空气133。例如,将作为清洁空气133的氮气供给到移载室124内并使其充满,由此,移载室124内的氧浓度例如降低至20ppm以下,远远低于框体111内的其他区域。
将载置在载置台122上的舱110的盖压推压在晶片送入送出口120的开口缘边部上。然后,通过盖装拆机构123将盖取下,使舱110的晶片出入口开放。然后,通过闸门阀143的动作将内部预先成为大气压状态的装载锁定室141的晶片送入送出开口142开放。然后,通过晶片移载装置125a的夹钳125c拾取舱110内的晶片200,并经由晶片出入口送入移载室124内,通过凹口对合装置135对周向上的朝向进行对准,然后将晶片200向位于移载室124内的后方的装载锁定室141内运送,并装填(装料)到舟皿217内。然后,重复进行同样的动作,将舱110内剩下的晶片200装填到舟皿217内。
另外,在上述作业中,将其他的舱110从旋转式舱架105移载到另一载置台122上。然后通过盖装拆机构123将盖取下,使舱110的晶片出入口开放。
当将预先指定的张数的晶片200装填(装料)到舟皿217内时,通过闸门阀143将晶片送入送出开口142关闭。然后,通过排气管145对装载锁定室141内进行排气,使其减压至与处理炉202内的压力相同的压力。若装载锁定室141内减压至处理炉202内的压力,则炉口闸门阀147水平移动,将处理炉202的下端部的开口开放。接下来,舟皿升降机115上升,将保持有多个晶片200的舟皿217送入(装载)到处理炉202内,通过密封盖219将处理炉202的下端部的开口气密地封闭。
在将舟皿217送入(装载)到处理炉202内之后,在处理炉202内对晶片200实施任意的处理。关于该处理将在后面进行说明。然后,除利用凹口对合装置135进行的晶片200的周向上的朝向的对准工序以外,通过与上述顺序大致相反的顺序将容纳了处理后的晶片200的舱110送出到框体111的外部。
(3)处理炉的结构
接下来,参照图3对本实施方式的衬底处理装置100所具有的处理炉202及其周边的结构进行说明。
如图3所示,本实施方式的处理炉202具有作为反应管的外管205。外管205由石英(SiO2)或碳化硅(SiC)等耐热材料构成,并形成为上端封闭下端开口的圆筒形状。在外管205的内侧的筒中空部形成有对作为衬底的晶片200进行处理的处理室201。处理室201构成为能够通过后述的舟皿217将晶片200以水平姿态且以在垂直方向上分多层地排列的状态进行收容。
在外管205的外侧,与外管205同心圆状地设有加热器206。加热器206呈圆筒形状,由加热器线及设在其周围的绝热部件构成,加热器206通过被未图示的保持体支承而被垂直地安装。另外,在加热器206的附近设有检测处理室201内的温度的作为温度检测体的温度传感器(未图示)。在加热器206和温度传感器上电连接有温度控制部238。温度控制部238根据由温度传感器检测出的温度信息来调节向加热器206的通电情况,并进行控制以使处理室201内的温度在希望的时刻成为希望的温度分布。主要由加热器206、温度传感器(未图示)构成对晶片200进行加热的加热机构。
在外管205的下方,与外管205同心圆状地配设有歧管209。歧管209例如由不锈钢等的金属材料制成,并形成为上端和下端开口的圆筒形状。该歧管209以支承外管205的方式设置。另外,在歧管209与外管205之间设有作为密封部件的O型环。此外,在歧管209的下方设有作为待机室的装载锁定室141。在构成装载锁定室141的耐压框体140的顶板140b与歧管209之间设有作为密封部件的O型环。通过顶板140b支承该歧管209,由此,外管205成为被垂直安装的状态。由该外管205和歧管209形成反应容器。另外,在顶板140b上设有处理炉202的开口部即炉口161。
对处理室201内供给成膜气体的成膜气体供给喷嘴280a和对处理室201内供给涂布气体的涂布气体供给喷嘴280b分别以贯通的方式连接在歧管209的侧壁上。成膜气体供给喷嘴280a和涂布气体供给喷嘴280b的下游侧沿着处理室201的内壁例如在铅直方向上配置。在成膜气体供给喷嘴280a和涂布气体供给喷嘴280b的下游端(上端)设有气体喷出口。即,在本实施中,没有设置内管,而是构成为使用成膜气体供给喷嘴280a和涂布气体供给喷嘴280b从处理室201内的上部进行各种气体的供给。成膜气体供给喷嘴280a和涂布气体供给喷嘴280b的上游侧在水平方向上贯通歧管209的侧壁,并在歧管209的外周侧突出。成膜气体供给喷嘴280a和涂布气体供给喷嘴280b由石英(SiO2)或碳化硅(SiC)等构成。
在成膜气体供给喷嘴280a的上游端连接有成膜气体供给管232a。成膜气体供给管232a在上游侧分成四个分支。分成四个分支的成膜气体供给管232a分别经由阀171~174和作为气体流量控制装置的MFC 181~184而与第一气体供给源191、第二气体供给源192、第三气体供给源193、第四气体供给源194连接。第一气体供给源191构成为例如供给硅烷(SiH4)、乙硅烷(Si2H6)、二氯硅烷(SiH2Cl2)等的含有Si元素的气体。第二气体供给源192构成为例如供给锗烷(GeH4)等的含有Ge元素的气体。第三气体供给源193构成为供给H2气体。第四气体供给源194构成为供给作为净化气体的例如N2气体。通过打开阀171~173,从而向处理室201内供给作为成膜气体的、含有Si元素的气体和含有Ge元素的气体和H2气体的混合气体。成膜气体的组成和流量可通过MFC 181~183进行调整。此外,通过关闭阀171~173、打开阀174,从而通过作为净化气体的N2气体对成膜气体供给喷嘴280a内进行净化。净化气体的流量可通过MFC 184进行调整。成膜气体供给机构主要由成膜气体供给喷嘴280a、成膜气体供给管232a、阀171~174、MFC 181~184、第一气体供给源191、第二气体供给源192、第三气体供给源193、以及第四气体供给源194构成。
在涂布气体供给喷嘴280b的上游端连接有涂布气体供给管232b。涂布气体供给管232b在上游侧分成两个分支。分成两个分支的涂布气体供给管232b分别经由阀175、176和作为气体流量控制装置的MFC 185、186而与第五气体供给源195、第六气体供给源196连接。第五气体供给源195构成为例如供给硅烷(SiH4)、乙硅烷(Si2H6)、二氯硅烷(SiH2Cl2)等的含有Si元素的气体。第六气体供给源196构成为供给H2气体。通过打开阀175、176,从而对处理室201内供给作为涂布气体的、含有Si元素的气体和H2气体的混合气体。涂布气体的组成和流量可通过MFC 185、186进行调整。涂布气体供给机构主要由涂布气体供给喷嘴280b、涂布气体供给管232b、阀175、176、MFC 185、186、第五气体供给源195、以及第六气体供给源196构成。
在MFC 181~186和阀171~176上电连接有气体流量控制部235。气体流量控制部235分别对MFC 181~186和阀171~176进行控制,以便在希望的时刻从成膜气体供给机构和涂布气体供给机构向处理室201内供给希望的组成和希望的流量的气体。
此外,在歧管209的侧壁上连接有气体排放管231。在气体排放管231的下游侧,经由APC(Auto Pressure Controller;自动压力控制器)阀242连接有真空泵等真空排气装置246。APC阀242作为通过其开度来调整处理室201内的压力的压力调整器而构成。另外,在APC阀242的上游侧的气体排放管231内,虽然未图示,但设有检测处理室201内的压力的作为压力检测机构的压力传感器。另外,压力传感器不限于设在气体排放管231内,还可以设在处理室201内。在压力传感器和APC阀242上电连接有压力控制部236。压力控制部236根据由压力传感器检测出的压力来调节APC阀242的开度,从而进行控制,以使处理室201内的压力在希望的时刻成为希望的压力。对处理室201内的环境气体进行排气的排气机构主要由气体排放管231、APC阀242、真空排气装置246、以及压力传感器(未图示)构成。
此外,像上述那样,在构成装载锁定室141的耐压框体140的外表面设有舟皿升降机115。舟皿升降机115具有下基座245、导向轴264、滚珠丝杠244、上基座247、升降电机248、升降基座252、以及波纹管265。下基座245以水平姿态固定在构成装载锁定室141的侧壁的外表面上。在下基座245上,分别以铅直姿态设有与升降台249嵌合的导向轴264、以及与升降台249螺合的滚珠丝杠244。在导向轴264和滚珠丝杠244的上端,以水平姿态固定有上基座247。滚珠丝杠244构成为通过设在上基座247上的升降电机248而旋转。此外,导向轴264构成为在允许升降台249上下移动的同时抑制其水平方向的旋转。而且,通过使滚珠丝杠244旋转,从而使升降台249升降。
在升降台249上,以垂直姿态固定有中空的升降轴250。升降台249与升降轴250的连结部气密地构成。升降轴250构成为与升降台249一同升降。升降轴250的下侧端部贯通构成装载锁定室141的顶板140b。设在顶板140b上的贯通孔的内径构成得比升降轴250的外径大,以便使升降轴250与顶板140b不相接触。在装载锁定室141与升降台249之间,以覆盖升降轴250周围的方式设有作为具有伸缩性的中空伸缩体的波纹管265。升降台249与波纹管265的连结部、以及顶板140b与波纹管265的连结部分别气密地构成,从而构成为能够保持装载锁定室141内的气密性。波纹管265具有能够与升降台249的升降量相对应的充分的伸缩量。波纹管265的内径构成得比升降轴250的外径充分大,以便使升降轴250与波纹管265不相接触。
在突出于装载锁定室141内的升降轴250的下端,以水平姿态固定有升降基座252。升降轴250与升降基座252的连结部气密地构成。在升降基座252的上表面,夹着O型环等密封部件气密地安装有密封盖219。密封盖219例如由不锈钢等金属构成,并形成为圆盘状。通过驱动升降电机248使滚珠丝杠244旋转,使升降台249、升降轴250、升降基座252以及密封盖219上升,从而将舟皿217送入(舟皿装载)到处理炉202内,同时,通过密封盖219将处理炉202的开口部即炉口161封闭。此外,驱动升降电机248使滚珠丝杠244旋转,使升降台249、升降轴250、升降基座252以及密封盖219下降,从而将舟皿217从处理室201内送出(舟皿卸载)。在升降电机248上电连接有驱动控制部237。驱动控制部237进行控制,以使舟皿升降机115在希望的时刻进行希望的动作。
在升降基座252的下表面,夹着O型环等密封部件气密地安装有驱动部罩253。由升降基座252和驱动部罩253构成驱动部收纳箱256。驱动部收纳箱256的内部与装载锁定室141内的环境相隔离。在驱动部收纳箱256的内部设有旋转机构254。在旋转机构254上连接有电力供给缆线258。电力供给缆线258构成为从升降轴250的上端穿过升降轴250内而被引导至旋转机构254,以对旋转机构254供给电力。旋转机构254所具有的旋转轴255的上端部构成为贯穿密封盖219,并从下方支承作为衬底保持构件的舟皿217。通过使旋转机构254动作,能够使被保持在舟皿217上的晶片200在处理室201内旋转。在旋转机构254上电连接有驱动控制部237。驱动控制部237进行控制,以使旋转机构254在希望的时刻进行希望的动作。
此外,在驱动部收纳箱256的内部且旋转机构254的周围设有冷却机构257。在冷却机构257和密封盖219上形成有冷却流路259。在冷却流路259上连接有供给冷却水的冷却水配管260。冷却水配管260构成为从升降轴250的上端穿过升降轴250内而被引导至冷却流路259,并分别对冷却流路259供给冷却水。
作为衬底保持构件的舟皿217例如由石英(SiO2)或碳化硅(SiC)等耐热材料构成,并构成为将多张晶片200以水平姿态且彼此中心对齐的状态排列着分多层地保持。此外,在舟皿217的下部,以水平姿态且分多层地配置有多张作为绝热部件的绝热板216,该绝热板216例如由石英或碳化硅等耐热材料构成,并呈圆板形状。绝热板216发挥使来自加热器206的热量难以向歧管209侧传递的作用。
此外,本实施方式的衬底处理装置100具有作为控制机构的控制器240。控制器240具有主控制部239,该主控制部239具有CPU、存储器、HDD等存储装置、操作部、以及输入输出部。主控制部239与上述气体流量控制部235、压力控制部236、驱动控制部237、温度控制部238、舟皿升降机115的升降电机248、以及旋转机构254电连接,构成为能够控制衬底处理装置100整体。而且,控制器240以具有以下工序的方式进行控制:将多个晶片200以规定的间隔层叠状地保持并送入到处理室201内的工序;通过涂布气体供给喷嘴280b供给涂布气体而对处理室201内的石英部件进行涂布的工序;通过成膜气体供给喷嘴280a供给成膜气体而在晶片200上形成薄膜的工序;将多个晶片200从处理室201内送出的工序。相关动作将在后面进行说明。
(4)衬底处理工序
接下来,参照图5对作为半导体装置的制造工序中的一个工序的、使SiGe外延膜在晶片200上的局部表面上选择性地生长的衬底处理工序进行说明。图5是例示本发明的第一实施方式的衬底处理工序的流程图。
本衬底处理工序通过上述衬底处理装置100实施。此外,在以下的说明中,通过控制器240对构成衬底处理装置100的各部分的动作进行控制。
(清洁工序(S10))
首先,对处理室201内壁和舟皿217表面进行清洁。具体来说,将空的舟皿217(没有装填晶片200的舟皿217)送入(舟皿装载)到处理室201内,使真空排气装置246动作,对处理室201内的环境气体进行排气。
然后,利用未图示的腐蚀气体供给机构向处理室201内供给例如ClF3气体、F2气体等腐蚀气体,将附着在处理室201内壁和舟皿217表面上的堆积物和异物等腐蚀并除去。在经过规定时间后,停止向处理室201内供给腐蚀气体,并对残留在处理室201内的腐蚀气体和腐蚀生成物进行排气。此时,保持阀171~173关闭而打开阀174,从成膜气体供给喷嘴280a向处理室201内供给作为净化气体的N2气体,由此,促使腐蚀气体和腐蚀生成物等从处理室201内排出。然后,对APC阀242的开度进行反馈控制,使处理室201内与装载锁定室141内成为相同程度的压力,驱动升降电机248将舟皿217从处理室201内送出(卸载),使舟皿217成为降下状态。
(初次判断工序(S11))
接下来,判断下次进行的成膜处理是否是在清洁后紧接着进行的初次的成膜处理。这里,若下次进行的成膜处理是初次的成膜处理,则判断为需要在成膜处理之前通过Si对处理室201内的石英部件进行涂布,从后述的工序S12开始执行(图5的工序S11中分支至“是”)。
(空的舟皿的装载工序(S12))
驱动升降电机248,将空的舟皿217(没有装填晶片200的舟皿217)送入(舟皿装载)到处理室201内,并通过密封盖219将处理炉202的开口部即炉口161封闭。然后,通过旋转机构254使舟皿217旋转。
(涂布工序(S13))
接下来,对APC阀242的开度进行反馈控制,使处理室201内成为规定的压力(涂布处理压力)。然后,根据由温度传感器(未图示)检测出的温度信息对向加热器206的通电情况进行反馈控制,使处理室201内成为希望的温度分布。具体来说,使处理室201内壁和舟皿217表面的温度成为例如650℃~680℃的范围内的温度。然后,打开阀175、176,向处理室201内供给作为涂布气体的、含有Si元素的气体和H2气体的混合气体。此时,通过MFC 185、186调整涂布气体的组成和流量。向处理室201内导入的涂布气体,如图4中虚线箭头所示,从处理室201上方向下方流动,并从气体排放管231被排气。涂布气体在通过处理室201内时与处理室201内壁和舟皿217表面相接触。然后,在处理室201内壁和舟皿217表面上形成由多晶Si(Poly-Si)等构成的Si薄膜。在经过规定时间后,关闭阀175、176,停止向处理室201内供给涂布气体,并对残留在处理室201内的涂布气体等进行排气。通过以上步骤,处理室201内壁和舟皿217表面被例如30nm至1μm程度的膜厚的Si薄膜所覆盖(被涂布)。
由此,在下次的SiGe外延膜生长中,能够抑制由设在处理室201内的石英部件表面(外管203内壁和舟皿217表面等)引起的晶片200的污染。此外,通过由Si薄膜涂布处理室201(外管203)内壁,能够提高外管203的热传导效率,从而能够提高衬底处理的质量和生产效率。
这样,在本实施方式中,通过与成膜气体供给机构独立设置的涂布气体供给机构来进行向处理室201内的涂布气体的供给。即,在本实施方式中,不经由成膜气体供给喷嘴280a而经由涂布气体供给喷嘴280b来供给涂布气体。因此,能够抑制在成膜气体供给喷嘴280a的内壁上形成Si薄膜。即,成膜气体供给喷嘴280a的内壁面上主要仅露出石英(SiO2)或碳化硅(SiC),从而被保持在成为外延膜生长的基底的Si膜几乎不存在的状态。而且,即使反复实施后述的工序S22(即使反复向成膜气体供给喷嘴280a内供给成膜气体),也能够抑制SiGe外延膜向成膜气体供给喷嘴280a的内壁面的生长。其结果是,能够抑制成膜气体供给喷嘴280a的阻塞和破损。此外,能够抑制成膜气体在成膜气体供给喷嘴280a内被消耗,从而能够容易地进行被供给至晶片200的成膜气体的流量控制,能够稳定地供给成膜气体从而提高衬底处理的质量。
另外,在本实施方式中,也可以在打开阀175、176向处理室201内供给涂布气体的期间、或是涂布气体残留在处理室201内的期间打开阀174,由作为净化气体的N2气体对成膜气体供给喷嘴280a内进行净化。由此,能够有效地抑制涂布气体向成膜气体供给喷嘴280a内的侵入,从而能够进一步抑制在成膜气体供给喷嘴280a内壁上形成Si薄膜。此外,在对残留在处理室201内的涂布气体等进行排气时,向处理室201内供给净化气体,由此,能够促进涂布气体从处理室201内向成膜气体供给喷嘴280a的排气。另外,通过MFC 184调整净化气体的流量。
(舟皿的卸载工序(S14))
对APC阀242的开度进行反馈控制,使处理室201内与装载锁定室141内成为相同程度的压力,驱动升降电机248,将舟皿217从处理室201内送出(卸载),并使其成为降下状态。
(虚拟晶片的装载工序(S15))
接着,向结束了涂布工序的舟皿217装填虚拟(dummy)晶片。在装填SiGe膜成膜的处理对象晶片200的区域上下,装填任意张数的虚拟晶片,例如上下各装填10张、共计20张的虚拟晶片。通过装填该虚拟晶片,在从气体供给喷嘴280b导入气体时,成膜气体能够以足够活性的状态到达晶片。此外,通过装填虚拟晶片,期待能够进行保护以免受从排气系统产生的污染,以及由于吸附微粒,从而能够抑制其向成膜晶片的附着等的效果。
(虚拟晶片装填舟皿的装载工序(S16))
与空的舟皿的装载工序(S12)相同,将装填有虚拟晶片的舟皿217送入(舟皿装载)到处理室201内,并通过密封盖219将处理炉202的开口部即炉口161封闭。然后,通过旋转机构254使舟皿217旋转。
(虚拟晶片的Si涂布工序(S17))
与涂布工序(S13)相同,对装填有虚拟晶片的舟皿217进行Si涂布。此时,装填的虚拟晶片被Si涂布,能够期待对虚拟晶片引起的成膜不良的抑制效果。
(虚拟晶片装填舟皿的卸载工序(S18))
与舟皿的卸载工序(S14)相同,将装填有被实施了Si涂布的虚拟晶片的舟皿卸载。
(晶片的装填工序(S19))
通过晶片移载机构125将多张处理对象的晶片200装填到降下状态的舟皿217中。多个晶片200彼此以规定的间隔被舟皿217层叠状地保持。另外,在晶片200的表面上至少有Si面和绝缘膜面露出。具体来说,在构成为硅晶片的晶片200的表面的至少一部分上,形成有例如由SiO2或SiN等构成的绝缘膜,并且Si面和绝缘膜面分别露出。另外,在晶片200表面露出的Si面成为后述的SiGe外延膜生长的基底。
(舟皿的装载工序(S20))
在对舟皿217的晶片200装填结束之后,驱动升降电机248,如图3所示,将保持有规定张数的晶片200的舟皿217送入(舟皿装载)到处理室201内,并且,通过密封盖219将处理炉202的开口部即炉口161封闭。然后,通过旋转机构254使舟皿217旋转。
(预清洁工序(S21))
接下来,进行用于将成膜前残留在晶片表面的残渣成分例如氧化膜、有机物等去除的晶片预清洁工序。在采用作为预清洁中的一种的氢烘烤的情况下,对APC阀242的开度进行反馈控制,使处理室201内成为规定的压力(H2烘烤处理压力)。然后,根据由温度传感器(未图示)检测出的温度信息对向加热器206的通电情况进行反馈控制,使处理室201内成为希望的温度分布。具体来说,使晶片200的表面温度成为例如700℃~1000℃、优选800℃以上的温度。然后,打开阀173,向处理室201内供给作为还原气体的H2气体。此时,控制MFC 183,使H2气体的流量为例如5slm左右、优选20slm以上。被导入到处理室201内的H2气体,如图4中实线箭头所示,从处理室201上方向下方流动,并从气体排放管231被排气。H2气体在通过处理室201内时与晶片200表面相接触,将晶片200表面的氧(O)还原。
在经过例如30分钟左右的时间以后,关闭阀173,停止向处理室201内供给H2气体,并对处理室201内残留的H2气体、反应生成物等进行排气。
此时,若打开阀174,向处理室201内供给作为净化气体的N2气体,则能够促进成膜气体、反应生成物等从处理室201内的排气。通过以上步骤,晶片200表面的氧(O)浓度降低至例如1017(atoms/cm3)左右。
(SiGe外延膜的选择性成膜(S22))
接下来,对APC阀242的开度进行反馈控制,使处理室201内成为规定的压力(成膜处理压力)。然后,根据由温度传感器(未图示)检测出的温度信息对向加热器206的通电情况进行反馈控制,使处理室201内成为希望的温度分布。具体来说,使晶片200的表面温度成为例如450℃~600℃的范围内的温度。然后,打开阀171~173,向处理室201内供给作为成膜气体的、含有Si元素的气体和含有Ge元素的气体和H2气体的混合气体。成膜气体的组成和流量可通过MFC 181~183进行调整。被导入到处理室201内的成膜气体,如图4中实线箭头所示,在从处理室201上方向下方流动的同时被供给到晶片200表面,并从气体排放管231被排气。
成膜气体在通过处理室201内时与晶片200表面相接触。然后,以晶片200表面的Si面为基底选择性地生长SiGe外延膜。
在采用本实施方式这样的外延生长法的成膜工序中,能够通过成膜气体的流动路线、移动速度、组成比等较大程度地控制所形成的膜的品质、即膜的形态、膜质膜厚的均匀性等。本实施方式中,成膜气体供给喷嘴280a使成膜气体从设在下游端(上端)的气体喷出口喷出,由此,能够形成从处理室201上方向下方的成膜气体的气流,从而能够控制成膜气体的上述动作。
在经过规定时间后,关闭阀171~173,停止向处理室201内供给成膜气体,并对处理室201内残留的成膜气体、反应生成物等进行排气。此时,若打开阀174,向处理室201内供给作为净化气体的N2气体,则能够促进成膜气体、反应生成物等从处理室201内排气。
(舟皿的卸载工序(S23))
接下来,对APC阀242的开度进行反馈控制,使处理室201内与装载锁定室141内成为相同程度的压力,驱动升降电机248,将舟皿217从处理室201内送出(卸载),并使舟皿217成为降下状态。
(晶片的卸载工序(S24))
接下来,通过晶片移载机构125将处理完的晶片200从降下状态的舟皿217上卸载(晶片卸料)下来,并收纳在舱110内。
(维护膜厚判断工序(S25))
接下来,判断到之前刚进行的成膜处理为止的舟皿217上的累积膜厚是否达到了维护膜厚。在基于之前刚进行的成膜处理,累积膜厚还没有达到维护膜厚的情况(“否”的情况)下,再次实施上述虚拟晶片的装载工序(S15)及其以后的工序。
在SiGe外延膜成膜工序之后进行上述虚拟晶片的Si涂布(工序S15~S18),例如,由于前次的SiGe外延膜的成膜处理,有时会在处理室201内壁和舟皿217表面等上吸附有GeO等反应生成物和异物。而根据本实施方式,通过由Si薄膜对处理室201内壁和舟皿217表面等进行涂布,能够防止这些反应物和异物从处理室201内壁和舟皿217表面等脱落而在处理室201内飞散,从而能够抑制晶片200的污染。
在达到维护膜厚的情况(“是”的情况)下,从初次工序即清洁工序(S10)开始进行。
(5)本实施方式的效果
根据本实施方式,能够得到以下所示的一个或一个以上的效果。
根据本实施方式,通过与成膜气体供给机构独立地设置的涂布气体供给机构来进行向处理室201内的涂布气体的供给。即,在本实施方式中,不经由成膜气体供给喷嘴280a而经由涂布气体供给喷嘴280b来供给涂布气体。因此,能够抑制在成膜气体供给喷嘴280a的内壁上形成Si薄膜。即,成膜气体供给喷嘴280a的内壁面上主要仅露出石英(SiO2)或碳化硅(SiC),从而被保持在成为外延膜生长的基底的Si膜几乎不存在的状态。而且,即使反复实施上述的工序S22(即使反复向成膜气体供给喷嘴280a内供给成膜气体),也能够抑制SiGe外延膜向成膜气体供给喷嘴280a的内壁面的生长。其结果是,能够抑制成膜气体供给喷嘴280a的阻塞和破损。此外,能够抑制成膜气体在成膜气体供给喷嘴280a内被消耗,从而能够容易地进行被供给至晶片200的成膜气体的流量控制,能够稳定地供给成膜气体从而提高衬底处理的品质。
此外,根据本实施方式,通过成膜气体供给喷嘴280a供给成膜气体并在所述衬底上形成外延膜。这样,通过主要仅露出石英(SiO2)或碳化硅(SiC)的成膜气体供给喷嘴280a来供给成膜气体,由此,能够准确地控制成膜气体的流量、组成比,因此能够提高所形成的外延膜的品质。
此外,本实施方式中,在打开阀175、176向处理室201内供给涂布气体的期间、或是处理室201内残留有涂布气体的期间打开阀174,通过作为净化气体的N2气体对成膜气体供给喷嘴280a内进行净化。由此,能够有效地抑制涂布气体向成膜气体供给喷嘴280a内的侵入,从而能够进一步抑制Si薄膜在成膜气体供给喷嘴280a内壁上的形成。因此,还能够延长对成膜气体供给喷嘴280a内壁上的Si薄膜进行清除的维护周期。
此外,根据本实施方式,通过实施上述的涂布工序(S13),使处理室201内壁和舟皿217表面被例如30nm至1μm程度的膜厚的Si薄膜覆盖(涂布)。由此,在下次的SiGe外延膜生长中,能够抑制由设在处理室201内的石英部件表面(外管203内壁和舟皿217表面等)引起的晶片200的污染。此外,例如,由于前次的SiGe外延膜的成膜处理,会存在吸附于处理室201内壁和舟皿217表面等上的GeO等的反应生成物和异物残留到下次的SiGe外延膜生长时的情况。而根据本实施方式,通过由Si薄膜对处理室201内壁和舟皿217表面等进行涂布,能够防止这些反应生成物和异物从处理室201内壁和舟皿217表面脱落而在处理室201内飞散,从而能够抑制晶片200的污染。此外,通过由Si薄膜对处理室201(外管203)内壁进行涂布,能够提高外管203的热传导效率,从而能够提高衬底处理的品质和生产效率。
此外,在本实施方式的清洁工序(S10)、预清洁工序(S21)、SiGe外延膜的选择性成膜(S22)、空的舟皿的Si涂布工序(S13)、虚拟晶片和舟皿的Si涂布工序(S17)中,打开阀174,向处理室201内供给作为净化气体的N2气体,由此,能够促进残留气体等从处理室201内的排气。而且,能够提高衬底处理的生产效率。
此外,根据本实施方式,在初次判断工序(S11)中,判断下次进行的成膜处理是否是初次的成膜处理。而且,在下次进行的成膜处理不是初次的成膜处理的情况下,若判断为不需要在成膜处理之前对处理室201内的石英部件进行涂布,则不执行上述的工序S12~S14,而开始执行工序S15及其以后的工序。由此,能够提高衬底处理的生产效率。
此外,根据本实施方式,没有设置内管,而是使用成膜气体供给喷嘴280a和涂布气体供给喷嘴280b从处理室201内的上部供给成膜各种气体。因此,能够抑制残留在处理室201内的下方的污染物质的扩散。其结果是,能够抑制异物向晶片200表面等上的吸附,能够提高衬底处理的品质。
(本发明的第二实施方式)
下面,对本发明的第二实施方式的衬底处理装置进行说明。在本实施方式的衬底处理装置中,与涂布气体供给机构有关的结构与第一实施方式不同。因此,对于此处以外的结构参照第一实施方式的说明以及图3,并省略详细说明。
在本实施方式中,涂布气体供给喷嘴280b的直径比成膜气体供给喷嘴280a的直径大。或者也可以只使涂布气体供给喷嘴280b的沿铅直方向延伸的下游侧的直径比成膜气体供给喷嘴280a的下游侧的直径大。此外,还可以根据涂布气体供给喷嘴280b的直径,使设在涂布气体供给喷嘴280b的下游端(上端)的气体喷出口的口径最佳化,以便获得涂布气体的最佳的流速、流量。
根据本实施方式,能够得到以下所示的一个或一个以上的效果。
在本实施方式中也能够得到与上述实施方式相同的效果。而且,根据本实施方式,采用涂布气体供给喷嘴280b的直径比所述成膜气体供给喷嘴280a的直径大的结构。由此,能够延长维护周期。在涂布气体供给时,会在涂布气体供给喷嘴280b的内壁上逐渐形成Si薄膜。因此,在Si薄膜达到某一厚度以上的情况下,为了避免涂布气体供给喷嘴280b的阻塞或破损,需要进行Si薄膜的去除或涂布气体供给喷嘴280b的更换这样的维护。而通过较粗地构成涂布气体供给喷嘴280b,能够延长该维护周期,抑制维护频度。
(本发明的其他实施方式)
在上述实施方式中,对晶片200的表面上至少露出Si面和绝缘膜面、并在Si面上选择性地堆积外延膜的情况进行了说明,但本发明不限于上述方式。即,不限于选择性地堆积外延膜的情况,本发明也可以适宜地应用于使外延膜在晶片200的整个表面区域内生长的情况。此外,不限于选择性外延生长,本发明也可以适宜地应用于选择性多晶生长(Poly生长)以及其他选择性生长。
在上述实施方式中,对使用含有Si元素的气体和含有Ge元素的气体和H2气体的混合气体来作为成膜气体而使SiGe外延膜在晶片200上生长的情况进行了说明,但本发明不限于该实施方式。例如,使用含有Si元素的气体和H2气体的混合气体来作为成膜气体而使Si外延膜在晶片200上生长的情况等也能够适宜地应用本发明。而且,本发明不限于像上述实施方式那样的、成膜气体供给管232a分成四个分支的方式,也可以根据所供给的气体的种类而分成三个以下的分支,还可以分成五个以上的分支。
在上述实施方式中,对使用含有Si元素的气体和H2气体的混合气体来作为涂布气体而使例如由多晶Si(Poly-Si)等构成的Si薄膜在设于处理室201内的石英部件表面(外管203内壁、舟皿217表面等)上生长的情况进行了说明,但本发明不限于该实施方式。而且,本发明不限于像上述实施方式那样的、涂布气体供给管232b分成两个分支的方式,也可以根据所供给的气体的种类不进行分支,也可以分成三个以上的分支。
在上述实施方式中,对衬底处理装置100作为纵型CVD装置而构成的情况进行了说明,但本发明不限于该实施方式。例如,在横型CVD、枚叶型CVD装置等具有在减压下对晶片等衬底进行处理的处理室的衬底处理装置中也能够适宜地应用本发明。
以上,具体地说明了本发明的实施方式,但本发明不限于上述实施方式,在不脱离其技术思想的范围内可以进行各种变更。
(本发明的优选方式)
以下,附记本发明的优选方式。
根据本发明的一个方式,提供一种衬底处理装置,该衬底处理装置具有:处理室,对衬底进行处理;加热机构,将所述衬底加热;涂布气体供给机构,其包括向所述处理室内供给涂布气体的涂布气体供给喷嘴;成膜气体供给机构,其包括向所述处理室内供给成膜气体的成膜气体供给喷嘴;以及控制部,对所述加热机构、所述涂布气体供给机构、和所述成膜气体供给机构进行控制,所述控制部进行控制,使得通过所述涂布气体供给喷嘴供给涂布气体而对所述处理室内的石英部件进行涂布,通过所述成膜气体供给喷嘴供给成膜气体而在所述衬底上形成外延膜。
优选,所述控制部在对所述成膜气体供给喷嘴内供给净化气体的同时,对所述处理室内的石英部件进行涂布。
更优选,所述涂布气体供给喷嘴的直径比所述成膜气体供给喷嘴的直径大。
根据本发明的其他方式,提供一种半导体装置的制造方法,该半导体装置的制造方法具有:将多个衬底以规定的间隔层叠状地保持并送入到处理室内的工序;通过设在所述处理室内的涂布气体供给喷嘴供给涂布气体而对所述处理室内的石英部件进行涂布的工序;通过设在所述处理室内的成膜气体供给喷嘴供给成膜气体而形成外延膜的工序;以及将所述衬底从所述处理室内送出的工序。
根据本发明的一个方式,提供一种衬底处理装置,该衬底处理装置具有:处理室,对衬底进行处理;加热机构,将所述衬底加热;涂布气体供给机构,其包括向所述处理室内供给涂布气体的涂布气体供给喷嘴;成膜气体供给机构,其包括向所述处理室内供给成膜气体的成膜气体供给喷嘴;以及控制部,对所述加热机构、所述涂布气体供给机构、和所述成膜气体供给机构进行控制,所述控制部以具有以下工序的方式进行控制:通过所述涂布气体供给喷嘴供给涂布气体而对所述处理室内的石英部件进行涂布的工序;和通过所述成膜气体供给喷嘴供给成膜气体而在所述衬底上形成薄膜的工序。
优选,在对所述处理室内的石英部件进行涂布的工序中,向所述成膜气体供给喷嘴内供给净化气体。
更优选,所述涂布气体是含有Si的气体。
根据本发明的其他方式,提供一种半导体装置的制造方法,该半导体装置的制造方法具有:将多个衬底以规定的间隔层叠状地保持并送入到处理室内的工序;通过设在所述处理室内的涂布气体供给喷嘴供给涂布气体而对所述处理室内的石英部件进行涂布的工序;通过设在所述处理室内的成膜气体供给喷嘴供给成膜气体而形成薄膜的工序;以及将所述衬底从所述处理室内送出的工序。

Claims (2)

1.一种衬底处理装置,其特征在于,具有:
处理室,对衬底进行处理;
加热机构,将所述衬底加热;
涂布气体供给机构,其包括向所述处理室内供给涂布气体的涂布气体供给喷嘴;
成膜气体供给机构,其包括向所述处理室内供给成膜气体的成膜气体供给喷嘴;以及
控制部,对所述加热机构、所述涂布气体供给机构、和所述成膜气体供给机构进行控制,
所述控制部进行控制,使得通过所述涂布气体供给喷嘴供给涂布气体而对所述处理室内的石英部件进行涂布,通过所述成膜气体供给喷嘴供给成膜气体而在所述衬底上形成外延膜,
所述控制部在对所述成膜气体供给喷嘴内供给净化气体的同时,对所述处理室内的石英部件进行涂布。
2.如权利要求1所述的衬底处理装置,其特征在于,所述控制部在对所述成膜气体供给喷嘴内供给净化气体的同时,对所述处理室内的石英部件进行涂布,并在供给所述涂布气体期间,通过所述净化气体对所述成膜气体供给喷嘴进行净化。
CN2010101323577A 2009-03-10 2010-03-10 衬底处理装置 Active CN101834119B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009055913 2009-03-10
JP2009-055913 2009-03-10
JP2010001898A JP2010239115A (ja) 2009-03-10 2010-01-07 基板処理装置
JP2010-001898 2010-01-07

Publications (2)

Publication Number Publication Date
CN101834119A CN101834119A (zh) 2010-09-15
CN101834119B true CN101834119B (zh) 2012-04-04

Family

ID=42718149

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010101323577A Active CN101834119B (zh) 2009-03-10 2010-03-10 衬底处理装置

Country Status (5)

Country Link
US (1) US20100229795A1 (zh)
JP (1) JP2010239115A (zh)
KR (1) KR101132237B1 (zh)
CN (1) CN101834119B (zh)
TW (1) TW201041069A (zh)

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101271247B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9018065B2 (en) * 2012-05-08 2015-04-28 Globalfoundries Inc. Horizontal epitaxy furnace for channel SiGe formation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104752275B (zh) * 2013-12-29 2018-01-09 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103928317B (zh) * 2014-04-28 2016-10-26 北京七星华创电子股份有限公司 提高工艺片成膜均匀性的方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6327094B2 (ja) * 2014-10-02 2018-05-23 株式会社Sumco 気相成長装置の汚染管理方法、エピタキシャルシリコンウェーハの製造方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6380063B2 (ja) * 2014-12-08 2018-08-29 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、および、気相成長装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
FR3057390B1 (fr) * 2016-10-11 2018-12-07 Soitec Four vertical avec dispositif de piegeage de contaminants
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6820793B2 (ja) * 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6925243B2 (ja) * 2017-11-13 2021-08-25 東京エレクトロン株式会社 クリーニング方法及び成膜方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6794976B2 (ja) * 2017-12-15 2020-12-02 株式会社ダイフク 移載設備、移載方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
EP3599290A3 (en) * 2018-07-24 2020-06-03 Lg Electronics Inc. Chemical vapor deposition equipment for solar cell and deposition method thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) * 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7622007B2 (en) * 2003-08-07 2009-11-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
KR100766196B1 (ko) * 2003-08-26 2007-10-10 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP4272486B2 (ja) * 2003-08-29 2009-06-03 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成装置の洗浄方法
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
JP4978355B2 (ja) * 2007-07-19 2012-07-18 富士通セミコンダクター株式会社 成膜装置及びそのコーティング方法
US8372482B2 (en) * 2009-02-27 2013-02-12 Goodrich Corporation Methods and apparatus for controlled chemical vapor deposition

Also Published As

Publication number Publication date
CN101834119A (zh) 2010-09-15
KR20100102066A (ko) 2010-09-20
TW201041069A (en) 2010-11-16
JP2010239115A (ja) 2010-10-21
KR101132237B1 (ko) 2012-03-30
US20100229795A1 (en) 2010-09-16

Similar Documents

Publication Publication Date Title
CN101834119B (zh) 衬底处理装置
CN100456435C (zh) 衬底处理装置以及半导体设备的制造方法
US9666430B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5243525B2 (ja) フラットな基板の処理装置
JP4994724B2 (ja) 成膜装置及び成膜方法
CN102543689A (zh) 衬底处理装置、衬底的制造方法及半导体器件的制造方法
US20120052203A1 (en) Substrate processing apparatus and method of processing substrate
US20130291798A1 (en) Thin film deposition apparatus and substrate treatment system including the same
JP2008091761A (ja) 基板処理装置及び半導体装置の製造方法
JP5560093B2 (ja) 基板処理装置及び半導体装置の製造方法及び基板製造方法
US20170137938A1 (en) Substrate processing apparatus and substrate processing method using the same
US8025739B2 (en) Method of manufacturing semiconductor device
CN104903994A (zh) 基板处理装置
US10741396B2 (en) Substrate processing apparatus
KR101550590B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
JP2012175072A (ja) 基板処理装置
JP4324632B2 (ja) 半導体装置の製造方法および基板処理装置
JP5785062B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2011216848A (ja) 半導体装置の製造方法及び基板の製造方法及び基板処理装置
JP2006059938A (ja) 基板処理装置
CN113604875B (zh) 一种气相外延系统及其维护操作方法
JP2009117555A (ja) 基板処理装置
JPS6389668A (ja) 気相反応装置および該装置の制御方法
JP2018082041A (ja) 有機金属化学気相成長装置
KR20120134049A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181205

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.