JP2008091761A - 基板処理装置及び半導体装置の製造方法 - Google Patents

基板処理装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP2008091761A
JP2008091761A JP2006272739A JP2006272739A JP2008091761A JP 2008091761 A JP2008091761 A JP 2008091761A JP 2006272739 A JP2006272739 A JP 2006272739A JP 2006272739 A JP2006272739 A JP 2006272739A JP 2008091761 A JP2008091761 A JP 2008091761A
Authority
JP
Japan
Prior art keywords
pressure
chamber
processing chamber
pressure value
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006272739A
Other languages
English (en)
Inventor
Shinichi Shimada
真一 島田
Hideyuki Tsukamoto
秀之 塚本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2006272739A priority Critical patent/JP2008091761A/ja
Priority to KR1020070097250A priority patent/KR100932168B1/ko
Priority to US11/905,090 priority patent/US20080087218A1/en
Publication of JP2008091761A publication Critical patent/JP2008091761A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

【課題】予備室と処理室との圧力差を低減し、該圧力差に起因するガスの急激な流動を抑制し、もって基板のパーティクル汚染を防止する基板処理装置及び半導体装置の製造方法を提供する。
【解決手段】基板処理装置は、ロードロック室内の圧力が設定された第1の設定圧力値となるよう第2の圧力センサの検出する圧力値に基づいてロードロック室内の圧力を調整する第1の圧力調整部288と、処理室内の圧力が第2の設定圧力値となるよう第1の圧力センサ245の検出する圧力値に基づいて処理室内の圧力を調整する第2の圧力調整部290と、差圧計280が検出するロードロック室と処理室との圧力差に基づいて第2の設定圧力値を更新する設定圧力値更新部292とを備える。
【選択図】図5

Description

本発明は、半導体デバイス等の基板を処理するための基板処理装置及び半導体装置の製造方法に関する。
この種の基板処理装置において、反応室(処理室)やロードロック室(予備室)等の隣接する複数の気密室を有し、これらの気密室間を閉塞手段により開閉するものが知られている。例えば、隣接する2つの気密室の圧力差が所定値以下となったときに隣接する2つの気密室を連通することにより、一方の気密室と他方の気密室との圧力差に起因するガスの急激な流動を抑制し、発塵を防止するものが公知である(例えば特許文献1)。
特開平6−177060
しかしながら、上記従来発明においては、ロードロック室と反応室との圧力差を調整するために、ロードロック室と反応室とを連通する連絡管に設けられたバルブを開けていたため、処理室側のパーティクルがロードロック室内に入るおそれがある。ロードロック室内にパーティクルが入ると、処理前及び処理後の基板上にパーティクルが付着することがあり、これを防ぐためにもロードロック室内を洗浄しなければならない。しかしながら、ロードロック室はいったん設置してしまうと、基板処理装置からの取り付け及び取り外しが困難であり、また、人手によるふき取り作業では多大な労力と時間とを費やすとともに洗浄具合にむらが生じるとの問題があった。
本発明は、上記問題を解消し、処理室と予備室との圧力差に起因するガスの急激な流動を抑制し、もって基板上にパーティクルが付着することを防止する基板処理装置及び半導体装置の製造方法を提供することを目的としている。
本発明の特徴とするところは、基板を処理する処理室と、前記処理室に隣接する予備室と、前記処理室と前記予備室との間を開閉する蓋体と、前記処理室内を排気する第1の排気ラインと、前記予備室内を排気する第2の排気ラインと、前記処理室内の絶対圧力値を検出する第1の圧力検出器と、前記予備室内の絶対圧力値を検出する第2の圧力検出器と、前記処理室と前記予備室との圧力差を検出する差圧検出器と、前記予備室内の圧力が設定された第1の設定圧力値となるよう前記第2の圧力検出器の検出する圧力値に基づいて前記予備室内の圧力を調整する第1の圧力調整部と、前記処理室内の圧力が第2の設定圧力値となるよう前記第1の圧力検出器の検出する圧力値に基づいて前記処理室内の圧力を調整する第2の圧力調整部と、前記差圧検出器が検出する前記予備室と前記処理室との圧力差に基づいて前記第2の設定圧力値を更新する設定圧力値更新部とを備える基板処理装置にある。
本発明に係る半導体装置の製造方法は、予備室内の圧力を調整する第1の圧力調整部により予備室内の圧力が設定された第1の設定圧力値となるよう第2の圧力検出器の検出する圧力値に基づいて第2の排気ラインから前記予備室内を排気することで前記予備室内の圧力を調整する工程と、処理室内の圧力を調整する第2の圧力調整部により処理室内の圧力が第2の設定圧力値になるよう第1の圧力検出器の検出する圧力値に基づいて第1の排気ラインから前記処理室内を排気することで前記処理室内の圧力を調整する工程と、設定圧力値更新部により差圧検出器の検出する圧力値に基づいて前記第2の設定圧力値を更新する工程と、前記処理室と前記予備室との間を開閉する蓋体を開く工程と、基板を前記処理室内に搬入する工程と、基板を処理する工程とを有する。
好適には、さらに、前記第1の排気ラインに設けられ前記処理室内の圧力を調整する圧力調整弁と、前記第2の排気ラインに設けられる開閉弁と、前記第1の排気ライン及び前記第2の排気ラインに接続され前記圧力調整弁及び前記開閉弁の下流側に配置される排気ポンプとを備える。
好適には、前記第1の圧力調整部は、前記蓋体が開くのに先立って、前記開閉弁を開にして前記排気ポンプにより前記第2の排気ラインから排気し、前記第2の圧力検出器の検出する圧力値が前記第1の設定圧力値に到達したら前記開閉弁を閉じるように制御する。
好適には、前記第2の圧力調整部は、前記蓋体が開くのに先立って、前記圧力調整弁を開にし、前記排気ポンプにより前記第1の排気ラインから排気し、前記第1の圧力検出器の検出する圧力値が前記第2の設定圧力値を維持するよう前記圧力調整弁を制御する。
好適には、前記設定圧力更新部は、前記蓋体が開くのに先立って、前記第2の圧力検出器の検出する圧力値が前記第1の設定圧力値となり、前記第1の圧力検出器の検出する圧力値が前記第2の設定圧力値となった際に、前記差圧検出器の検出する圧力差を前記第2の設定圧力値に加算又は減算し、該第2の設定圧力値を更新する。
好適には、前記第2の圧力調整部は、前記設定圧力更新部により前記第2の設定圧力値が更新された際には、該更新された設定圧力値に基づいて前記圧力調整弁を制御する。
好適には、さらに、前記処理室にガスを供給する処理室内ガス供給部と、前記予備室にガスを供給する予備室内ガス供給部とを備える。
好適には、前記第1の設定圧力値及び前記第2の設定圧力値は負圧である。
好適には、前記第1の設定圧力値及び前記第2の設定圧力値は略同一である。
本発明によれば、設定圧力更新部により差圧検出器が検出する予備室と処理室との圧力差に基づいて第2の設定圧力値が更新され、予備室と処理室との圧力差が低減されるので、該圧力差に起因するガスの急激な流動を抑制し、もって基板のパーティクル汚染を防止することができる。
本発明を実施するための最良の形態において、基板処理装置100は、一例として、半導体装置(IC)の製造方法における処理工程を実施する半導体製造装置として構成されている。尚、以下の説明では、基板処理装置100として基板に酸化、拡散処理やCVD処理などを行なう縦型の装置(以下、単に処理装置という)を適用した場合について述べる。図1は、本発明に適用される基板処理装置100の平面透視図として示されている。また、図2は図1に示す基板処理装置100の側面透視図である。
図1および2に示されているように、シリコン等からなるウエハ(基板)200を収納したウエハキャリアとしてフープ(基板収容器。以下ポッドという。)110が使用されている本発明の基板処理装置100は、筐体111を備えている。筐体111の正面壁111aの正面前方部にはメンテナンス可能なように設けられた開口部としての正面メンテナンス口103が開設され、この正面メンテナンス口103を開閉する正面メンテナンス扉104、104がそれぞれ建て付けられている。
筐体111の正面壁111aにはポッド搬入搬出口(基板収容器搬入搬出口)112が筐体111の内外を連通するように開設されており、ポッド搬入搬出口112はフロントシャッタ(基板収容器搬入搬出口開閉機構)113によって開閉されるようになっている。ポッド搬入搬出口112の正面前方側にはロードポート(基板収容器受渡し台)114が設置されており、ロードポート114はポッド110を載置されて位置合わせするように構成されている。ポッド110はロードポート114上に工程内搬送装置(図示せず)によって搬入され、かつまた、ロードポート114上から搬出されるようになっている。
筐体111内の前後方向の略中央部における上部には、回転式ポッド棚(基板収容器載置棚)105が設置されており、回転式ポッド棚105は複数個のポッド110を保管するように構成されている。すなわち、回転式ポッド棚105は垂直に立設されて水平面内で間欠回転される支柱116と、支柱116に上下四段の各位置において放射状に支持された複数枚の棚板(基板収容器載置台)117とを備えており、複数枚の棚板117はポッド110を複数個宛それぞれ載置した状態で保持するように構成されている。
筐体111内におけるロードポート114と回転式ポッド棚105との間には、ポッド搬送装置(基板収容器搬送装置)118が設置されており、ポッド搬送装置118は、ポッド110を保持したまま昇降可能なポッドエレベータ(基板収容器昇降機構)118aと搬送機構としてのポッド搬送機構(基板収容器搬送機構)118bとで構成されており、ポッド搬送装置118はポッドエレベータ118aとポッド搬送機構118bとの連続動作により、ロードポート114、回転式ポッド棚105、ポッドオープナ(基板収容器蓋体開閉機構)121との間で、ポッド110を搬送するように構成されている。
筐体111内の前後方向の略中央部における下部には、サブ筐体119が後端にわたって構築されている。サブ筐体119の正面壁119aにはウエハ200をサブ筐体119内に対して搬入搬出するためのウエハ搬入搬出口(基板搬入搬出口)120が一対、垂直方向に上下二段に並べられて開設されており、上下段のウエハ搬入搬出口120、120には一対のポッドオープナ121、121がそれぞれ設置されている。
ポッドオープナ121はポッド110を載置する載置台122、122と、ポッド110のキャップ(蓋体)を着脱するキャップ着脱機構(蓋体着脱機構)123、123とを備えている。ポッドオープナ121は載置台122に載置されたポッド110のキャップをキャップ着脱機構123によって着脱することにより、ポッド110のウエハ出し入れ口を開閉するように構成されている。
サブ筐体119はポッド搬送装置118や回転式ポッド棚105の設置空間から流体的に隔絶された移載室124を構成している。移載室124の前側領域にはウエハ移載機構(基板移載機構)125が設置されており、ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置(基板移載装置)125aおよびウエハ移載装置125aを昇降させるためのウエハ移載装置エレベータ(基板移載装置昇降機構)125bとで構成されている。これら、ウエハ移載装置エレベータ125bおよびウエハ移載装置125aの連続動作により、ウエハ移載装置125aのツイーザ(基板保持体)125cをウエハ200の載置部として、ボート(基板保持具)217に対してウエハ200を装填(チャージング)および脱装(ディスチャージング)するように構成されている。
図1に示されているように移載室124のウエハ移載装置エレベータ125b側と反対側である右側端部には、清浄化した雰囲気もしくは不活性ガスであるクリーンエア133を供給するよう供給ファンおよび防塵フィルタで構成されたクリーンユニット134が設置されており、ウエハ移載装置125aとクリーンユニット134との間には、ウエハの円周方向の位置を整合させる基板整合装置としてのノッチ合わせ装置135が設置されている。
クリーンユニット134から吹き出されたクリーンエア133は、ノッチ合わせ装置135およびウエハ移載装置125aに流通された後に、図示しないダクトにより吸い込まれて、筐体111の外部に排気がなされるか、もしくはクリーンユニット134の吸い込み側である一次側(供給側)にまで循環され、再びクリーンユニット134によって、移載室124内に吹き出されるように構成されている。
移載室124の後側領域には、大気圧未満の圧力(以下、負圧という。)を維持可能な機密性能を有する筐体(以下、耐圧筐体という。)140が設置されており、この耐圧筐体140によりボート217を収容可能な容積を有するロードロック方式の予備室であるロードロック室141が形成されている。
耐圧筐体140の正面壁140aにはウエハ搬入搬出開口(基板搬入搬出開口)142が開設されており、ウエハ搬入搬出開口142はゲート(基板搬入搬出口開閉機構)143によって開閉されるようになっている。耐圧筐体140の一対の側壁には後述する第2のガス供給ライン282と第2の排気ライン270とがそれぞれ接続されている。ロードロック室141上方には、該ロードロック室141に隣接する処理炉202が設けられている。処理炉202の下端部は処理炉202とロードロック室141との間を開閉する蓋体としての炉口ゲートバルブ(炉口開閉機構)147により開閉されるように構成されている。耐圧筐体140の正面壁140aの上端部には、炉口ゲートバルブ147を処理炉202の下端部の開放時に収容する炉口ゲートバルブカバー(図示省略)が取り付けられている。
図1に示されているように、耐圧筐体140にはボート217を昇降させるためのボートエレベータ(基板保持具昇降機構)115が設置されている。ボートエレベータ115に連結された連結具としてのアーム128には処理炉202とロードロック室141との間を開閉する蓋体としてのシールキャップ219が水平に据え付けられており、シールキャップ219はボート217を垂直に支持し、処理炉202の下端部を閉塞可能なように構成されている。ボート217は複数本の保持部材を備えており、複数枚(例えば、50枚〜125枚程度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。
次に、本発明の処理装置の動作について説明する。
図1および2に示されているように、ポッド110がロードポート114に供給されると、ポッド搬入搬出口112がフロントシャッタ113によって開放され、ロードポート114の上のポッド110はポッド搬送装置118によって筐体111の内部へポッド搬入搬出口112から搬入される。
搬入されたポッド110は回転式ポッド棚105の指定された棚板117へポッド搬送装置118によって自動的に搬送されて受け渡され、一時的に保管された後、棚板117から一方のポッドオープナ121に搬送されて載置台122に移載されるか、もしくは直接ポッドオープナ121に搬送されて載置台122に移載される。この際、ポッドオープナ121のウエハ搬入搬出口120はキャップ着脱機構123によって閉じられており、移載室124にはクリーンエア133が流通され、充満されている。例えば、移載室124にはクリーンエア133として窒素ガスが充満することにより、酸素濃度が約20ppm以下と、筐体111の内部(大気雰囲気)の酸素濃度よりも遥かに低く設定されている。
載置台122に載置されたポッド110は、その開口側端面がサブ筐体119の正面壁119aにおけるウエハ搬入搬出口120の開口縁辺部に押し付けられるとともに、そのキャップがキャップ着脱機構123によって取り外され、ポッド110のウエハ出し入れ口が開放される。また、予め内部が大気圧状態とされていたロードロック室141のウエハ搬入搬出開口142がゲート143の動作により開放されると、ウエハ200はポッド110からウエハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップされ、ノッチ合わせ装置135にてウエハを整合した後、ウエハ搬入搬出開口142を通じてロードロック室141に搬入され、ボート217へ移載されて装填(ウエハチャージング)される。ボート217にウエハ200を受け渡したウエハ移載装置125aはポッド110に戻り、次のウエハ110をボート217に装填する。
この一方(上段または下段)のポッドオープナ121におけるウエハ移載装置125によるウエハのボート217への装填作業中に、他方(下段または上段)のポッドオープナ121には回転式ポッド棚105ないしロードポート114から別のポッド110がポッド搬送装置118によって搬送され、ポッドオープナ121によるポッド110の開放作業が同時進行される。
予め指定された枚数のウエハ200がボート217に装填されると、ウエハ搬入搬出開口142がゲート143によって閉じられ、ロードロック室141は排気管145から真空引きされることにより、減圧される。後述する同圧化工程により、ロードロック室141と処理炉202内の圧力とが減圧下で同圧化されると、処理炉202の下端部が炉口ゲートバルブ147によって開放される。このとき、炉口ゲートバルブ147は炉口ゲートバルブカバー(図示省略)の内部に搬入されて収容される。続いて、シールキャップ219がボートエレベータ115の昇降台161によって上昇されて、シールキャップ219に支持されたボート217が処理炉202内へ搬入(ローディング)されて行く。
ローディング後は、処理炉202にてウエハ200に任意の処理が実施される。
処理後は、後述する同圧化工程により、処理炉202内の圧力とロードロック室141との圧力とが減圧下で同圧化されると、ボートエレベータ115によりボート217が引き出され、更に、ロードロック室140内部を大気圧に復圧させた後にゲート143が開かれる。その後は、ノッチ合わせ装置135でのウエハの整合工程を除き、概上述の逆の手順で、ウエハ200およびポッド110は筐体111の外部へ払出される。
図3は本発明の第1の実施形態で好適に用いられる基板処理装置100の処理炉202の概略構成図であり、図1のa−a線断面図として示されている。
図3に示されているように、処理炉202は加熱機構としてのヒータ206を有する。ヒータ206は円筒形状であり、保持板としてのヒータベース251に支持されることにより垂直に据え付けられている。
ヒータ206の内側には、ヒータ206と同心円状に反応管としてのプロセスチューブ203が配設されている。プロセスチューブ203は内部反応管としてのインナーチューブ204と、その外側に設けられた外部反応管としてのアウターチューブ205とから構成されている。インナーチューブ204は、例えば石英(SiO)または炭化シリコン(SiC)等の耐熱性材料からなり、上端および下端が開口した円筒形状に形成されている。インナーチューブ204の筒中空部には基板を処理する処理室201が形成されており、基板としてのウエハ200を後述するボート217によって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。アウターチューブ205は、例えば石英または炭化シリコン等の耐熱性材料からなり、内径がインナーチューブ204の外径よりも大きく上端が閉塞し下端が開口した円筒形状に形成されており、インナーチューブ204と同心円状に設けられている。
アウターチューブ205の下方には、アウターチューブ205と同心円状にマニホールド209が配設されている。マニホールド209は、例えばステンレス等からなり、上端および下端が開口した円筒形状に形成されている。マニホールド209は、インナーチューブ204とアウターチューブ205に係合しており、これらを支持するように設けられている。なお、マニホールド209とアウターチューブ205との間にはシール部材としてのOリング220aが設けられている。マニホールド209がヒータベース251に支持されることにより、プロセスチューブ203は垂直に据え付けられた状態となっている。プロセスチューブ203とマニホールド209により反応容器が形成される。
後述するシールキャップ219にはノズル230が処理室201内に連通するように接続されており、ノズル230には処理室201内にガスを供給する処理室内ガス供給部としての第1のガス供給ライン232が接続されている。第1のガス供給ライン232のノズル230との接続側と反対側である上流側には、ガス流量制御器としての第1のMFC(マスフローコントローラ)241を介して図示しない処理ガス供給源や不活性ガス供給源が接続されている。第1のMFC241には、ガス流量制御部(ガス流量コントローラ)235が電気的に接続されており、供給するガスの流量が所望の量となるよう所望のタイミングにて制御するように構成されている。
マニホールド209には、処理室201内の雰囲気を排気する第1の排気ライン231が設けられている。第1の排気ライン231は、インナーチューブ204とアウターチューブ205との隙間によって形成される筒状空間250の下端部に配置されており、筒状空間250に連通している。第1の排気ライン231のマニホールド209との接続側と反対側である下流側には処理室201内の絶対圧力値を検出する第1の圧力検出器としての第1の圧力センサ245及び圧力調整装置としての圧力調整弁242を介して排気装置としての排気ポンプ246が接続されており、処理室201内の圧力が所定の圧力(真空度)となるよう真空排気し得るように構成されている。圧力調整弁242及び第1の圧力センサ245には、圧力制御部(圧力コントローラ)236が電気的に接続されており、圧力制御部236は第1の圧力センサ245により検出された圧力に基づいて圧力調整弁242により処理室201内の圧力が所望の圧力となるよう所望のタイミングにて制御するように構成されている。
マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219はマニホールド209の下端に垂直方向下側から当接されるようになっている。シールキャップ219は例えばステンレス等の金属からなり、円盤状に形成されている。シールキャップ219の上面にはマニホールド209の下端と当接するシール部材としてのOリング220bが設けられる。シールキャップ219の処理室201と反対側には、ボートを回転させる回転機構254が設置されている。回転機構254の回転軸255はシールキャップ219を貫通して、後述するボート217に接続されており、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219はプロセスチューブ203の外部に垂直に設備された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されており、これによりボート217を処理室201に対し搬入搬出することが可能となっている。回転機構254及びボートエレベータ115には、駆動制御部(駆動コントローラ)237が電気的に接続されており、所望の動作をするよう所望のタイミングにて制御するように構成されている。
基板保持具としてのボート217は、例えば石英や炭化珪素等の耐熱性材料からなり、複数枚のウエハ200を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持するように構成されている。なおボート217の下部には、例えば石英や炭化珪素等の耐熱性材料からなる円板形状をした断熱部材としての断熱板216が水平姿勢で多段に複数枚配置されており、ヒータ206からの熱がマニホールド209側に伝わりにくくなるよう構成されている。
プロセスチューブ203内には、温度検出器としての温度センサ263が設置されている。ヒータ206と温度センサ263には、電気的に温度制御部238が接続されており、温度センサ263により検出された温度情報に基づきヒータ206への通電具合を調整することにより処理室201内の温度が所望の温度分布となるよう所望のタイミングにて制御するように構成されている。
ガス流量制御部235、圧力制御部236、駆動制御部237、温度制御部238は、操作部、入出力部をも構成し、基板処理装置全体を制御する主制御部(メインコントローラ)239に電気的に接続されている。これら、ガス流量制御部235、圧力制御部236、駆動制御部237、温度制御部238、主制御部239はコントローラ240として構成されている。
次に、上記構成に係る処理炉202を用いて、半導体デバイスの製造工程の一工程として、CVD法によりウエハ200上に薄膜を形成する方法について説明する。尚、以下の説明において、基板処理装置を構成する各部の動作はコントローラ240により制御される。
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、図3に示されているように、複数枚のウエハ200を保持したボート217は、ボートエレベータ115によって持ち上げられて処理室201に搬入(ボートローディング)される。この状態で、シールキャップ219はOリング220bを介してマニホールド209の下端をシールした状態となる。
処理室201内が所望の圧力(真空度)となるように真空排気装置246によって真空排気される。この際、処理室201内の圧力は、第1の圧力センサ245で測定され、この測定された圧力に基づき圧力調整弁242が、フィードバック制御される。また、処理室201内が所望の温度となるようにヒータ206によって加熱される。この際、処理室201内が所望の温度分布となるように温度センサ263が検出した温度情報に基づきヒータ206への通電具合がフィードバック制御される。続いて、回転機構254により、ボート217が回転されることで、ウエハ200が回転される。
次いで、処理ガス供給源から供給され、MFC241にて所望の流量となるように制御されたガスは、第1のガス供給ライン232を流通してノズル230から処理室201内に導入される。導入されたガスは処理室201内を上昇し、インナーチューブ204の上端開口から筒状空間250に流出して排気管231から排気される。ガスは処理室201内を通過する際にウエハ200の表面と接触し、この際に熱CVD反応によってウエハ200の表面上に薄膜が堆積(デポジション)される。
予め設定された処理時間が経過すると、不活性ガス供給源から不活性ガスが供給され、処理室201内が不活性ガスに置換されるとともに、処理室201内が減圧状態に維持される。
その後、後述する同圧化工程により、ロードロック室141と処理室201とが減圧下で同圧にされると、ボートエレベータ115によりシールキャップ219が下降されて、マニホールド209の下端が開口されるとともに、処理済ウエハ200がボート217に保持された状態でマニホールド209の下端からプロセスチューブ203の外部に搬出(ボートアンローディング)される。その後、処理済ウエハ200はボート217より取出される(ウエハディスチャージ)。
なお、一例まで、本実施の形態の処理炉にてウエハを処理する際の処理条件としては、例えば、SiN膜(シリコン窒化膜)の成膜においては、処理温度400〜800℃、処理圧力1〜50Torr、成膜ガス種SiHCl,NH、成膜ガス供給流量SiHCl:0.02〜0.30slm,NH:0.1〜2.0slmが例示され、また、Poly−Si膜(ポリシリコン膜)の成膜においては、処理温度350〜700℃、処理圧力1〜50Torr、成膜ガス種SiH、成膜ガス供給流量0.01〜1.20slmが例示され、それぞれの処理条件を、それぞれの範囲内のある値で一定に維持することでウエハ200に処理がなされる。
次に、図4に処理室201及びロードロック室141の周辺構造について詳述する。
図4に示すように、ロードロック室141には該ロードロック室141内の雰囲気を排気する第2の排気ライン270が設けられている。第2の圧力検出器としての第2の圧力センサ272は、第2の排気ライン270に設けられ、ロードロック室141内の絶対圧力値を検出するようになっている。開閉弁274は、第2の排気ライン270に設けられ、第2の圧力センサ272よりも下流側に配置されている。差圧検出ライン276は、第1の排気ライン231と第2の排気ライン270とに接続されており、該差圧検出ライン276には2つのエアバルブ278a、278bと差圧検出器としての差圧計280とが配設されている。差圧検出器280は、2つのエアバルブ278aとエアバルブ278bとの間に配置されており、処理室201とロードロック室141との圧力差を検出するようになっている。排気ポンプ246は、第1の排気ライン231及び第2の排気ライン270に接続されており、圧力調整弁242及び開閉弁274の下流側に配設されている。上述したように、処理室201とロードロック室141との間には該処理室201とロードロック室141との間を開閉する蓋体としての炉口ゲートバルブ147が設けられている。
また、予備室内ガス供給部としての第2のガス供給ライン282は、ガス流量制御器としての第2のMFC(マスフローコントローラ)284を介してロードロック室141に接続されており、該ロードロック室141内に窒素ガス等の不活性ガスを供給するようになっている。
流量制御部235は、第1のMFC241と第2のMFC284とに接続されており、処理室201内及びロードロック室141内に供給するガス流量を制御するように構成されている。なお、第1のMFC241及び第2のMFC284は、単数のみならず、複数ずつ、例えばガス種、ガス流量に応じそれぞれ接続するようにしてもよい。
図5に圧力制御部236の機能構成が示されている。
圧力制御部236は、第1の圧力調整部288、第2の圧力調整部290及び設定圧力更新部292を有する。また、この圧力制御部236は、第1の圧力センサ245、第2の圧力センサ272及び差圧計280と接続されており、これら第1の圧力センサ245、第2の圧力センサ272及び差圧計280の検出する圧力値を受信するようになっている。また、圧力制御部236は、圧力調整弁242及び開閉弁274、エアバルブ278a及びエアバルブ278b(図4に示す)と接続されており、これら圧力調整弁242及び開閉弁274、エアバルブ278a及びエアバルブ278bの動作を制御するようになっている。
設定圧力更新部292には、予め所定の設定圧力値が記憶されている。より具体的には、設定圧力更新部292には、予めロードロック室141内の圧力値を設定した第1の設定圧力値と、処理室201内の圧力値を設定した第2の設定圧力値とが記憶されている。これら第1の設定圧力値と第2の設定圧力値は負圧(大気圧未満の圧力)に設定されている。第2の設定圧力値は、好ましくは、第1の設定圧力値と略同一の値に設定するとよい。なお、第1の設定圧力値及び代2の設定圧力値ともに任意に変更可能に構成されている。
次に図4乃至6に基づいて、本実施形態に係る基板処理装置100の処理室201とロードロック室141との間の同圧化工程について説明する。 図6(a)にも示すように、圧力制御部236は、ロードロック室141内を大気圧状態から負圧状態とする。より具体的には、圧力制御部236の第2の圧力調整部290は、炉口ゲートバルブ147が開くのに先立って開閉弁274を開にし、ロードロック室141内の雰囲気を第2の排気ライン270を介して排気ポンプ246により排気する。このとき、第1の圧力調整部288は、ロードロック室141内の圧力、すなわち第2の圧力センサ272の検出する圧力値が設定圧力更新部292に予め記憶された第1の設定圧力値となるようロードロック室141内の圧力を調整する。なお、このとき必要に応じ、第2の圧力センサ272の検出する圧力値が設定圧力更新部292に予め記憶された第1の設定圧力値となるよう流量制御部235を介して第2のMFC284を制御し、ロードロック室141に供給されるガス流量を調整し、ロードロック室141内の圧力を調整してもよい。第1の圧力調整部288は、第2の圧力センサ272の検出する圧力値が第1の設定圧力値に達したら開閉弁274を閉じる。
図6(b)にも示すように、圧力制御部236は、処理室201内を負圧状態とする。より具体的には、圧力制御部236の第2の圧力調整部290は、炉口ゲートバルブ147が開くのに先立って圧力調整弁242を動作させ(開度を調整し)、処理室201内の雰囲気を第1の排気ライン231を介して排気ポンプ246により排気する。このとき、第2の圧力調整部290は、処理室201内の圧力、すなわち第1の圧力センサ245の検出値が設定圧力更新部292に予め記憶された初期値である第2の設定圧力値となるよう(第2の設定圧力値を維持するよう)圧力調整弁242を制御し、処理室201から排気されるガス流量を調節し、処理室201内の圧力を調整する。なお、このとき必要に応じ、第2の圧力調整部290は、第1の圧力センサ245の検出値が設定圧力更新部292に予め記憶された第2の設定圧力値となるよう圧力調整弁242に加えて第1のMFC241を制御し、処理室201から排気されるガス流量と該処理室201に供給される不活性ガスのガス流量とを調整し、処理室201内の圧力を調整するようにしてもよい。
続いて、圧力制御部236の設定圧力更新部292は、ゲートバルブ147が開くのに先立って、第2の圧力センサ272が検出する圧力値が第1の設定圧力値となり、第1の圧力センサ245が検出する圧力値が第2の設定圧力値となった際に、エアバルブ278a,278bを開き、差圧計280から出力される処理室201とロードロック室141との圧力差を該設定圧力更新部292に記憶された第2の設定圧力値に加算又は減算し、第2の設定圧力値を更新する。続いて、第2の圧力調整部290は、更新された設定圧力値に基づいて圧力調整弁242を動作させ、差圧計280から出力される処理室201とロードロック室141との圧力差が所定の範囲内となるよう処理室201内の圧力を調整する。好ましくは、例えば1秒以内の制御周期で第2の圧力調整部290がPID(Proportional Integral Differential)演算を行ない、圧力調整弁242の開度を調整可能なように所定時刻毎(リアルタイム)に更新された第2の設定圧力値をさらに更新(補正)し、処理室201内の圧力を自動調整するとよい。なお、このとき必要に応じ、第2の圧力調整部290は、更新された設定圧力値に基づいて、圧力調整弁242を動作させるのに加えて第1のMFC241を制御し、処理室201から排気されるガス流量と該処理室201に供給される不活性ガスのガス流量とを調整し、処理室201内の圧力を調整するようにしてもよい。
これにより、処理室201内とロードロック室141内との圧力差を低減させる共に処理室201内及びロードロック室141内の圧力を安定させることができる。なお、差圧計280による測定可能な範囲は予め設定されており、該差圧計280が検出した圧力値が所定の範囲外であればエラー処理が行なわれるようにしてもよい。
図6(c)にも示すように、駆動制御部237(図3に示す)は、炉口ゲートバルブ147を開く。続いて、駆動制御部237は、ボート217をロードロック室141から処理室201内へ搬入する。コントローラ240は、処理室201内においてボート217に支持された基板(ウエハ200)を処理する。
続いて、基板を処理した後、第2の圧力調整部290は、圧力調整弁242を動作させるとともに第1のMFC241を制御し、不活性ガスを処理室201内に供給し、処理室201内を不活性ガスに置換する。置換後もしくは置換しつつ、処理室201内を負圧状態で維持する。
より具体的には、圧力制御部236の第2の圧力調整部290は、シールキャップ219が開くのに先立って圧力調整弁242を動作させ(開度を調整し)、処理室201内の雰囲気を第1の排気ライン231を介して排気ポンプ246により排気する。このとき、第2の圧力調整部290は、処理室201内の圧力、すなわち第1の圧力センサ245の検出値が設定圧力更新部292に予め記憶された初期値である第2の設定圧力値となるよう(第2の設定圧力値を維持するよう)圧力調整弁242を制御し、処理室201内の圧力を調整する。なお、このとき第2の圧力調整部290は、第1の圧力センサ245の検出値が設定圧力更新部292に予め記憶された第2の設定圧力値となるよう圧力調整弁242に加えて第1のMFC241を制御し、処理室201から排気されるガス流量と該処理室201に供給される不活性ガスのガス流量とを調整し、処理室201内の圧力を調整するようにしてもよい。
また、圧力制御部236の第2の圧力調整部290は、シールキャップ219が開くのに先立って開閉弁274を開にし、ロードロック室141内の雰囲気を第2の排気ライン270を介して排気ポンプ246により排気する。このとき、第1の圧力調整部288は、ロードロック室141内の圧力、すなわち、第2の圧力センサ272の検出する圧力値が設定圧力更新部292に予め記憶された第1の設定圧力値となるようロードロック室141内の圧力を調整する。なお、このとき必要に応じ、第2の圧力センサ272の検出する圧力値が圧力設定更新部292に予め記憶された第1の設定圧力値となるようガス流量制御部235を介して第2のMFC284を制御し、ロードロック室141に供給されるガス流量を調整し、ロードロック室141内の圧力を調整してもよい。第1の圧力調整部288は、第2の圧力センサ272の検出する圧力値が第1の設定圧力値に達したら開閉弁274を閉じる。
続いて、圧力制御部236の設定圧力更新部292は、シールキャップ219が開くのに先立って、第2の圧力センサ272が検出する圧力値が第1の設定圧力値となり、第1の圧力センサ245が検出する圧力値が第2の設定圧力値となった際に、エアバルブ278a,278bを開き、圧力調整弁242を差圧計280から出力される処理室201とロードロック室141との圧力差を該設定圧力更新部292に記憶された第2の設定圧力値に加算又は減算し、第2の設定圧力値を更新する。続いて、第2の圧力調整部290は、更新された設定圧力値に基づいて圧力調整弁242を動作させ、差圧計280から出力される処理室201の圧力を調整する。
好ましくは、例えば1秒以内の制御周期で第2の圧力調整部292がPID演算を行い、圧力調整弁242の開度を調整可能なように所定時刻毎に更新された第2の設定圧力値をさらに更新し、処理室201内の圧力を自動調整するとよい。なお、このとき必要に応じ、第2の圧力調整部290は、更新された設定圧力値に基づいて、圧力調整弁242を動作させるのに加えて第1のMFC241を制御し、処理室201から排気されるガス流量と該処理室201に供給されるガス流量とを調整し、処理室201内の圧力を調整するようにしてもよい。
処理室201内の圧力とロードロック室141内の圧力との同圧化が図れた後、駆動制御部237は、シールキャップ219を開きつつ、ボート217を処理室201からロードロック室141へ搬出する。
次に比較例及び実施例を図7及び図10に基づいて説明する。
[比較例1]
処理室201内及びロードロック室141内の雰囲気を排気して負圧状態とし、処理室201内及びロードロック室141内の圧力値の推移を計測した。図7(a)及び図10(a)に示すように、第2の圧力センサ272により検出されたロードロック室141内の圧力値(図中実線)は、圧力上昇要因(ゲートバルブ143又は炉口ゲートバルブ147とロードロック室141との密閉部分等のシール部の微少リーク等)により時間の経過と共に上昇した。第1の圧力センサ245により検出された処理室201内の圧力値(図中一点鎖線)は、第2の圧力調整部290による圧力調整弁242の動作によりほぼ一定となった。差圧計280により検出された処理室201とロードロック室141との差圧(図中破線)は、時間の経過と共に上昇した。
[比較例2]
処理室201内及びロードロック室141内の雰囲気を排気して負圧状態とし、処理室201内及びロードロック室141内の圧力値の推移を計測した。本比較例では、処理室201内の圧力を第1の圧力センサ245及び第2の圧力センサ272の検出値に基づいて制御した。図10(b)に示すように、第1の圧力センサ245及び第2の圧力センサ272により検出される処理室201とロードロック室141との相対的な圧力差(図中破線)は比較例1と比較し低減したが、処理室201とロードロック室141との絶対的な圧力差(図中実線と一点鎖線との差)は使用環境、センサ校正状況等の要因により低減しなかった。
[実施例]
処理室201側の設定圧力値(第2の設定圧力値)に差圧センサ280が検出する処理室201とロードロック室141との圧力差を加算又は減算し、第2の設定圧力値を更新し、該更新した設定圧力値に基づいて処理室201の圧力を調整した。図7(b)に示すように、比較例1及び2と比較して、処理室201とロードロック室141との相対的な圧力差(図中破線)及び処理室201とロードロック室141との絶対的な圧力差(図中実線と一点鎖線との差)が低減し、該処理室201とロードロック室141との圧力は略同一となった。
以上のように、本発明に係る基板処理装置100によれば、処理室201内の圧力を検出する第1の圧力センサ245及びロードロック室141内の圧力を検出する圧力センサ272のいずれかのゼロ点がずれた場合、すなわち校正されていない場合や、処理室201内の圧力又はロードロック室141内の圧力が圧力上昇要因により上昇してしまった場合であっても、処理室201とロードロック室141との圧力差を低減することができる。すなわち、差圧計280により検出された差圧値を処理室201の設定圧力値に加算又は減算して該設定圧力値を更新し、更新された設定圧力値に基づいて処理室201内の圧力を調整することで、ロードロック室141内の圧力変動に応じて、処理室201内の圧力を圧力変動させることができるので、確実に処理室201内とロードロック室内141内との圧力差をほぼゼロに(同圧化)することができる。これにより、処理室201とロードロック室141との圧力差に起因するガスの急激な流動を抑制し、もってパーティクルの発生を防止する。なお、処理室201とロードロック室141との圧力差はゼロに近い値になればよく、好ましくはゼロがよい。なお、処理室201とロードロック室141とを同圧化させる圧力が大気圧であっても本発明の実施形態を適用すれば、同圧化は図れるが、好ましくは、減圧である場合に適用するとよい。さらに好ましくは、30〜1200Paの範囲の高真空下での同圧化に適用するとよい。
また、処理室201内とロードロック室内141内とを同圧化する際に、差圧がある状態で処理室201とロードロック室141とを連通しないので、処理室201内でパーティクルが舞い上がったり、処理室201側のパーティクルがロードロック室141内に入ったりするのを防止することができる。すなわち、基板のパーティクル汚染を防ぐことができる。
また、処理室201内とロードロック室内141内との圧力差を差圧計280から出力される圧力差により、設定圧力更新部292に記憶された第2の設定圧力値を所定時刻毎(リアルタイム)に複数回更新し、圧力調整するので、該圧力差を低減させると共に精度良く安定させることができ、再現性及び信頼性の向上をさせることができる。
また、差圧計280より検出された差圧値により、処理室201の設定圧力値を更新し、該更新された設定圧力値に基づいて処理室201内の圧力を調整するようにしたので、処理室201内の圧力を制御する制御系統を一元化することができる。例えば、差圧計280で検出された圧力値で直接、圧力調整弁242を制御するようにするためには、差圧計280用専用の制御系統や、差圧計280と第1の圧力センサ245それぞれの制御系統とのいずれかを選択するよう切替える機能等を備える必要があるが、それらを備える必要もなく、圧力の管理を絶対圧として一元管理することができる。また、圧力制御系の切替えに起因する制御遅れや圧力変動の発生等を防ぐことができる。
また、処理室201内とロードロック室内141内とを同圧化する際には圧力調整弁242を備える処理室201側で圧力調整するので、ロードロック室141側に排気圧力調整ユニット、例えば圧力調整弁を設ける必要がない。また、圧力調整弁242は、基板処理時に用いられる排気圧力調整ユニットとして用いられるものをそのまま使用することができる。また、処理室201とロードロック室141とを連通する連絡管を設ける必要がない。さらに、第1の排気ライン231と第2の排気ライン270とを排気ポンプ246に配設させたので、1つの排気ポンプ246を共用化することができる。したがって、装置の簡素化を実現することができる。
なお、処理室201内とロードロック室内141内とを同圧化する際に、差圧計280が検出する圧力差を第2の設定圧力値に加算又は減算したときに、処理室201及びロードロック室141内の圧力が所定範囲内にあるか否かを確認するようにしてもよい。これにより、圧力調整後に再び圧力調整を行なうことを防止することができる。すなわち、処理室用圧力センサ及びロードロック室用圧力センサの検出値に基づいて圧力調整した後、差圧センサの検出する値をゼロになるように調整すると、差圧センサの検出する値がゼロになったとしても、第1の圧力設定値として予定していた圧力値とかけ離れてしまうことになり、処理室及びロードロック室内の圧力値が第1の圧力設定値とかけ離れて所定範囲外になった場合には再び圧力調整を行なう必要が生じてしまうのを防止することができる。
次に本発明の第2の実施形態を図8に基づいて説明する。
図8に本実施形態におけるコントローラ240の機能構成が示されている。コントローラ240は、主制御部239と圧力制御部236とを有し、該主制御部239と圧力制御部236とは接続されている。主制御部239は、第1の圧力調整部288と設定圧力更新部292とを有し、該主制御部292には第2の圧力センサ272と差圧計278とが接続されている。圧力制御部236は、第2の圧力調整部290を有し、該圧力制御部236には第1の圧力センサ245と圧力調整弁242とが接続されている。
本実施形態に係るコントローラ240の作用を説明する。
主制御部239は、差圧計280より検出された処理室201とロードロック室141との差圧値を受信する。続いて、主制御部239は、設定圧力更新部292により該差圧値を該設定圧力更新部292に記憶された設定圧力値に加算又は減算して設定圧力値を更新(補正)し、更新された設定圧力値を圧力制御部236に送信する。好ましくは、主制御部239は、圧力制御部236に対して更新された設定圧力値を所定時刻毎(リアルタイム)に送信する。圧力制御部236は、第2の圧力調整部290により主制御部239から更新された設定圧力値が送信される毎(リアルタイム)に設定圧力値を更新し、該更新された設定圧力値に基づいて圧力調整弁242を動作させる。
これにより、処理室201とロードロック室141の圧力差がほぼゼロになるよう調整する制御が継続される。したがって、ロードロック室141内の圧力が変動(例えば上昇)した場合であっても、処理室201とロードロック室141との圧力差がほぼゼロに保持される。
なお、本発明の第2の実施形態の説明において、本発明の第1の実施形態と同一部分については、図面に同一番号を付してその省略した。
次に本発明に係る第3の実施形態を図9に基づいて説明する。
図9に本実施形態におけるコントローラ240の機能構成が示されている。コントローラ240は、主制御部239と圧力制御部236とを有し、該主制御部239と圧力制御部236とは接続されている。主制御部239は、第1の圧力調整部288を有し、該主制御部239には第2の圧力センサ272が接続されている。圧力制御部236は、第2の圧力調整部290と設定圧力更新部292とを有し、該圧力制御部236には第1の圧力センサ245、差圧計280及び圧力調整弁242接続されている。
本実施形態に係るコントローラ240の作用を説明する。
圧力制御部236は、差圧計280より検出された処理室201とロードロック室141との差圧値を受信する。続いて、圧力制御部236は、設定圧力更新部292により該差圧値を設定圧力値に加算又は減算して設定圧力値を更新(補正)する。なお、このとき圧力制御部236に第2の圧力調整部290及び設定圧力更新部292が一体的に設けられているため、圧力データの送信や圧力値計算等の負荷を主制御部239に与えることなく制御することができる。主制御部239は、圧力制御部236に対して圧力制御モードの設定圧力切替情報を送信することが可能となっている。ここで、圧力制御モード情報とは複数の設定圧力値のいずれかの設定圧力値に基づいて圧力制御を行なうモードであり、設定圧力切替情報はいずれかの設定圧力値を選択する情報である。
圧力制御部236は、主制御部239から設定圧力切替情報が送信された場合には、該設定圧力切替情報により、所定の設定圧力値に基づいて圧力調整弁242を作動させる。すなわち、圧力制御部236は、第2の圧力調整部290により、設定圧力更新部292において更新された設定圧力値もしくは更新される前の設定圧力値に基づいて圧力制御弁242を作動させる。このように、主制御部239から出力される設定圧力切替情報に基づいて、圧力制御部236により制御される圧力制御モードを切替えるようにしてもよい。
なお、本発明の第3の実施形態の説明において、本発明の第1の実施形態と同一部分については、図面に同一番号を付してその省略した。
本発明は、半導体デバイス等の基板を処理する基板処理装置及び半導体装置の製造方法において、パーティクルの発生を防止する必要があるものに利用することができる。
本発明の第1の実施形態に係る基板処理装置を示す平面図である。 本発明の第1の実施形態に係る基板処理装置を示す側面図である。 本発明の第1の実施形態に係る基板処理装置の処理炉を示し、図1のa−a線断面図である。 本発明の第1の実施形態に係る基板処理装置に用いられる処理室及びロードロック室の周辺構造を示す模式図である。 本発明の第1の実施形態に係る基板処理装置に用いられるコントローラの機能構成を示すブロック図である。 本発明の第1の実施形態に係る基板処理装置においてロードロック室から処理室にボードを搬入までの処理を示し、(a)はロードロック室が減圧状態、(b)は処理室及びロードロック室が減圧状態、(c)は炉口ゲートバルブが開いた状態を示す模式図である。 処理室内の圧力、ロードロック室内の圧力及びロードロック室と処理室との差圧を示し、(a)は比較例1、(b)は実施例を説明するグラフである。 本発明の第2の実施形態に係る基板処理装置に用いられるコントローラの機能構成を示すブロック図である。 本発明の第3の実施形態に係る基板処理装置に用いられるコントローラの機能構成を示すブロック図である。 処理室内の圧力、ロードロック室内の圧力及びロードロック室と処理室との差圧を示し、(a)は比較例1、(b)は比較例2を説明するグラフである。
符号の説明
100 基板処理装置
141 ロードロック室
147 炉口ゲートバルブ
200 ウエハ
201 処理室
231 第1の排気ライン
242 圧力調整弁
245 第1の圧力センサ
270 第2の排気ライン
272 第2の圧力センサ
280 差圧計
288 第1の圧力調整部
290 第2の圧力調整部
292 設定圧力更新部

Claims (2)

  1. 基板を処理する処理室と、
    前記処理室に隣接する予備室と、
    前記処理室と前記予備室との間を開閉する蓋体と、
    前記処理室内を排気する第1の排気ラインと、
    前記予備室内を排気する第2の排気ラインと、
    前記処理室内の絶対圧力値を検出する第1の圧力検出器と、
    前記予備室内の絶対圧力値を検出する第2の圧力検出器と、
    前記処理室と前記予備室との圧力差を検出する差圧検出器と、
    前記予備室内の圧力が設定された第1の設定圧力値となるよう前記第2の圧力検出器の検出する圧力値に基づいて前記予備室内の圧力を調整する第1の圧力調整部と、
    前記処理室内の圧力が第2の設定圧力値となるよう前記第1の圧力検出器の検出する圧力値に基づいて前記処理室内の圧力を調整する第2の圧力調整部と、
    前記差圧検出器が検出する前記予備室と前記処理室との圧力差に基づいて前記第2の設定圧力値を更新する設定圧力値更新部と、
    を備えることを特徴とする基板処理装置。
  2. 予備室内の圧力を調整する第1の圧力調整部により予備室内の圧力が設定された第1の設定圧力値となるよう第2の圧力検出器の検出する圧力値に基づいて第2の排気ラインから前記予備室内を排気することで前記予備室内の圧力を調整する工程と、
    処理室内の圧力を調整する第2の圧力調整部により処理室内の圧力が第2の設定圧力値となるよう第1の圧力検出器の検出する圧力値に基づいて第1の排気ラインから前記処理室内を排気することで前記処理室内の圧力を調整する工程と、
    設定圧力値更新部により差圧検出器の検出する圧力値に基づいて前記第2の設定圧力値を更新する工程と、
    前記処理室と前記予備室との間を開閉する蓋体を開く工程と、
    基板を前記処理室内に搬入する工程と、
    基板を処理する工程と、
    を有することを特徴とする半導体装置の製造方法。
JP2006272739A 2006-10-04 2006-10-04 基板処理装置及び半導体装置の製造方法 Pending JP2008091761A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006272739A JP2008091761A (ja) 2006-10-04 2006-10-04 基板処理装置及び半導体装置の製造方法
KR1020070097250A KR100932168B1 (ko) 2006-10-04 2007-09-27 기판처리장치 및 반도체장치의 제조방법
US11/905,090 US20080087218A1 (en) 2006-10-04 2007-09-27 Board processing apparatus and method of fabricating semiconductor apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006272739A JP2008091761A (ja) 2006-10-04 2006-10-04 基板処理装置及び半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2008091761A true JP2008091761A (ja) 2008-04-17

Family

ID=39302018

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006272739A Pending JP2008091761A (ja) 2006-10-04 2006-10-04 基板処理装置及び半導体装置の製造方法

Country Status (3)

Country Link
US (1) US20080087218A1 (ja)
JP (1) JP2008091761A (ja)
KR (1) KR100932168B1 (ja)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5394292B2 (ja) * 2010-03-12 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置および圧力検知システムと温度センサの組合体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101884742B1 (ko) * 2011-10-13 2018-08-30 세메스 주식회사 웨이퍼 이송 방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101224520B1 (ko) * 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105304520B (zh) * 2014-07-23 2018-11-06 北京北方华创微电子装备有限公司 晶片的调度方法及系统
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104694890B (zh) * 2015-01-05 2017-03-29 湖南普照信息材料有限公司 溅射室压力稳定方法、溅射镀膜方法和稳压溅射装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109075020B (zh) 2016-06-30 2024-03-05 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10872789B2 (en) 2017-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer cooling system
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110543194B (zh) * 2019-06-11 2022-09-16 北京北方华创微电子装备有限公司 压力控制装置和半导体设备
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000269303A (ja) * 1999-03-18 2000-09-29 Kokusai Electric Co Ltd 半導体製造装置
JP2000306838A (ja) * 1999-04-20 2000-11-02 Matsushita Electronics Industry Corp 半導体基板の処理装置及び処理方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
WO2004003995A1 (ja) * 2002-06-27 2004-01-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000269303A (ja) * 1999-03-18 2000-09-29 Kokusai Electric Co Ltd 半導体製造装置
JP2000306838A (ja) * 1999-04-20 2000-11-02 Matsushita Electronics Industry Corp 半導体基板の処理装置及び処理方法

Also Published As

Publication number Publication date
KR20080031621A (ko) 2008-04-10
US20080087218A1 (en) 2008-04-17
KR100932168B1 (ko) 2009-12-16

Similar Documents

Publication Publication Date Title
JP2008091761A (ja) 基板処理装置及び半導体装置の製造方法
JP4763841B2 (ja) 基板処理装置および半導体装置の製造方法
KR101132237B1 (ko) 기판 처리 장치
US8904955B2 (en) Substrate processing apparatus
WO2014157071A1 (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
WO2004003995A1 (ja) 基板処理装置および半導体装置の製造方法
JP2011181817A (ja) 基板処理装置
JP2012099763A (ja) 基板処理装置及び基板処理装置の保守点検方法
JP5087283B2 (ja) 温度制御システム、基板処理装置、及び半導体装置の製造方法
JP2007088177A (ja) 基板処理装置
JP2013062271A (ja) 基板処理装置
JP4880408B2 (ja) 基板処理装置、基板処理方法、半導体装置の製造方法、メインコントローラおよびプログラム
JP2009290158A (ja) 基板処理システム
JP2008277785A (ja) 半導体装置の製造方法
JP2007258630A (ja) 基板処理装置
CN111712904A (zh) 处理装置、排气系统、半导体器件的制造方法
JP2011222656A (ja) 基板処理装置
JP2010040919A (ja) 基板処理装置
JP6906559B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5885945B2 (ja) 基板処理装置、及び半導体装置の製造方法、並びにプログラム
JP2012043978A (ja) 基板処理装置及び基板移載方法
JP2004241566A (ja) 基板処理装置
JP2009117555A (ja) 基板処理装置
JP2008072054A (ja) 基板処理装置
JP2012069845A (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090915

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110715

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110720

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111111