JP2017500744A - リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト - Google Patents

リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト Download PDF

Info

Publication number
JP2017500744A
JP2017500744A JP2016541067A JP2016541067A JP2017500744A JP 2017500744 A JP2017500744 A JP 2017500744A JP 2016541067 A JP2016541067 A JP 2016541067A JP 2016541067 A JP2016541067 A JP 2016541067A JP 2017500744 A JP2017500744 A JP 2017500744A
Authority
JP
Japan
Prior art keywords
contact
forming
trench
layer
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016541067A
Other languages
English (en)
Other versions
JP6919131B2 (ja
Inventor
ウォルター ブラッチフォード ジェームズ
ウォルター ブラッチフォード ジェームズ
ウィリアム イエッセン スコット
ウィリアム イエッセン スコット
Original Assignee
日本テキサス・インスツルメンツ株式会社
テキサス インスツルメンツ インコーポレイテッド
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本テキサス・インスツルメンツ株式会社, テキサス インスツルメンツ インコーポレイテッド, テキサス インスツルメンツ インコーポレイテッド filed Critical 日本テキサス・インスツルメンツ株式会社
Publication of JP2017500744A publication Critical patent/JP2017500744A/ja
Application granted granted Critical
Publication of JP6919131B2 publication Critical patent/JP6919131B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

説明する例において、或るプロセスが集積回路(1000)を形成し、集積回路(1000)は、コンタクトエッチマスクのためのリソ・フリーズ・リソ・エッチプロセスを用いて、3つのアクティブエリア(1002)及び/又はMOSゲート(1012)を接続する伸長コンタクト(1034)、並びに、2つのアクティブエリア(1002)及び/又はMOSゲート(1012)に接続し、第1レベル相互接続に直接的に接続する、伸長コンタクトを含む。

Description

本願は一般に集積回路の分野に関し、特に集積回路における相互接続に関する。
集積回路におけるコンタクト及び第1レベル相互接続は、エッチングされるエリアをフォトリソグラフィにより画定することによって形成され得る。例えば、コンタクトは、コンタクト感光層のコンタクトエリアを露出させること、続いてコンタクトエッチマスクを形成するためにコンタクト感光層を現像すること、その後、コンタクトホールを形成するために誘電体層をエッチングし、コンタクトホールをコンタクト金属で充填することによって形成され得る。同様に、相互接続感光層の相互接続エリアを露出させること、続いてトレンチエッチマスクを形成するために第1レベル相互接続感光層を現像することによって、コンタクトの上方に金属第1レベル相互接続が形成され得る。コンタクト感光層及び相互接続感光層を露出させるために、より小さな空間分解能限界を有するフォトリソグラフィ機器の方が高価である場合などのように、いくつかのコンタクト間及び/又はいくつかの第1レベル相互接続間の分離より大きな空間分解能限界を有するフォトリソグラフィ機器を用いることが望ましい場合がある。
説明する例において、2つの露光動作においてコンタクトエッチパターンを形成すること、及び/又は、リソ・フリーズ・リソ・エッチ(litho-freeze-litho-etch)プロセスを用いて2つの露光動作において第1のトレンチエッチパターンを形成することにより、集積回路が製造され得る。リソ・フリーズ・リソ・エッチプロセスにおいて、第1の感光層を露光及び現像すること、及び続いて、後続のフォトリソグラフィプロセスシーケンスの間第1のサブパターンがそのまま残るように処理することによって、第1のサブパターンが生成される。集積回路上に第2の感光層が形成され、第2のサブパターンを生成するために第2の露光及び現像シーケンスが成される。第1のサブパターンは、第2のサブパターンと組み合わさってエッチマスクを提供する。メモリセル内にない複数のアクティブエリア又はMOSトランジスタゲートに接続する伸長コンタクトを含むコンタクトを形成するために、コンタクトエッチマスクが用いられる。コンタクトの直ぐ上方に金属第1レベル相互接続を形成するために、第1の相互接続トレンチエッチマスクが用いられる。正確に2つのアクティブエリア及び/又はMOSゲートに接続する伸長コンタクトは、第1レベル相互接続にも直接的に接続する。
製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。 製造の連続段階で示される、一例に従って形成される集積回路の上面図である。
製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。
製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。 製造の連続段階で示される、一例に従って形成される集積回路の断面図である。
本説明では、「コンタクト」という用語は、集積回路において、アクティブエリア及び/又は下記でMOSゲートと呼ばれる金属酸化物半導体(MOS)トランジスタゲートに接続する金属素子を指す。アクティブエリア及び/又はMOSゲートは、コンタクトが金属シリサイド層に接触するように金属シリサイドの層を含み得る。MOSゲートは、フィールド酸化物の上の連続ゲート材料を含む。
リソ・フリーズ・リソ・エッチプロセスを用いてコンタクトエッチサブマスクの露光及び現像の2サイクルを行うことにより、集積回路が形成され得る。製造シーケンスにおいて、オプションのコンタクトハードマスク層が用いられてもよい。コンタクトは、メモリセル内にない集積回路内の複数のアクティブエリア又はMOSゲートに接続するコンタクトである、伸長コンタクトを含む。コンタクトの直ぐ上方のダマシン第1レベル相互接続が、コンタクトの上方に金属内誘電体(IMD)層を形成すること、並びに、リソ・フリーズ・リソ・エッチプロセスを用いてトレンチエッチサブマスクの露光及び現像の2サイクルを行うことによって形成され得る。製造シーケンスにおいて、オプションのトレンチハードマスク層が用いられてもよい。相互接続トレンチが、相互接続トレンチハードマスク層を介してIMD層にエッチングされる。相互接続トレンチは、ライナ金属及び銅充填金属などの相互接続材料で充填される。正確に2つのアクティブエリア及び/又はMOSゲートに接続する伸長コンタクトは、第1レベル相互接続素子にも直接的に接続する。
図1Aから図1Hは、製造の連続段階で示される、一例に従って形成される集積回路の上面図である。図1Aを参照すると、集積回路1000が、メモリセルの一部ではないアクティブエリア1002、相補型金属酸化物半導体(CMOS)インバータの一部であるインバータp型アクティブエリア1004及びインバータn型アクティブエリア1006、並びに場合によっては、CMOSデュアル入力論理ゲートの一部であるデュアル入力論理ゲートp型アクティブエリア1008及びデュアル入力論理ゲートn型アクティブエリア1010を含む。集積回路1000はまた、メモリセルの一部ではないMOSゲート1012、インバータp型アクティブエリア1004及びインバータn型アクティブエリア1006を横切るCMOSインバータの一部であるインバータMOSゲート1014、並びに、CMOSデュアル入力論理ゲートが存在する場合、デュアル入力論理ゲートp型アクティブエリア1008及びデュアル入力論理ゲートn型アクティブエリア1010を横切る2つのデュアル入力論理ゲートMOSゲート1016を含む。図示されていないPMD(プリメタル誘電体)層が、アクティブエリア1002、1004、1008、及び1010、並びにMOSゲート1012、1014、及び1016を覆って、集積回路1000の既存の頂部層の上に形成される。コンタクトハードマスク層(図示せず)が、PMD層の上に形成され得る。コンタクトハードマスク層は、窒化シリコン、炭化シリコン、酸化アルミニウム、及び窒化酸化シリコンの下の非晶質炭素などの、PMDメイン層のエッチャントに耐性を持つ材料の1つまたは複数のサブ層を含み得る。
集積回路1000においてコンタクトのためのエリアが画定される。図1Aを参照すると、望ましいコンタクトエリアは、0.8〜1.0の長さ対幅比を有するコンパクトな単一ノードコンタクトエリア1018を含み得る。長さ対幅比は、コンタクトエリアの横寸法を指す。コンタクトエリアは、2より上の長さ対幅比を有する伸長単一ノードコンタクトパターン1020も含み得る。コンタクトエリアは更に、複数のアクティブエリア及び/又はMOSゲートに直接的に接続する伸長コンタクトのためのエリアを画定する、伸長コンタクトエリア1022を含む。伸長コンタクトパターン1022のいくつかのインスタンスは線形であり得る。伸長コンタクトパターン1022のいくつかのインスタンスは、図1Aに示されるような1つまたは複数の屈曲を有するなどの非線形であり得る。
図1Bを参照すると、集積回路1000の上に第1のコンタクトエッチサブマスク1024が形成される。図1Aに示されるアクティブエリア及びMOSゲートは、明確にするために図1Bには示されていない。図1Bで、第1のコンタクトエッチサブマスク1024はハッチパターンを用いて示され、コンタクトエリア1018、1020、及び1022はハッチなしで示される。第1のコンタクトエッチサブマスク1024の縁部は、コンタクトエリア1018、1020、及び1022の境界の一部を形成する。第1のコンタクトエッチサブマスク1024は、集積回路1000上に底部反射防止コーティング(BARC)を形成すること、続いてBARC上に第1のコンタクトフォトレジスト層を形成すること、及びそれに続いて、液浸露光動作においてドラッグを低減する第1のコンタクトフォトレジスト層上の摩擦低減頂部層を形成することなどによって、形成され得る。第1のコンタクトフォトレジスト層は、液浸スキャナリソグラフィツールなどによって、第1のコンタクト露光動作において第1のコンタクトサブパターンを用いて露光され、現像される。現像された第1のコンタクトフォトレジスト層は、第1のコンタクトエッチサブマスク1024を形成する「フリージング」と呼ばれるプロセスにおいて処理されて、第2のコンタクトエッチサブマスクを生成するための後続のプロセスシーケンスの間それがそのまま残るようにする。フリージングプロセスは、ホリ マサフミ等により「レジストフリージングプロセスを用いたサブ40nmハーフピッチダブルパターニング」Advances in Resist Materials and Processing Technology XXV, Proc. OF SPIE Vol. 6923, 69230H, 2008に記載されるように成され得る。現像された第1のコンタクトフォトレジスト層を、第2のコンタクトエッチサブマスクの形成の間それがそのまま残るように処理するためのその他のプロセスは本例の範囲内にある。
Masafumi Hori, et. al., "Sub-40nm Half-Pitch Double Patterning with Resist Freezing Process", Advances in Resist Materials and Processing Technology XXV, Proc. OF SPIE Vol. 6923, 69230H, 2008
図1Cを参照すると、集積回路1000の上に第2のコンタクトエッチサブマスク1026が形成されて、第1のコンタクトエッチサブマスク1024が第2のコンタクトエッチサブマスク1026と組み合わさって、コンタクトエリア1018、1020、及び1022内の集積回路1000の頂部表面を露出させるコンタクトエッチマスクを形成するようにする。コンタクトエリア1018、1020、及び1022の境界が、第1のコンタクトエッチサブマスク1024及び第2のコンタクトエッチサブマスク1026の組み合わされた縁部によって形成される。第2のコンタクトエッチサブマスク1026は、BARC、第2のコンタクトフォトレジスト層、及び摩擦低減頂部層を含む、層スタックを形成すること、続いて、第2のコンタクト露光動作において第2のコンタクトサブパターンを用いて第2のコンタクトフォトレジスト層を露出させること、及び第2のコンタクトフォトレジスト層を現像することなどによって、形成され得る。本例の1つのバージョンにおいて、コンタクトエッチマスクを生成する際に追加の露光及び現像シーケンスは成されない。本例の1つのバージョンにおいて、コンタクトエリア1018、1020、及び1022のいくつかの部材が、第1のコンタクト露光動作及び/又は第2のコンタクト露光動作を行うために用いられるフォトリソグラフィ機器の空間分解能限界未満だけ離され得る。
組み合わされたコンタクトエッチマスク(1024+1026)を用いてPMD層内にコンタクトホールが形成される。コンタクトハードマスク層を用いる本例のバージョンにおいて、コンタクトハードマスク材料が、反応性イオンエッチ(RIE)プロセスなどの第1のコンタクトエッチプロセスによってコンタクトハードマスク層から除去される。PMD層内のコンタクトホールは、その後、エッチングされたハードマスク層をテンプレートとして用いる第2のコンタクトエッチプロセスによって形成される。組み合わされたコンタクトエッチマスク(1024+1026)は、第1のコンタクトエッチプロセス後、第2のコンタクトエッチプロセスの間、又は第2のコンタクトエッチプロセス後除去され得る。コンタクトハードマスク層を用いない本例のバージョンにおいて、PMD層内のコンタクトホールは、コンタクトエッチマスクをテンプレートとして用いるRIEプロセスなどのコンタクトエッチプロセスを用いてPMD材料を除去することによって形成される。コンタクトエッチマスクは、コンタクトエッチプロセスの間又はコンタクトエッチプロセス後除去され得る。
図1Dを参照すると、PMD層内のコンタクトホールは、0.8〜1.0の長さ対幅比を有するコンパクトな単一ノードコンタクト1028と、2より上の長さ対幅比を有する伸長単一ノードコンタクト1030と、正確に2つのアクティブエリア1002及び/又はMOSゲート1012に接続し、続いて第1レベル相互接続に直接的に接続されるデュアルノード伸長コンタクト1032と、3つ又はそれ以上のアクティブエリア1002及び/又はMOSゲート1012に接続する複数ノード伸長コンタクト1034とを含む、複数のコンタクトを形成するようにコンタクト金属で充填される。デュアルノード伸長コンタクト1032のうちのいくつかが、インバータp型アクティブエリア1004の出力ノードをインバータn型アクティブエリア1006の出力ノードに接続する、CMOSインバータ内のインバータ出力ノード伸長コンタクトである。CMOSデュアル入力論理ゲートが形成される場合、デュアルノード伸長コンタクトの1つがCMOSデュアル入力論理ゲートコンタクト1036であり、CMOSデュアル入力論理ゲートコンタクト1036は、CMOSデュアル入力論理ゲートp型アクティブエリア1008の出力ノードを、CMOSデュアル入力論理ゲートn型アクティブエリア1010の出力ノードに接続する。コンタクト1028、1030、1032、1034、及び1036は、チタン又は窒化チタンなどのコンタクトライナ金属で、続いてタングステンなどのコンタクト充填金属で、コンタクトホールを充填すること、及びそれに続いて、エッチング及び/又はCMP法を用いてPMD層の頂部表面からコンタクト充填金属及びコンタクトライナ金属を除去することなどによって、形成され得る。
図1Eを参照すると、コンタクト及びPMD層上にIMD層(図示せず)が形成される。IMD層は、二酸化シリコン、及び/或いは、有機ケイ酸塩ガラス(OSG)、炭素ドープ酸化シリコン(SiCO又はCDO)、又はメチルシルセスキオキサン(MSQ)などの低誘電率誘電体材料を含み得る。IMD層の上に相互接続ハードマスク層(図示せず)が形成され得る。相互接続ハードマスク層は、窒化シリコン、炭化シリコン、酸化アルミニウム、及び窒化チタンなど、IMD層のエッチャントに耐性を持つ材料の1つまたは複数のサブ層を含み得る。所望の第1レベル相互接続のためのエリア1038が、集積回路1000において画定される。
図1Fを参照すると、第1のトレンチエッチサブマスク1040が集積回路1000の頂部表面上に形成される。図1Aに示されるアクティブエリア及びMOSゲートは、明確にするために図1Fには示されていない。第1のトレンチエッチサブマスク1040の縁部が、所望の相互接続エリア1038の境界の一部を形成する。第1のトレンチエッチサブマスク1040は、集積回路1000上にBARCを形成すること、続いてBARC上に第1のトレンチフォトレジスト層を形成すること、及びそれに続いて、第1のトレンチフォトレジスト層上に摩擦低減頂部層を形成することなどによって、形成され得る。第1のトレンチフォトレジスト層は、液浸スキャナリソグラフィツールなどによる第1のトレンチ露光動作において第1のトレンチサブパターンを用いて露光され、現像される。現像された第1のトレンチフォトレジスト層は、第1のトレンチエッチサブマスク1040を形成するフリーズプロセスにおいて処理されて、第2のトレンチエッチサブマスクを生成するための後続のプロセスシーケンスの間それがそのまま残るようにする。現像された第1のトレンチフォトレジスト層を、第2のトレンチエッチサブマスクの形成の間それがそのまま残るように処理するためのその他のプロセスは本例の範囲内にある。
図1Gを参照すると、集積回路1000の上に第2のトレンチエッチサブマスク1042が形成されて、第1のトレンチエッチサブマスク1040が第2のトレンチエッチサブマスク1042と組み合わさって、相互接続エリア1038内の集積回路1000の頂部表面を露出させるトレンチエッチマスクを形成するようにする。相互接続エリア1038の境界が、第1のトレンチエッチサブマスク1040及び第2のトレンチエッチサブマスク1042の組み合わされた縁部によって形成される。第2のトレンチエッチサブマスク1042は、BARC、第2のトレンチフォトレジスト層、及び摩擦低減頂部層を含む、層スタックを形成すること、続いて、第2のトレンチ露光動作において第2のトレンチサブパターンを用いて第2のトレンチフォトレジスト層を露出させること、及び第2のトレンチフォトレジスト層を現像することなどによって、形成され得る。本例の1つのバージョンにおいて、トレンチエッチマスクを生成する際に追加の露光及び現像シーケンスは成されない。本例の1つのバージョンにおいて、相互接続エリア1038のいくつかの部材が、第1のトレンチ露光動作及び/又は第2のトレンチ露光動作を行うために用いられるフォトリソグラフィ機器の空間分解能限界未満だけ離され得る。
相互接続トレンチが、トレンチエッチマスクを用いてIMD層内に形成される。相互接続ハードマスク層を用いる本例のバージョンにおいて、相互接続ハードマスク材料が、RIEプロセスなどの第1のトレンチエッチプロセスによって相互接続ハードマスク層から除去される。IMD層内のトレンチは、その後、エッチングされた相互接続ハードマスク層をテンプレートとして用いる第2のトレンチプロセスによって形成される。トレンチエッチマスクは、第1のトレンチエッチプロセスの後、第2のトレンチエッチプロセスの間、又は第2のトレンチエッチプロセスの後、除去され得る。相互接続ハードマスク層を用いない本例のバージョンにおいて、IMD層内のトレンチは、トレンチエッチマスクをテンプレートとして用いるRIEプロセスなどのトレンチエッチプロセスを用いてIMD材料を除去することによって形成される。トレンチエッチマスクは、トレンチエッチプロセスの間又はトレンチエッチプロセスの後、除去され得る。
図1Hを参照すると、窒化タンタルを含むライナ金属及び少なくとも90パーセントの銅を含む充填金属などの、相互接続金属で相互接続トレンチを充填すること、並びに、CMP動作を用いて不要なライナ金属及び充填金属を除去することなどによって、複数の第1レベル相互接続1044が相互接続トレンチに形成される。第1レベル相互接続1044の少なくとも一部の底部表面が、コンタクトの一部に又は場合によってはすべてに直接的に接続する。デュアルノード伸長コンタクト1032は、第1レベル相互接続1044に直接的に接続される。バイアとも呼ばれる垂直相互接続などの、誘電体層及び相互接続の追加レベル(図示せず)が、第1レベル相互接続1044の上方に形成される。追加レベルにおける複数の相互接続が、複数の第1レベル相互接続1044のいくつかに又はすべての部材に直接的に接続する。
図2Aから図2Kは、製造の連続段階で示される、コンタクト及びトレンチハードマスクを伴う例に従って形成される集積回路の断面図である。図2Aを参照すると、集積回路2000が基板2002内及び上に形成され、基板2002は、単結晶シリコンウェハ、シリコンオンインシュレータ(SOI)ウェハ、異なる結晶配向の領域を備えるハイブリッド配向技術(HOT)ウェハ、又は集積回路2000の製造に適したその他の材料であり得る。フィールド酸化物2004の素子は、シャロートレンチアイソレーション(STI)プロセス又はシリコン局所酸化(LOCOS)プロセスを用いて、250〜600ナノメートルの厚みを有する二酸化シリコンなどによって、基板2002の頂部表面において形成される。STIプロセスにおいて、二酸化シリコンは、高密度プラズマ(HDP)又は高アスペクト比プロセス(HARP)によって堆積され得る。フィールド酸化物2004間の基板2002の頂部表面の領域は、集積回路2000のアクティブエリア2006である。アクティブエリア2006は、基板2002の頂部表面の金属シリサイドの層を含み得る。MOSゲート2008が基板2002の上に形成される。アクティブエリア2006及びMOSゲート2008の上にPMD層2010が形成される。PMD層2010は、PMDライナ、PMDメイン層、及びオプションのPMDキャップ層を含む、誘電体層スタックであり得る。少なくとも1つの例において、PMDライナ(図示せず)は、10〜100ナノメートルの厚みを有し、アクティブエリア2006及びMOSゲート2008の上にプラズマエンハンスト化学気相堆積法(PECVD)によって堆積される、窒化シリコン又は二酸化シリコンである。少なくとも1つの例において、PMDメイン層は、100〜1000ナノメートルの厚みを有し、PMDライナの頂部表面上にPECVDによって堆積され、時には化学機械研磨(CMP)プロセスによって平坦化される、二酸化シリコン、リンケイ酸塩ガラス(PSG)、又はボロンリンケイ酸塩ガラス(BPSG)の層である。少なくとも1つの例において、オプションのPMDキャップ層(図示せず)は、PMDメイン層の頂部表面上に形成される、窒化シリコン、炭化窒化シリコン、又は炭化シリコンなどの、10から100ナノメートルの硬質材料である。PMD層2010の上にコンタクトハードマスク層2012が形成される。コンタクトハードマスク層2012は、図1Aを参照して説明した材料及び特性を有し得る。
第1のコンタクトエッチサブマスク2014が、下記のようにコンタクトハードマスク層2012上に形成される。コンタクトハードマスク層2012の上に第1のコンタクト感光層が形成される。第1のコンタクト感光層は、BARCの層、フォトレジスト層、及び、リソグラフィプロセスを向上させるための1つまたは複数のオプション層を含み得る。液浸ウェハスキャナなどのフォトリソグラフィ機器を用いる第1のコンタクトエッチサブマスク露光動作、及び第1のコンタクトエッチサブマスク現像動作が集積回路2000に対して成されて、現像されたフォトレジスト層が生成される。現像されたフォトレジスト層に対して第1のフリーズ動作が成されて、第1のコンタクトエッチサブマスク2014が形成され、第2のコンタクトエッチサブマスクを生成するための後続のプロセスシーケンスの間それがそのまま残るようにする。第1のコンタクトエッチサブマスク2014の縁部が、集積回路2000においてコンタクトのために画定されるエリアの境界の一部を形成する。
図2Bを参照すると、第2のコンタクトエッチサブマスク2016がコンタクトハードマスク層2012上に形成され、第1のコンタクトエッチサブマスク2014は第2のコンタクトエッチサブマスク2016と組み合わさって、コンタクトエリアにおけるコンタクトハードマスク層2012の頂部表面を露出させるコンタクトエッチマスクを形成する。第2のコンタクトエッチサブマスク2016は、BARC、フォトレジスト層、及び摩擦低減頂部層を含む、層スタックを形成すること、続いてフォトレジスト層を露光及び現像することなどによって、形成され得る。本例の1つのバージョンにおいて、コンタクトエッチマスクを生成する際に追加の露光及び現像シーケンスは成されない。
図2Cを参照すると、コンタクトハードマスクエッチプロセスが集積回路2000に対して成され、このプロセスは、コンタクトハードマスクホール2018を形成するために、コンタクトエリアにおけるコンタクトハードマスク層2012から材料を除去する。少なくとも1つの例において、コンタクトハードマスクエッチプロセスはRIEプロセスであり得る。第1のコンタクトエッチサブマスク2014及び第2のコンタクトエッチサブマスク2016は、コンタクトハードマスクエッチプロセスが完了した後除去され得るか、又は後続の動作の間除去され得る。
図2Dを参照すると、コンタクトエッチプロセスが集積回路2000に対して成され、このプロセスは、コンタクトホール2020を形成するために、コンタクトエリアにおけるPMD層2010から材料を除去する。コンタクトエッチプロセスは、エッチングされたコンタクトハードマスク層2012をテンプレートとして用いる。コンタクトハードマスク層2012における残りの材料は、コンタクトエッチプロセスが完了した後除去され得る。
図2Eは、コンタクト2022を形成するコンタクトメタライゼーションプロセスが完了した後の集積回路2000を示す。本例の1つのバージョンにおいて、コンタクトライナ金属2024の層、及びそれに続いてコンタクト充填金属2026が、コンタクトホールに形成される。少なくとも1つの例において、コンタクトライナ金属2024は、チタン、窒化チタン、又は、アクティブエリア2006、及びMOSゲート2008へのコンタクトを形成するために適切なその他の金属であり得る。少なくとも1つの例において、コンタクト充填金属2026はタングステンであり得る。コンタクトライナ金属2024は、スパッタリング、原子層堆積(ALD)、有機金属化学気相堆積法(MOCVD)、又はその他のプロセスなどによって形成され得る。少なくとも1つの例において、コンタクト充填金属2026は、スパッタリング、MOCVD、又はその他のプロセスによって形成され得る。PMD層2010の頂部表面上のコンタクトライナ金属及びコンタクト充填金属は、CMP及び/又はエッチバックプロセスによって除去され得る。コンタクト2022は、デュアルノード伸長コンタクト及び複数ノード伸長コンタクトを含む。デュアルノード伸長コンタクトは、正確に2つのアクティブエリア2006及び/又はMOSゲート2008に接続し、後に第1レベル相互接続に直接的に接続される。複数ノード伸長コンタクトは、3つ又はそれ以上のアクティブエリア2006及び/又はMOSゲート2008に接続する。
図2Fを参照すると、PMD層2010上及びコンタクト2022の頂部表面上にIMD層2028が形成される。IMD層2028は、図1Eを参照して説明した材料及び特性を有し得る。IMD層2028上に相互接続ハードマスク層2030が形成される。相互接続ハードマスク層2030は、図1Eを参照して説明した材料及び特性を有し得る。第1のトレンチエッチマスク2032が、図2Aを参照して説明したプロセスシーケンスと同様のプロセスシーケンスを用いて、相互接続ハードマスク層2030上に形成される。現像されたフォトレジスト層に対して第2のフリーズ動作が第1のトレンチエッチサブマスク2032を形成するために成されて、第2のトレンチエッチサブマスクを生成するための後続のプロセスシーケンスの間それがそのまま残るようにする。第1のトレンチエッチサブマスク2032の縁部が、集積回路2000において相互接続のために画定されるエリアの境界の一部を形成する。
図2Gを参照すると、第2のトレンチエッチサブマスク2034が相互接続ハードマスク層2030上に形成され、第2のトレンチエッチサブマスク2032は第2のトレンチエッチサブマスク2034と組み合わさって、相互接続エリアにおける相互接続ハードマスク層2030の頂部表面を露出させるトレンチエッチマスクを形成する。少なくとも1つの例において、第2のトレンチエッチサブマスク2034は、BARC、フォトレジスト層、及び摩擦低減頂部層を含む、層スタックを形成すること、続いてフォトレジスト層を露光及び現像することによって形成され得る。本例の1つのバージョンにおいて、トレンチエッチマスクを生成する際に追加の露光及び現像シーケンスは成されない。
図2Hを参照すると、相互接続ハードマスクエッチプロセスが集積回路2000に対して成され、このプロセスは、相互接続ハードマスクホール2036を形成するために、相互接続エリアにおける相互接続ハードマスク層2030から材料を除去する。少なくとも1つの例において、相互接続ハードマスクエッチプロセスはRIEプロセスであり得る。第1のトレンチエッチサブマスク2032及び第2のトレンチエッチサブマスク2034は、相互接続ハードマスクエッチプロセスが完了した後除去され得るか、又は後続の動作の間除去され得る。
図2Iを参照すると、相互接続トレンチエッチプロセスが集積回路2000に対して成され、このプロセスは、相互接続トレンチ2038を形成するために相互接続エリアにおけるIMD層2028から材料を除去する。トレンチエッチプロセスは、エッチングされた相互接続ハードマスク層2030をテンプレートとして用いる。相互接続ハードマスク層2030における残りの材料は、相互接続トレンチエッチプロセスが完了した後除去され得る。
図2Jは、相互接続2040を形成する相互接続メタライゼーションプロセスが完了した後の集積回路2000を示す。本例の1つのバージョンにおいて、トレンチライナ金属2042の層、続いてトレンチ充填金属2044が、トレンチ内に形成される。少なくとも1つの例において、トレンチライナ金属2042は、窒化タンタル、窒化チタン、又は、コンタクト2022への電気接続を形成するために適切なその他の金属であり得る。少なくとも1つの例において、トレンチ充填金属2044は少なくとも90パーセント銅であり得、トレンチライナ金属2042は、スパッタリング、ALD、MOCVD、又はその他のプロセスによって形成され得、トレンチ充填金属2044は、スパッタリング、めっき、又はその他のプロセスによって形成され得る。IMD層2028の頂部表面上のトレンチライナ金属およびトレンチ充填金属は、CMP及び/又はエッチバックプロセスによって除去され得る。デュアルノード伸長コンタクトが、正確に2つのアクティブエリア2006及び/又はMOSゲートに2008に接続し、第1レベル相互接続2040に直接的に接続される。
図2Kを参照すると、バイア2048及び第2レベル相互接続2050などの、誘電体層及び相互接続の追加レベル2046が、第1レベル相互接続2040の上方に形成される。追加レベル2046における複数のバイア2048は、第1レベル相互接続2040に直接的に接続する。
図3Aから図3Hは、製造の連続段階で示される、ハードマスクを用いない例に従って形成される集積回路の断面図である。図3Aを参照すると、集積回路3000が基板3002内及び上に形成され、基板3002は、単結晶シリコンウェハ、SOIウェハ、異なる結晶配向の領域を備えるHOTウェハ、又は集積回路3000の製造に適切なその他の材料であり得る。フィールド酸化物3004の素子が、STI又はLOCOSプロセスを用いて250〜600ナノメートルの厚みを有する二酸化シリコンなどによって、基板3002の頂部表面において形成される。STIプロセスにおいて、二酸化シリコンは、HDP又はHARPによって堆積され得る。フィールド酸化物3004間の基板3002の頂部表面における領域が、集積回路3000のアクティブエリア3006である。アクティブエリア3006は、基板3002の頂部表面における金属シリサイドの層を含み得る。MOSゲート3008が基板3002の上に形成される。アクティブエリア3006及びMOSゲート3008の上にPMD層3010が形成される。PMD層3010は、図2Aを参照して説明した材料及び特性を有し得る。第1のコンタクトエッチサブマスク3012が、第1のフリーズ動作を用いて、図2Aを参照して説明したようにPMD層3010上に形成されて、第1のコンタクトエッチサブマスク3012が、第2のコンタクトエッチサブマスクを生成するための後続のプロセスシーケンスの間そのまま残るようにする。第1のコンタクトエッチサブマスク3012の縁部が、集積回路3000においてコンタクトのために画定されるエリアの境界の一部を形成する。
図3Bを参照すると、第2のコンタクトエッチサブマスク3014がPMD層3010上に形成されて、第1のコンタクトエッチサブマスク3012が第2のコンタクトエッチサブマスク3014と組み合わさって、コンタクトエリアにおけるPMD層3010の頂部表面を露出させるコンタクトエッチマスクを形成するようにする。第2のコンタクトエッチサブマスク3014は、BARC、フォトレジスト層、及び摩擦低減頂部層を含む、層スタックを形成すること、続いてフォトレジスト層を露光及び現像することなどによって、形成され得る。本例の1つのバージョンにおいて、コンタクトエッチマスクを生成する際に追加の露光及び現像シーケンスは成されない。
図3Cを参照すると、コンタクトエッチプロセスが集積回路3000に対して成され、このプロセスは、コンタクトホール3016を形成するために、コンタクトエリアにおけるPMD層3010から材料を除去する。少なくとも1つの例において、コンタクトエッチプロセスはRIEプロセスであり得る。第1のコンタクトエッチサブマスク3012及び第2のコンタクトエッチサブマスク3014は、コンタクトエッチプロセスが完了した後除去され得る。
図3Dは、コンタクトメタライゼーションプロセスが完了した後の、図2Eを参照して説明したようにコンタクト金属ライナ3020及びコンタクト充填金属3022を含むコンタクト3018を形成する、集積回路3000を示す。コンタクト3018は、正確に2つのアクティブエリア3006及び/又はMOSゲート3008に接続し、その後第1レベル相互接続に直接接続される、デュアルノード伸長コンタクトと、3つ又はそれ以上のアクティブエリア3006及び/又はMOSゲート3008に接続する複数ノード伸長コンタクトとを含む。
図3Eを参照すると、PMD層3010上及びコンタクト3022の頂部表面上にIMD層3024が形成される。IMD層3024は、図1Eを参照して説明した材料及び特性を有し得る。第1のトレンチエッチマスク3026が、図2Aを参照して説明したプロセスシーケンスと同様のプロセスシーケンスを用いて、IMD層3024上に形成される。第1のトレンチエッチサブマスク3026を形成するために、現像されたフォトレジスト層に対して第2のフリーズ動作が成されて、第2のトレンチエッチサブマスクを生成するための後続のプロセスシーケンスの間それがそのまま残るようにする。第1のトレンチエッチサブマスク3026の縁部が、集積回路3000において相互接続のために画定されるエリアの境界の一部を形成する。
図3Fを参照すると、第2のトレンチエッチサブマスク3028がIMD層3024上に形成されて、第2のトレンチエッチサブマスク3026が第2のトレンチエッチサブマスク3028と組み合わさって、相互接続エリアにおけるIMD層3024の頂部表面を露出させるトレンチエッチマスクを形成するようにする。第2のトレンチエッチサブマスク3028は、BARC、フォトレジスト層、及び摩擦低減頂部層を含む、層スタックを形成すること、続いてフォトレジスト層を露光及び現像することなどによって、形成され得る。本例の1つのバージョンにおいて、トレンチエッチマスクを生成する際に追加の露光及び現像シーケンスは成されない。
図3Gを参照すると、相互接続トレンチエッチプロセスが集積回路3000に対して成され、このプロセスは、相互接続トレンチ3030を形成するために、相互接続エリアにおけるIMD層3024から材料を除去する。トレンチエッチプロセスは、第1のトレンチエッチサブマスク3026と第2のトレンチエッチサブマスク3028との組み合わせで形成されるトレンチエッチマスクをテンプレートとして用いる。第1のトレンチエッチサブマスク3026及び第2のトレンチエッチサブマスク3028における残りの材料が、相互接続トレンチエッチプロセスが完了した後除去され得る。
図3Hは、相互接続3032を形成する相互接続メタライゼーションプロセスが完了した後の集積回路3000を示す。本例の1つのバージョンにおいて、トレンチライナ金属3034の層、続いてトレンチ充填金属3036が、トレンチに形成される。トレンチライナ金属3034及びトレンチ充填金属3036は、図2Jを参照して説明したように形成され得る。IMD層3024の頂部表面上のトレンチライナ金属およびトレンチ充填金属が、CMP及び/又はエッチバックプロセスによって除去され得る。デュアルノード伸長コンタクトが、正確に2つのアクティブエリア3006及び/又はMOSゲートに3008に接続し、第1レベル相互接続3032に直接的に接続される。誘電体層及び相互接続の追加レベルが、図2Kを参照して説明したように、第1レベル相互接続3032の上方に形成される。
特許請求の範囲内で、説明した実施形態における改変が可能であり、他の実施形態が可能である。

Claims (20)

  1. 集積回路を形成する方法であって、
    フィールド酸化物間の基板の領域がアクティブエリアであるように、前記基板の頂部表面において前記フィールド酸化物の素子を形成すること、
    前記基板の上に金属酸化物半導体(MOS)トランジスタゲートを形成すること、
    前記アクティブエリア及び前記MOSトランジスタゲートの上にプリメタル誘電体(PMD)層を形成することであって、前記PMD層がコンタクトのために画定されるコンタクトエリアを有すること、
    前記PMD層の上にコンタクトエッチマスクを形成することであって、
    前記PMD層の上に第1のコンタクトフォトレジスト層を形成することと、
    第1のコンタクトサブパターンを用いて、前記第1のコンタクトフォトレジスト層に対して第1のコンタクト露光動作を行うことと、
    前記第1のコンタクトフォトレジスト層を現像することと、
    前記コンタクトエッチマスクの第1のコンタクトエッチサブマスクを形成するために、前記第1のコンタクトフォトレジスト層に対してフリーズプロセスを行うことと、
    前記PMD層の上に第2のコンタクトフォトレジスト層を形成することと、
    第2のコンタクトサブパターンを用いて、前記第2のコンタクトフォトレジスト層に対して第2のコンタクト露光動作を行うことと、
    前記コンタクトエッチマスクの第2のコンタクトエッチサブマスクを形成するために、前記第2のコンタクトフォトレジスト層を現像することであって、前記第1のコンタクトエッチサブマスク及び前記第2のコンタクトエッチサブマスクの組み合わされた縁部によって前記コンタクトエリアの境界が形成されるようにする、前記現像することと、
    を含むプロセスによって、前記コンタクトエッチマスクを形成すること、
    前記コンタクトエリアによって画定されるエリアにおいて前記PMD層に複数のコンタクトホールをエッチングすること、
    複数のコンタクトを形成するために前記コンタクトホールをコンタクト金属で充填することであって、前記複数のコンタクトが、
    正確に2つのアクティブエリア及び/又はMOSゲートに接続するデュアルノード伸長コンタクトと、
    3つ又はそれ以上のアクティブエリア及び/又はMOSゲートに接続する複数のノード伸長コンタクトと、
    を含む、前記コンタクトホールをコンタクト金属で充填すること、
    前記PMD層の上方に金属内誘電体(IMD)層を形成することであって、前記IMD層が相互接続のために画定される相互接続エリアを有すること、
    前記相互接続エリアによって画定されるエリアにおいて前記IMD層に複数の相互接続トレンチをエッチングすること、
    複数の第1レベル相互接続を形成するために前記相互接続トレンチを相互接続金属で充填することであって、前記デュアルノード伸長コンタクトの各々が前記第1レベル相互接続のうちの少なくとも1つに直接接続されるようにすること、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記コンタクトエッチマスクを形成する前に、前記PMD層の上にコンタクトハードマスク層を形成すること、及び、
    コンタクトハードマスクホールを形成するために前記コンタクトエリアにおける前記コンタクトハードマスク層から材料を除去するように、前記コンタクトエッチマスクを形成した後であり前記PMD層に前記複数のコンタクトホールをエッチングする前に、前記集積回路に対してコンタクトハードマスクエッチプロセスを行うことであって、前記PMD層に前記複数のコンタクトホールをエッチングすることが、前記コンタクトハードマスク層をテンプレートとして用いて行われるようにすること、
    を更に含む、方法。
  3. 請求項1に記載の方法であって、前記コンタクトホールをコンタクト金属で充填することが、
    前記コンタクトホールにコンタクトライナ金属を形成すること、及び、
    前記コンタクトホールにコンタクト充填金属を形成すること、
    を含む、方法。
  4. 請求項1に記載の方法であって、前記相互接続トレンチを相互接続金属で充填することが、
    前記相互接続トレンチにトレンチライナ金属を形成すること、及び、
    前記相互接続トレンチにトレンチ充填金属を形成すること、
    を含む、方法。
  5. 請求項1に記載の方法であって、前記コンタクトエッチマスクを形成する際に追加の露光及び現像シーケンスが成されない、方法。
  6. 請求項1に記載の方法であって、CMOSインバータを形成することを更に含み、
    前記CMOSインバータを形成することが、
    前記基板においてインバータp型アクティブエリアを提供するためにフィールド酸化物の前記素子を形成することであって、前記インバータp型アクティブエリアが出力ノードを含むこと、
    前記インバータp型アクティブエリア近傍の前記基板においてインバータn型アクティブエリアを提供するためにフィールド酸化物の前記素子を形成することであって、前記インバータn型アクティブエリアが出力ノードを含むこと、
    前記基板の上方にインバータMOSゲートを形成することであって、前記インバータMOSゲートが前記インバータp型アクティブエリア及び前記インバータn型アクティブエリアを横切る、前記インバータMOSゲートを形成すること、
    前記インバータp型アクティブエリアの前記出力ノード及び前記インバータn型アクティブエリアの前記出力ノードを接続するインバータ出力ノード伸長コンタクトを提供するために前記コンタクトエッチマスクを形成すること、及び、
    前記インバータ出力ノード伸長コンタクトに直接的に接続されるように前記第1レベル相互接続のうちの1つを形成すること、
    を含むプロセスによる、
    方法。
  7. 集積回路を形成する方法であって、
    フィールド酸化物間の基板の領域がアクティブエリアであるように、前記基板の頂部表面に前記フィールド酸化物の素子を形成すること、
    前記基板の上にMOSトランジスタゲートを形成すること、
    前記アクティブエリア及び前記MOSトランジスタゲートの上にPMD層を形成することであって、前記PMD層がコンタクトのために画定されるコンタクトエリアを有すること、
    前記コンタクトエリアによって画定されるエリアにおける前記PMD層に複数のコンタクトホールをエッチングすること、
    複数のコンタクトを形成するために前記コンタクトホールをコンタクト金属で充填することであって、前記複数のコンタクトが、
    正確に2つのアクティブエリア及び/又はMOSゲートに接続するデュアルノード伸長コンタクトと、
    3つ又はそれ以上のアクティブエリア及び/又はMOSゲートに接続する複数のノード伸長コンタクトと、
    を含む、前記コンタクトホールをコンタクト金属で充填すること、
    前記PMD層の上方にIMD層を形成することであって、前記IMD層が相互接続のために画定される相互接続エリアを有すること、
    前記IMD層の上にトレンチエッチマスクを形成することであって、前記トレンチエッチマスクを形成することが、
    前記IMD層の上に第1のトレンチフォトレジスト層を形成することと、
    第1のトレンチサブパターンを用いて、前記第1のトレンチフォトレジスト層に対して第1のトレンチ露光動作を行うことと、
    前記第1のトレンチフォトレジスト層を現像することと、
    前記トレンチエッチマスクの第1のトレンチエッチサブマスクを形成するために、前記第1のトレンチフォトレジスト層に対してフリーズプロセスを行うことであって、前記第1のトレンチエッチサブマスクの縁部が前記相互接続エリアの境界の一部を形成するようにすることと、
    前記IMD層の上に第2のトレンチフォトレジスト層を形成することと、
    第2のトレンチサブパターンを用いて、前記第2のトレンチフォトレジスト層に対して第2のトレンチ露光動作を行うことと、
    前記トレンチエッチマスクの第2のトレンチエッチサブマスクを形成するために、前記第2のトレンチフォトレジスト層を現像することであって、前記第1のトレンチエッチサブマスク及び前記第2のトレンチエッチサブマスクの組み合わされた縁部により、前記相互接続エリアの境界が形成されるようにすることと、
    を含むプロセスによって、前記トレンチエッチマスクを形成すること、
    前記相互接続エリアによって画定されるエリアにおける前記IMD層において複数の相互接続トレンチをエッチングすること、
    複数の第1レベル相互接続を形成するために前記相互接続トレンチを相互接続金属で充填することであって、前記デュアルノード伸長コンタクトの各々が前記第1レベル相互接続のうちの少なくとも1つに直接的に接続されるようにすること、
    を含む、方法。
  8. 請求項7に記載の方法であって、
    前記トレンチエッチマスクを形成する前に、前記IMD層の上に相互接続ハードマスク層を形成すること、及び、
    相互接続ハードマスクホールを形成するために前記相互接続エリアにおける前記相互接続ハードマスク層から材料を除去するように、前記トレンチエッチマスクを形成した後であり前記IMD層において前記複数の相互接続トレンチをエッチングする前に、前記集積回路に対して相互接続ハードマスクエッチプロセスを行うことであって、前記IMD層において前記複数の相互接続トレンチをエッチングすることが、前記相互接続ハードマスク層をテンプレートとして用いることで成されるようにすること、
    を更に含む、方法。
  9. 請求項7に記載の方法であって、前記相互接続トレンチを相互接続金属で充填することが、
    前記相互接続トレンチにトレンチライナ金属を形成すること、及び、
    前記相互接続トレンチにトレンチ充填金属を形成すること、
    を含む、方法。
  10. 請求項7に記載の方法であって、前記トレンチエッチマスクを形成する際に追加の露光及び現像シーケンスは成されない、方法。
  11. 請求項7に記載の方法であって、
    前記第1のトレンチ露光動作が、或る空間分解能限界を有するフォトリソグラフィ機器を用いて成され、
    前記相互接続エリアのいくつかの部材が、前記空間分解能限界未満だけ離される、
    方法。
  12. 請求項7に記載の方法であって、CMOSインバータを形成することを更に含み、
    前記CMOSインバータを形成することが、
    前記基板においてインバータp型アクティブエリアを提供するためにフィールド酸化物の前記素子を形成することであって、前記インバータp型アクティブエリアが出力ノードを含むこと、
    前記インバータp型アクティブエリア近傍の前記基板においてインバータn型アクティブエリアを提供するためにフィールド酸化物の前記素子を形成することであって、前記インバータn型アクティブエリアが出力ノードを含むこと、
    前記基板の上方にインバータMOSゲートを形成することであって、前記インバータMOSゲートが前記インバータp型アクティブエリア及び前記インバータn型アクティブエリアを横切る、前記インバータMOSゲートを形成すること、
    前記インバータp型アクティブエリアの前記出力ノード及び前記インバータn型アクティブエリアの前記出力ノードを接続するインバータ出力ノード伸長コンタクトを提供するために、前記デュアルノード伸長コンタクトを形成すること、及び、
    前記第1レベル相互接続のうちの1つが前記インバータ出力ノード伸長コンタクトに直接的に接続されるように、前記コンタクトエッチマスクを形成すること、
    を含むプロセスによる、方法。
  13. 集積回路を形成する方法であって、
    フィールド酸化物間の基板の領域がアクティブエリアであるように、前記基板の頂部表面に前記フィールド酸化物の素子を形成すること、
    前記基板の上にMOSトランジスタゲートを形成すること、
    前記アクティブエリア及び前記MOSトランジスタゲートの上にPMD層を形成することであって、コンタクトのために画定されるコンタクトエリアを有する前記PMD層を形成すること、
    前記PMD層の上にコンタクトエッチマスクを形成することであって、
    PMD層の上に第1のコンタクトフォトレジスト層を形成することと、
    第1のコンタクトサブパターンを用いて、前記第1のコンタクトフォトレジスト層に対して第1のコンタクト露光動作を行うことと、
    前記第1のコンタクトフォトレジスト層を現像することと、
    前記コンタクトエッチマスクの第1のコンタクトエッチサブマスクを形成するために、前記第1のコンタクトフォトレジスト層に対してフリーズプロセスを行うことであって、前記第1のコンタクトエッチサブマスクの縁部が前記コンタクトエリアの境界の一部を形成するようにすることと、
    前記第1のコンタクトエッチサブマスクが前記PMD層上に残っている一方で、前記PMD層の上に第2のコンタクトフォトレジスト層を形成することと、
    第2のコンタクトサブパターンを用いて、前記第2のコンタクトフォトレジスト層に対して第2のコンタクト露光動作を行うことと、
    前記コンタクトエッチマスクの第2のコンタクトエッチサブマスクを形成するために、前記第2のコンタクトフォトレジスト層を現像することであって、前記第1のコンタクトエッチサブマスク及び前記第2のコンタクトエッチサブマスクの組み合わされた縁部によって前記コンタクトエリアの境界が形成されるようにすることと、
    を含むプロセスによって、前記コンタクトエッチマスクを形成すること、
    前記コンタクトエリアによって画定されるエリアにおける前記PMD層において複数のコンタクトホールをエッチングすること、
    複数のコンタクトを形成するために前記コンタクトホールをコンタクト金属で充填することであって、前記複数のコンタクトが、
    正確に2つのアクティブエリア及び/又はMOSゲートに接続するデュアルノード伸長コンタクトと、
    3つ又はそれ以上のアクティブエリア及び/又はMOSゲートに接続する複数のノード伸長コンタクトと、
    を含む、前記コンタクトホールをコンタクト金属で充填すること、
    前記PMD層の上方にIMD層を形成することであって、前記IMD層が相互接続のために画定される相互接続エリアを有すること、
    前記IMD層の上にトレンチエッチマスクを形成することであって、
    前記IMD層の上に第1のトレンチフォトレジスト層を形成することと、
    第1のトレンチサブパターンを用いて、前記第1のトレンチフォトレジスト層に対して第1のトレンチ露光動作を行うことと、
    前記第1のトレンチフォトレジスト層を現像することと、
    前記トレンチエッチマスクの第1のトレンチエッチサブマスクを形成するために、前記第1のトレンチフォトレジスト層に対してフリーズプロセスを行うことであって、前記第1のトレンチエッチサブマスクの縁部が前記相互接続エリアの境界の一部を形成するようにすることと、
    前記第1のトレンチエッチサブマスクが前記IMD層上に残っている一方で、前記IMD層の上に第2のトレンチフォトレジスト層を形成することと、
    第2のトレンチサブパターンを用いて、前記第2のトレンチフォトレジスト層に対して第2のトレンチ露光動作を行うことと、
    前記トレンチエッチマスクの第2のトレンチエッチサブマスクを形成するために、前記第2のトレンチフォトレジスト層を現像することであって、前記第1のトレンチエッチサブマスク及び前記第2のトレンチエッチサブマスクの組み合わされた縁部によって前記相互接続エリアの境界が形成されるようにすることと、
    を含むプロセスによって、前記トレンチエッチマスクを形成すること、
    前記相互接続エリアによって画定されるエリアにおける前記IMD層において複数の相互接続トレンチをエッチングすること、
    複数の第1レベル相互接続を形成するために前記相互接続トレンチを相互接続金属で充填することであって、前記デュアルノード伸長コンタクトの各々が前記第1レベル相互接続のうちの少なくとも1つに直接的に接続されるようにすること、
    を含む、方法。
  14. 請求項13に記載の方法であって、
    前記コンタクトエッチマスクを形成する前に、前記PMD層の上にコンタクトハードマスク層を形成すること、及び、
    コンタクトハードマスクホールを形成するために前記コンタクトエリアにおける前記コンタクトハードマスク層から材料を除去するように、前記コンタクトエッチマスクを形成した後であり前記PMD層において前記複数のコンタクトホールをエッチングする前に、前記集積回路に対してコンタクトハードマスクエッチプロセスを行うことであって、前記PMD層に前記複数のコンタクトホールをエッチングすることが、前記コンタクトハードマスク層をテンプレートとして用いることで成されるようにすること、
    を更に含む、方法。
  15. 請求項13に記載の方法であって、
    前記トレンチエッチマスクを形成する前に、前記IMD層の上に相互接続ハードマスク層を形成すること、及び、
    相互接続ハードマスクホールを形成するために前記相互接続エリアにおける前記相互接続ハードマスク層から材料を除去するように、前記トレンチエッチマスクを形成した後、及び前記IMD層において前記複数の相互接続トレンチをエッチングする前に、前記集積回路に対して相互接続ハードマスクエッチプロセスを行うことであって、前記IMD層において前記複数の相互接続トレンチをエッチングすることが、前記相互接続ハードマスク層をテンプレートとして用いることで成されるようにすること、
    を更に含む、方法。
  16. 請求項13に記載の方法であって、前記コンタクトホールをコンタクト金属で充填することが、
    前記コンタクトホールにコンタクトライナ金属を形成すること、及び、
    前記コンタクトホールにコンタクト充填金属を形成すること、
    を含む、方法。
  17. 請求項13に記載の方法であって、前記相互接続トレンチを相互接続金属で充填することが、
    前記相互接続トレンチにトレンチライナ金属を形成すること、及び、
    前記相互接続トレンチにトレンチ充填金属を形成すること、
    を含む、方法。
  18. 請求項13に記載の方法であって、
    前記第1のコンタクト露光動作が、或る空間分解能限界を有するフォトリソグラフィ機器を用いて成され、
    前記コンタクトエリアのいくつかの部材が、前記空間分解能限界未満だけ離される、
    方法。
  19. 請求項13に記載の方法であって、
    前記第1のトレンチ露光動作が、或る空間分解能限界を有するフォトリソグラフィ機器を用いて成され、
    前記相互接続エリアのいくつかの部材が、前記空間分解能限界未満だけ離される、
    方法。
  20. 請求項13に記載の方法であって、CMOSインバータを形成することを更に含み、
    前記CMOSインバータを形成することが、
    前記基板においてインバータp型アクティブエリアを提供するためにフィールド酸化物の前記素子を形成することであって、前記インバータp型アクティブエリアが出力ノードを含むこと、
    前記インバータp型アクティブエリア近傍の前記基板においてインバータn型アクティブエリアを提供するためにフィールド酸化物の前記素子を形成することであって、前記インバータn型アクティブエリアが出力ノードを含むこと、
    前記基板の上方にインバータMOSゲートを形成することであって、前記インバータMOSゲートが前記インバータp型アクティブエリア及び前記インバータn型アクティブエリアを横切る、前記インバータMOSゲートを形成すること、
    前記インバータp型アクティブエリアの前記出力ノード及び前記インバータn型アクティブエリアの前記出力ノードを接続するインバータ出力ノード伸長コンタクトを提供するために、前記コンタクトエッチマスクを形成すること、及び、
    前記第1レベル相互接続のうちの1つが前記インバータ出力ノード伸長コンタクトに直接的に接続されるように、前記コンタクトエッチマスクを形成すること、
    を含むプロセスによる、方法。
JP2016541067A 2013-12-17 2014-12-17 リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト Active JP6919131B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361916851P 2013-12-17 2013-12-17
US61/916,851 2013-12-17
PCT/US2014/070954 WO2015095394A1 (en) 2013-12-17 2014-12-17 Elongated contacts using litho-freeze-litho-etch process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020210909A Division JP7137045B2 (ja) 2013-12-17 2020-12-21 リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト

Publications (2)

Publication Number Publication Date
JP2017500744A true JP2017500744A (ja) 2017-01-05
JP6919131B2 JP6919131B2 (ja) 2021-08-18

Family

ID=53369397

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016541067A Active JP6919131B2 (ja) 2013-12-17 2014-12-17 リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト
JP2020210909A Active JP7137045B2 (ja) 2013-12-17 2020-12-21 リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020210909A Active JP7137045B2 (ja) 2013-12-17 2020-12-21 リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト

Country Status (5)

Country Link
US (3) US9305848B2 (ja)
EP (1) EP3084823A4 (ja)
JP (2) JP6919131B2 (ja)
CN (2) CN105830211A (ja)
WO (1) WO2015095394A1 (ja)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2015095394A1 (en) * 2013-12-17 2015-06-25 Texas Instruments Incorporated Elongated contacts using litho-freeze-litho-etch process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9397049B1 (en) 2015-08-10 2016-07-19 International Business Machines Corporation Gate tie-down enablement with inner spacer
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
EP3420584B1 (en) * 2016-02-25 2020-12-23 INTEL Corporation Methods of fabricating conductive connectors having a ruthenium/aluminum-containing liner
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10276428B2 (en) * 2017-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TWI714093B (zh) * 2019-05-21 2020-12-21 友達光電股份有限公司 陣列基板
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20220367253A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153091A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置
US20090258318A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method
US20100187611A1 (en) * 2009-01-27 2010-07-29 Roberto Schiwon Contacts in Semiconductor Devices
US20100308419A1 (en) * 2009-06-05 2010-12-09 Texas Instruments Incorporated SRAM Cell with T-Shaped Contact
JP2011049601A (ja) * 2010-12-03 2011-03-10 Renesas Electronics Corp 半導体装置
US20120220129A1 (en) * 2011-02-28 2012-08-30 Lim Hee-Youl Method for forming mask for forming contact holes of semiconductor device
JP2013511153A (ja) * 2009-11-12 2013-03-28 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 設計規則違反を低減するために多重露光及び遮断マスクの手法を用いる半導体デバイス製造
US20130181297A1 (en) * 2012-01-12 2013-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM Cells and Arrays

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US727131A (en) * 1902-09-06 1903-05-05 Frank Haack Anchor for check-row wires.
US6017813A (en) * 1998-01-12 2000-01-25 Vanguard International Semiconductor Corporation Method for fabricating a damascene landing pad
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
TW200425298A (en) * 2003-05-01 2004-11-16 Nanya Technology Corp Fabrication method for a damascene bitline contact
JP2005072185A (ja) * 2003-08-22 2005-03-17 Fujitsu Ltd 半導体装置及びその製造方法
JP5096669B2 (ja) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
EP2064736A1 (en) * 2006-09-04 2009-06-03 Nxp B.V. Fabrication of self-assembled nanowire-type interconnects on a semiconductor device
WO2008059440A2 (en) * 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
US11133350B2 (en) * 2007-08-29 2021-09-28 Texas Instruments Incorporated Integrated circuit with thermoelectric power supply
US8446175B2 (en) * 2009-12-23 2013-05-21 Texas Instruments Incorporated Logic-cell-compatible decoupling capacitor
US9312170B2 (en) * 2013-12-17 2016-04-12 Texas Instruments Incorporated Metal on elongated contacts
WO2015095394A1 (en) * 2013-12-17 2015-06-25 Texas Instruments Incorporated Elongated contacts using litho-freeze-litho-etch process

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004153091A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置
US20090258318A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Double patterning method
US20100187611A1 (en) * 2009-01-27 2010-07-29 Roberto Schiwon Contacts in Semiconductor Devices
US20100308419A1 (en) * 2009-06-05 2010-12-09 Texas Instruments Incorporated SRAM Cell with T-Shaped Contact
JP2013511153A (ja) * 2009-11-12 2013-03-28 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 設計規則違反を低減するために多重露光及び遮断マスクの手法を用いる半導体デバイス製造
JP2011049601A (ja) * 2010-12-03 2011-03-10 Renesas Electronics Corp 半導体装置
US20120220129A1 (en) * 2011-02-28 2012-08-30 Lim Hee-Youl Method for forming mask for forming contact holes of semiconductor device
US20130181297A1 (en) * 2012-01-12 2013-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM Cells and Arrays

Also Published As

Publication number Publication date
EP3084823A1 (en) 2016-10-26
US9620419B2 (en) 2017-04-11
CN114093812A (zh) 2022-02-25
US20170178966A1 (en) 2017-06-22
US20150170975A1 (en) 2015-06-18
WO2015095394A1 (en) 2015-06-25
CN105830211A (zh) 2016-08-03
US20160190016A1 (en) 2016-06-30
JP7137045B2 (ja) 2022-09-14
US10043714B2 (en) 2018-08-07
JP2021061419A (ja) 2021-04-15
EP3084823A4 (en) 2017-08-02
US9305848B2 (en) 2016-04-05
JP6919131B2 (ja) 2021-08-18

Similar Documents

Publication Publication Date Title
JP7137045B2 (ja) リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト
US10854542B2 (en) Via structure and methods thereof
US9099530B2 (en) Methods of patterning small via pitch dimensions
US9305841B2 (en) Method of patterning a feature of a semiconductor device
US20200066633A1 (en) Semiconductor Devices Employing a Barrier Layer
US8404581B2 (en) Method of forming an interconnect of a semiconductor device
KR20160039525A (ko) 반도체 디바이스용 상호 접속 구조체를 형성하는 방법
US11640924B2 (en) Structure and method for interconnection with self-alignment
KR20190100975A (ko) 상호 접속 구조체 및 그 형성 방법
US8841214B2 (en) Dual damascene process
TW201843770A (zh) 半導體裝置的製造方法
CN115274616A (zh) 通孔结构及其方法
US20130161798A1 (en) Graded density layer for formation of interconnect structures
US10103171B2 (en) Metal on elongated contacts
CN112951721A (zh) 用于光致抗蚀剂线粗糙度改善的沟槽蚀刻工艺
US20230377956A1 (en) Method of forming an interconect structure of a semiconductor device

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20160617

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181003

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181227

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190403

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191028

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20191028

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20191028

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20191106

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20191113

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20200124

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20200129

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200520

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20200624

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200923

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201222

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210203

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210602

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20210623

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20210623

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210625

R150 Certificate of patent or registration of utility model

Ref document number: 6919131

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150