CN113106420A - 半导体装置的制造方法 - Google Patents
半导体装置的制造方法 Download PDFInfo
- Publication number
- CN113106420A CN113106420A CN202110096473.6A CN202110096473A CN113106420A CN 113106420 A CN113106420 A CN 113106420A CN 202110096473 A CN202110096473 A CN 202110096473A CN 113106420 A CN113106420 A CN 113106420A
- Authority
- CN
- China
- Prior art keywords
- precursor
- wafer
- deposition
- layer
- atomic layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 49
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 24
- 238000000034 method Methods 0.000 title claims description 188
- 239000002243 precursor Substances 0.000 claims abstract description 136
- 230000002209 hydrophobic effect Effects 0.000 claims abstract description 79
- 230000008021 deposition Effects 0.000 claims abstract description 73
- 239000011248 coating agent Substances 0.000 claims abstract description 57
- 238000000576 coating method Methods 0.000 claims abstract description 57
- 238000005137 deposition process Methods 0.000 claims abstract description 19
- 230000008439 repair process Effects 0.000 claims abstract description 5
- 230000008569 process Effects 0.000 claims description 136
- 238000000151 deposition Methods 0.000 claims description 91
- 238000000231 atomic layer deposition Methods 0.000 claims description 83
- 229910052751 metal Inorganic materials 0.000 claims description 45
- 239000002184 metal Substances 0.000 claims description 45
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 33
- 229910044991 metal oxide Inorganic materials 0.000 claims description 27
- 150000004706 metal oxides Chemical class 0.000 claims description 27
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 23
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 18
- 239000001301 oxygen Substances 0.000 claims description 18
- 229910052760 oxygen Inorganic materials 0.000 claims description 18
- 238000000059 patterning Methods 0.000 claims description 14
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 11
- 239000012686 silicon precursor Substances 0.000 claims description 10
- 125000001183 hydrocarbyl group Chemical class 0.000 claims 1
- 239000010410 layer Substances 0.000 description 186
- 239000000463 material Substances 0.000 description 69
- 235000012431 wafers Nutrition 0.000 description 56
- 239000011253 protective coating Substances 0.000 description 48
- 229920002120 photoresistant polymer Polymers 0.000 description 36
- 239000003989 dielectric material Substances 0.000 description 31
- 239000000758 substrate Substances 0.000 description 24
- 239000006117 anti-reflective coating Substances 0.000 description 23
- 125000006850 spacer group Chemical group 0.000 description 21
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 20
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 19
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- 239000007789 gas Substances 0.000 description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- 239000010703 silicon Substances 0.000 description 15
- 230000015572 biosynthetic process Effects 0.000 description 13
- 238000002955 isolation Methods 0.000 description 13
- 239000000126 substance Substances 0.000 description 13
- -1 TiAlN Chemical class 0.000 description 12
- 229910052782 aluminium Inorganic materials 0.000 description 11
- 239000002019 doping agent Substances 0.000 description 9
- 238000002360 preparation method Methods 0.000 description 9
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 8
- 229910052726 zirconium Inorganic materials 0.000 description 8
- 238000005530 etching Methods 0.000 description 7
- 239000011229 interlayer Substances 0.000 description 7
- 239000007769 metal material Substances 0.000 description 7
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 6
- 238000004140 cleaning Methods 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 6
- FTMKAMVLFVRZQX-UHFFFAOYSA-N octadecylphosphonic acid Chemical compound CCCCCCCCCCCCCCCCCCP(O)(O)=O FTMKAMVLFVRZQX-UHFFFAOYSA-N 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 238000010926 purge Methods 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 229910052718 tin Inorganic materials 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 4
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910004200 TaSiN Inorganic materials 0.000 description 4
- 229910010037 TiAlN Inorganic materials 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 150000002430 hydrocarbons Chemical class 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 238000012423 maintenance Methods 0.000 description 4
- 239000011572 manganese Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 238000005498 polishing Methods 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 239000002904 solvent Substances 0.000 description 4
- 238000004544 sputter deposition Methods 0.000 description 4
- AVXLXFZNRNUCRP-UHFFFAOYSA-N trichloro(1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-heptadecafluorooctyl)silane Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)[Si](Cl)(Cl)Cl AVXLXFZNRNUCRP-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 3
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 229910052733 gallium Inorganic materials 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 239000007943 implant Substances 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 229910052748 manganese Inorganic materials 0.000 description 3
- 229910052914 metal silicate Inorganic materials 0.000 description 3
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 239000005360 phosphosilicate glass Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 239000002952 polymeric resin Substances 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 229920003002 synthetic resin Polymers 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 229910016570 AlCu Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical compound OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 150000004645 aluminates Chemical class 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 125000001309 chloro group Chemical group Cl* 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 239000000945 filler Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 230000000284 resting effect Effects 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 229910000314 transition metal oxide Inorganic materials 0.000 description 2
- 229910000326 transition metal silicate Inorganic materials 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- QQGYZOYWNCKGEK-UHFFFAOYSA-N 5-[(1,3-dioxo-2-benzofuran-5-yl)oxy]-2-benzofuran-1,3-dione Chemical compound C1=C2C(=O)OC(=O)C2=CC(OC=2C=C3C(=O)OC(C3=CC=2)=O)=C1 QQGYZOYWNCKGEK-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- 101710162828 Flavin-dependent thymidylate synthase Proteins 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910020968 MoSi2 Inorganic materials 0.000 description 1
- 229910012990 NiSi2 Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910018828 PO3H2 Inorganic materials 0.000 description 1
- 101710135409 Probable flavin-dependent thymidylate synthase Proteins 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- 229910004217 TaSi2 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 239000006096 absorbing agent Substances 0.000 description 1
- 238000007754 air knife coating Methods 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 239000003431 cross linking reagent Substances 0.000 description 1
- 230000001186 cumulative effect Effects 0.000 description 1
- 238000007766 curtain coating Methods 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- DZQISOJKASMITI-UHFFFAOYSA-N decyl-dioxido-oxo-$l^{5}-phosphane;hydron Chemical compound CCCCCCCCCCP(O)(O)=O DZQISOJKASMITI-UHFFFAOYSA-N 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- GKWKOCYSCDZTAX-UHFFFAOYSA-N dichloroboron Chemical compound Cl[B]Cl GKWKOCYSCDZTAX-UHFFFAOYSA-N 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000007765 extrusion coating Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- IWTIUUVUEKAHRM-UHFFFAOYSA-N germanium tin Chemical compound [Ge].[Sn] IWTIUUVUEKAHRM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000007756 gravure coating Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 238000009828 non-uniform distribution Methods 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- NJGCRMAPOWGWMW-UHFFFAOYSA-N octylphosphonic acid Chemical compound CCCCCCCCP(O)(O)=O NJGCRMAPOWGWMW-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 125000004437 phosphorous atom Chemical group 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 230000003449 preventive effect Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- QRPMCZNLJXJVSG-UHFFFAOYSA-N trichloro(1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,10-henicosafluorodecyl)silane Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)[Si](Cl)(Cl)Cl QRPMCZNLJXJVSG-UHFFFAOYSA-N 0.000 description 1
- VIFIHLXNOOCGLJ-UHFFFAOYSA-N trichloro(3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,10-heptadecafluorodecyl)silane Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)CC[Si](Cl)(Cl)Cl VIFIHLXNOOCGLJ-UHFFFAOYSA-N 0.000 description 1
- HLWCOIUDOLYBGD-UHFFFAOYSA-N trichloro(decyl)silane Chemical compound CCCCCCCCCC[Si](Cl)(Cl)Cl HLWCOIUDOLYBGD-UHFFFAOYSA-N 0.000 description 1
- PYJJCSYBSYXGQQ-UHFFFAOYSA-N trichloro(octadecyl)silane Chemical compound CCCCCCCCCCCCCCCCCC[Si](Cl)(Cl)Cl PYJJCSYBSYXGQQ-UHFFFAOYSA-N 0.000 description 1
- RCHUVCPBWWSUMC-UHFFFAOYSA-N trichloro(octyl)silane Chemical compound CCCCCCCC[Si](Cl)(Cl)Cl RCHUVCPBWWSUMC-UHFFFAOYSA-N 0.000 description 1
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- 229910021354 zirconium(IV) silicide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45529—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/403—Oxides of aluminium, magnesium or beryllium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Inorganic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Formation Of Insulating Films (AREA)
Abstract
在一实施例中,半导体装置的制造方法包括:通过使第一前驱物流动,以沿沉积制程腔室的内侧壁形成介电涂料,来准备沉积制程腔室,且使第二前驱物流动,以在介电涂料上方形成疏水层。此外,执行一或多个沉积循环。接着,使第二前驱物流动以修复疏水层。
Description
技术领域
本公开实施例涉及一种半导体装置的制造方法,特别是涉及一种在制程腔室的内侧壁上形成介电涂料以及疏水层的半导体装置的制造方法。
背景技术
半导体装置被使用于各种电子应用中,例如:个人电脑、手机、数码相机和其他电子设备。通常通过以下方式来制造半导体装置:通过在半导体基底上依序沉积材料的绝缘层或介电层、导电层和半导体层,并使用微影对各个材料层进行图案化(例如移除各个材料层的一部分)以形成基底上方的电路元件。
半导体工业通过不断缩小最小特征尺寸以持续提高各种电子元件(例如晶体管、二极管、电阻器、电容器等)的集成密度,这允许将更多的元件结合至给定区域中。但是,随着最小特征尺寸的缩小,出现了应解决的其他问题。
发明内容
本公开实施例提供一种半导体装置的制造方法,包括:准备沉积制程腔室,其中前述准备的操作包括:使多个第一前驱物流动,以沿前述沉积制程腔室的内侧壁形成介电涂料;以及使第二前驱物流动,以在前述介电涂料上方形成疏水层。前述方法还包括执行一或多个沉积循环。此外,前述方法包括在执行前述一或多个沉积循环之后,使前述第二前驱物流动以修复前述疏水层。
本公开实施例提供一种半导体装置的制造方法,包括:在晶圆上方沉积金属氧化层,前述沉积金属氧化层的操作包括:在制程腔室的内侧壁上方沉积氧化硅涂料;使疏水性前驱物流动至前述制程腔室中,以在前述氧化硅涂料上方形成疏水层;在使前述疏水性前驱物流动之后,将前述晶圆放置于前述制程腔室中;使氧前驱物于前述晶圆上方流动;以及使金属前驱物于前述晶圆上方流动。前述方法还包括该金属氧化层图案化。
本公开实施例提供一种半导体装置的制造方法,包括:在第一晶圆上执行多个第一制程步骤,且在原子层沉积工具上执行第一处理制程,前述第一处理制程包括在前述原子层沉积工具的多个内侧壁上方形成疏水涂料。前述方法还包括将前述第一晶圆放置于前述原子层沉积工具中,在前述第一晶圆上执行第一原子层沉积制程,且从前述原子层沉积工具移除前述第一晶圆。此外,前述方法包括在第二晶圆上执行多个第二制程步骤,在从前述原子层沉积工具移除前述第一晶圆之后,将前述第二晶圆放置于前述原子层沉积工具中。前述方法还包括在前述第二晶圆上执行第二原子层沉积制程,从前述原子层沉积工具移除前述第二晶圆,且在从前述原子层沉积工具移除前述第二晶圆之后,在前述原子层沉积工具上执行第二处理制程,前述第二处理制程包括补充在前述原子层沉积工具的前述内侧壁上方的前述疏水涂料。
附图说明
根据以下的详细说明并配合附图以更好地了解本公开实施例的概念。应注意的是,根据本产业的标准惯例,附图中的各种特征未必按照比例绘制。事实上,可能任意地放大或缩小各种特征的尺寸,以做清楚的说明。在通篇说明书及附图中以相似的标号标示相似的特征。
图1和图2绘示根据一些实施例的形成半导体装置的中间步骤的立体图。
图3至图5绘示根据一些实施例的形成半导体装置的特征的中间步骤。
图6至图9绘示根据一些实施例的原子层沉积(atomic layer deposition;ALD)工具及其准备方法的示意图。
图10至图16绘示根据一些实施例的形成半导体装置的特征的中间步骤。
其中,附图标记说明如下:
50:半导体装置
100:晶圆
101:基底
103:第一沟槽
105:浅沟槽隔离区
107:鳍片
109:虚设栅极介电质
111:虚设栅极电极
113:第一间隔件
115:堆叠
201:源极/漏极区
203:第一层间介电层
302:第一区域
304:第二区域
310:开口
311:界面层
313:第一介电材料
317:第一p型金属功函数层
401:底部抗反射涂层
501:硬遮罩层
600:原子层沉积系统
601:沉积腔室
603:前驱物输送系统
607:气体供应源
609:流量控制器
611:前驱物气体控制器
613:歧管
615:莲蓬头
617:内侧壁
701:保护涂料
901:疏水涂料
1001:抗反射涂层
1003:光阻层
1601:填充材料
1603:第一栅极堆叠
1604:第二栅极堆叠
A’-A’:线
具体实施方式
以下的公开内容提供许多不同的实施例或范例以实施本公开实施例的不同特征。以下叙述构件及配置的特定范例,以简化本公开实施例的说明。当然,这些特定的范例仅为示范,并非用以限定本公开实施例。举例而言,在以下的叙述中提及第一特征形成于第二特征上或上方,即表示其可包括第一特征与第二特征是直接接触的实施例,亦可包括有附加特征形成于第一特征与第二特征之间,而使第一特征与第二特征可能未直接接触的实施例。另外,本公开可在不同范例中重复使用参考标号及/或字母。此重复是为了简洁且明确的目的,其本身并不表示所述各种实施例及/或构造之间具有关联性。
此外,在此可使用与空间相关用词。例如“底下”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,以便于描述图示中绘示的一个元件或特征与另一个(些)元件或特征之间的关系。除了在图示中绘示的方位外,这些空间相关用词意欲包括使用中或操作中的装置的不同方位。装置可能被转向不同方位(旋转90度或其他方位),且在此使用的空间相关词也可依此做同样的解释。
现在将针对特定范例来描述实施例,该特定范例包括集成电路,前述集成电路包括晶体管(例如鳍式场效晶体管(Fin field-effect transistor;FinFET)装置)以及互连结构。然而,实施例不限于本文所提供的范例,且构想可用各种不同的实施例来实现。
图1绘示形成在晶圆100上的半导体装置50(例如鳍式场效晶体管装置)的立体图。在一个实施例中,半导体装置50包括基底101和第一沟槽103。基底101可以是半导体基底,例如块材(bulk)半导体,绝缘体上半导体(semiconductor-on-insulator;SOI)基底或其他类似的基底,其可以是掺杂(例如使用p型或n型掺杂剂)或不掺杂的。基底101可以是晶圆100的一部分或形成在晶圆100上,晶圆100可以是硅晶圆。一般而言,绝缘体上半导体基底是在绝缘体层上形成的半导体材料层。绝缘体层可以是例如埋入式氧化物(buried oxide;BOX)层,氧化硅层或其他类似的材料层。绝缘体层设置在基底上,通常为硅或玻璃基底。也可以使用其他基底,例如多层或梯度基底。在一些实施例中,基底101的半导体材料可包括硅、锗、化合物半导体、合金半导体或前述的组合。前述化合物半导体包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;前述合金半导体包括硅锗、磷化砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟及/或磷化砷化镓铟。
鳍片107形成在基底101中。鳍片107是半导体带。在一些实施例中,可通过遮蔽和蚀刻基底101中的第一沟槽103而在基底101中形成鳍片107。此蚀刻可以是任何可接受的蚀刻制程,例如反应离子蚀刻(reactive ion etch;RIE)、中性束蚀刻(neutral beam etch;NBE)、其他类似的蚀刻制程或前述组合。此蚀刻可以是非等向性的。
遮蔽和蚀刻制程从基底101的未被移除的部分形成鳍片107。为了方便起见,鳍片107在图示中被绘示为通过虚线与基底101分隔开,但可能存在或不存在分隔开的物理指示。如下所述,此些鳍片107可用于形成多栅极鳍式场效晶体管的通道区。虽然图1绘示从基底101形成的两个鳍片107,但是可使用任何数量的鳍片107。
一旦已形成第一沟槽103和鳍片107,则第一沟槽103可填充有介电材料,并且介电材料可于第一沟槽103内凹陷以形成浅沟槽隔离(Shallow Trench Isolation;STI)区105。介电材料可以是氧化物材料、高密度等离子体(high-density plasma;HDP)氧化物或其他类似的材料。介电材料可以是氧化硅、氮化物、其他介电材料或前述的组合。可在第一沟槽103的选择性的清洁和衬垫制程之后,使用高密度等离子体化学气相沉积(high densityplasma chemical vapor deposition;HDP-CVD)、可流动式化学气相沉积(flowable CVD;FCVD)(例如在远程等离子体系统中沉积以化学气相沉积为基础的材料并进行后固化,以将其转换为另一种材料,例如氧化物)、其他类似的制程或前述的组合来形成介电材料。在一些实施例中,一旦形成介电材料,即可执行退火制程。在一实施例中,形成介电材料以使得过量的介电材料覆盖鳍片107。虽然将介电材料绘示成单层,但是在一些实施例中可使用多层。举例而言,在一些实施例中,可首先沿着基底101和鳍片107的表面形成一个或多个衬垫(未图示)。此后,可在一个或多个衬垫上形成例如上述的填充材料。
接着,对介电材料施加移除制程以移除鳍片107上方的过量介电材料。在一些实施例中,可使用平坦化制程,例如化学机械研磨(chemical mechanical polish;CMP)、回蚀刻制程、前述的组合或其他类似的制程。平坦化制程会显露鳍片107,使得鳍片107的顶面和介电材料的顶面在平坦化制程完成之后是齐平的。在遮罩保留在鳍片107上的实施例中,平坦化制程可显露出遮罩或移除遮罩,以使得在平坦化制程完成之后,遮罩的顶面或鳍片107的顶面以及介电材料的顶面分别是齐平的。
接下来,使介电材料凹陷以形成浅沟槽隔离区105。使介电材料凹陷以使得鳍片107的上部从相邻的浅沟槽隔离区105之间凸出。此外,浅沟槽隔离区105的顶面可具有如图所绘示的平面、凸面、凹面(例如凹陷)或前述的组合。可通过适当的蚀刻来将浅沟槽隔离区105的顶面形成为平坦的、凸的及/或凹的。可使用可接受的蚀刻制程来使浅沟槽隔离区105凹陷,例如对浅沟槽隔离区105的介电材料具有选择性的蚀刻制程(例如,以比鳍片107的材料更快的速率蚀刻浅沟槽隔离区105的介电材料)。举例而言,可使用利用例如稀氢氟酸(dilute hydrofluoric;dHF)的氧化物移除,但是亦可使用其他蚀刻剂(例如氢气(H2))和其他方法(例如反应离子蚀刻)、使用蚀刻剂(例如氨气(NH3)/三氟化氮(NF3))的干式蚀刻、化学氧化物移除或干式化学清洁。
然而,上述步骤可能仅为用于填充介电材料和使介电材料凹陷的整体制程流程的一部分。举例而言,亦可使用衬垫步骤、清洁步骤、退火步骤、间隙填充步骤、前述的组合及其他类似的步骤以形成介电材料并填充第一沟槽103。所有潜在的制程步骤是完全意图被包括在本实施例的范围内。
在形成了浅沟槽隔离区105之后,可以在每个鳍片107上方形成虚设栅极介电质109、虚设栅极介电质109上方的虚设栅极电极111和第一间隔件113。栅极介电质109可通过热氧化、化学气相沉积、溅镀或本公开所属技术领域中已知用于形成栅极介电质的任何其他方法来形成。取决于形成栅极介电质的技术,在鳍片107的顶部上的虚设栅极介电质109的厚度可与在鳍片107的侧壁上的栅极介电质的厚度不同。
虚设栅极介电质109可包括例如二氧化硅、氮氧化硅、氮化硅、前述的组合或其他类似的材料。虚设栅极介电质109可以由例如氧化镧(La2O3)、氧化铝(Al2O3)、氧化铪(HfO2)、氮氧化铪(HfON)、氧化锆(ZrO2)或前述的组合的高介电常数(high-k)材料(例如,相对介电常数大于约5)所形成。另外,二氧化硅、氧氮化硅及/或高介电常数材料的任何组合也可用于虚设栅极介电质109。
虚设栅极电极111可包括导电或非导电材料,且可选自包括非晶硅、多晶硅(polysilicon)、多晶硅锗(poly-SiGe)、金属氮化物(例如TiAlN、TaCN、TaSiN、TiN、TaN)、金属硅化物、金属氧化物、金属碳化物(例如TaC)、金属或合金,包括W、Al、Cu、AlCu、Ti、Mn、Zr、Ta、Co、Ni、前述的组合或其他类似的材料。可通过化学气相沉积(chemical vapordeposition;CVD)、溅镀沉积、物理气相沉积(physical vapor deposition;PVD)或本公开所属技术领域中已知用于沉积所列材料的其他技术来沉积虚设栅极电极111。虚设栅极电极111的顶面可以具有非平面的顶面,且可在虚设栅极电极111的图案化或栅极蚀刻之前被平坦化。此时,离子可被引入或可不被引入至虚设栅极电极111中。可通过例如离子植入技术来引入离子。
一旦形成虚设栅极介电质109和虚设栅极电极111,可将虚设栅极介电质109和虚设栅极电极111图案化以在鳍片107上方形成一系列堆叠115。堆叠115定义位于虚设栅极介电质109下方的鳍片107的每一侧上的多个通道区。可通过使用例如本公开所属技术领域中已知的沉积和微影技术在虚设栅极电极111上沉积和图案化栅极遮罩(图1中未单独绘示)来形成堆叠115。栅极遮罩可结合常用的遮罩和牺牲材料,例如(但不限于)氧化硅、氧氮化硅、SiCON、SiC、SiOC、氮化硅及/或前述任何组合的一或多层。可使用干式蚀刻制程来蚀刻虚设栅极电极111和虚设栅极介电质109,以形成图案化堆叠115。
一旦已将堆叠115图案化,即可形成第一间隔件113。第一间隔件113可以形成在堆叠115的相对侧上。通常通过在先前形成的结构上毯覆沉积间隔件层(图1中未具体绘示)来形成第一间隔件113。间隔件层可包括氧化硅、氮化硅、氮氧化硅、碳氮化硅、碳氧化硅、其他氧化物、氮化物或氮氧化物、前述的组合或其他类似的材料,并且可通过用以形成此种层的方法来形成,例如化学气相沉积、等离子体增强化学气相沉积、溅镀和本公开所属技术领域中已知的其他方法。间隔件层可包括具有不同蚀刻特性的不同材料或与浅沟槽隔离区105内的介电材料相同的材料。然后,可通过例如一或多次蚀刻来将第一间隔件113图案化,以从结构的水平表面移除间隔件层,形成第一间隔件113。
图2绘示从未被堆叠115和第一间隔件113保护的那些区域中移除鳍片107以及源极/漏极区201的重新生长。可通过反应离子蚀刻(RIE)使用堆叠115和第一间隔件113作为硬遮罩来进行从未被堆叠115和第一间隔件113保护的那些区域中移除鳍片107,或者通过任何其他适合的移除制程来执行。可持续移除直到鳍片107与浅沟槽隔离区105的表面齐平(如图所示)或在浅沟槽隔离区105的表面之下。
可放置硬遮罩(未具体绘示)并将其图案化以覆盖虚设栅极电极111来防止生长,且可重新生长源极/漏极区201来与鳍片107的每一者接触。在一些实施例中,源极/漏极区201可以被重新生长,并且在一些实施例中,源极/漏极区201可被重新生长以形成应力源,此应力源将向位于堆叠115下方的鳍片107的通道区施加应力。对于鳍片107包括硅且鳍式场效晶体管(FinFET)是n型装置的区域,可通过具选择性的外延制程以例如硅、碳化硅、掺杂磷的碳化硅、磷化硅等与通道区相比具有相同或不同的晶格常数的材料来重新生长源极/漏极区201。对于鳍片107包含硅且鳍式场效晶体管是p型装置的区域,可通过具选择性的外延制程以例如硅、硅锗、掺硼硅锗、锗、锗锡等与通道区相比可具有相同或不同的晶格常数的材料重新生长源极/漏极区201。可在形成用于n型装置的源极/漏极区201时,形成硬遮罩以覆盖具有p型装置的区域。可在形成用于p型装置的源极/漏极区201时,移除硬遮罩或硬遮罩的一部分,且可形成另一硬遮罩以覆盖具有n型装置的区域。此些步骤可以相反的顺序执行。
可形成源极/漏极区201,并且可通过植入适当的掺杂剂以补充鳍片107中的掺杂剂来将掺杂剂植入到源极/漏极区201中。举例而言,可植入例如硼、镓、铟等的p型掺杂剂以形成P型金属氧化半导体(p-type metal oxide semiconductor;PMOS)装置。在其他实施例中,可植入例如磷、砷、锑等的n型掺杂剂以形成N型金属氧化半导体(n-type metal oxidesemiconductor;NMOS)装置。可使用堆叠115和第一间隔件113作为遮罩来植入此些掺杂剂。应注意的是,可使用许多其他制程、步骤或其他方式来植入掺杂剂。举例而言,可使用间隔件和衬垫的各种组合来执行多个植入,以形成具有适合于特定目的的特定形状或特性的源极/漏极区。此些制程中的任何一种都可用于植入掺杂剂,且以上说明并不意味着将本公开的实施例限定于上述步骤。
另外在此阶段,在形成源极/漏极区201期间,移除覆盖虚设栅极电极111以及用于p型或n型装置的区域中的任一者的硬遮罩。在一些实施例中,可使用例如对硬遮罩的材料具有选择性的湿式或干式蚀刻制程来移除此些硬遮罩中的任一者,但是亦可使用任何适合的移除制程。
图2亦绘示在堆叠115和源极/漏极区201上方形成第一层间介电(inter-layerdielectric;ILD)层203(图2中以虚线绘示,以便更清楚地绘示下方的结构)。第一层间介电层203可包括例如硼掺杂的磷硅酸盐玻璃(boron-doped phosphor-silicate glass;BPSG)、硼硅酸盐玻璃(boro-silicate glass;BSG)、磷硅酸盐玻璃(phospho-silicateglass;PSG)、未掺杂硅酸盐玻璃(undoped silicate glass;USG)或任何适合的介电质。可使用例如化学气相沉积、等离子体增强化学气相沉积、流动式化学气相沉积、低压化学气相沉积或任何适合的制程来形成第一层间介电层203。可使用例如化学机械研磨制程的平坦化制程将第一层间介电层203与第一间隔件113平坦化,但是也可以使用任何适合的制程。
图3绘示图2沿线A-A'的剖视图,以便更佳地绘示移除虚设栅极电极111和虚设栅极介电质109的材料,并用多层替换以在基底101的第一区域302中形成第一栅极堆叠1603(在中间步骤中绘示并且在图16中完成)和在基底101的第二区域304中形成第二栅极堆叠1604(在中间步骤中绘示并且在图16中完成)。应注意的是,为简单起见,在图3及后续图示中未绘示基底101,因为在鳍片107和浅沟槽隔离区105上方形成了后续特征。此外,图3绘示线A-A'的延伸,以更进一步包括第一层间介电层203。在一实施例中,第一区域302中的第一栅极堆叠1603可以是用于例如N型金属氧化半导体(NMOS)鳍式场效晶体管的栅极堆叠,而第二区域304中的第二栅极堆叠1604可以是用于P型金属氧化半导体(PMOS)鳍式场效晶体管的栅极堆叠,但是亦可以使用任何适合的装置。虽然图3及后续图示是将区域302和区域304描绘成由连续的层形成,但应当注意的是,区域302和区域304可以是不同的且彼此分离。
在一些实施例中,可使用例如一或多种湿式或干式蚀刻制程来移除虚设栅极电极111和虚设栅极介电质109,以形成开口310。上述湿式或干式蚀刻制程使用对虚设栅极电极111和虚设栅极介电质109的材料具有选择性的蚀刻剂,但亦可使用任何适合的一或多个移除制程。虚设栅极电极111和虚设栅极介电质109的移除会使得在每个区域(例如第一区域302和第二区域304)中形成开口310。
一旦已移除虚设栅极电极111和虚设栅极介电质109,则可通过将一系列层沉积到开口310中,开始在第一区域302、第二区域304及任何其他区域中形成栅极堆叠(例如第一栅极堆叠1603和第二栅极堆叠1604)的制程。在一实施例中,此一系列层可包括界面层311、第一介电材料313、第一p型金属功函数层317和填充材料1601(参见图16),但亦可包括如稍后所述的更多层。沉积此一系列层,使得开口310仅被部分填充。
选择性地,可在形成第一介电材料313之前形成界面层311。在一实施例中,界面层311可以是通过热氧化或化学氧化所形成的材料(例如二氧化硅),例如通过原位蒸汽产生(in situ steam generation;ISSG)。在另一实施例中,界面层311可以是高介电常数材料,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2O5、前述的组合或其他类似的材料,但也可使用任何适合的材料或制程来形成。
可在开口310中形成第一介电材料313,并且在具有界面层311的实施例中,第一介电材料313可形成为界面层311上方的覆盖层。在一些实施例中,第一介电材料313是高介电常数材料(例如:铝、锆、镧、锰、钡、钛、铅及前述组合的金属氧化物或硅酸盐),且通过例如分子束沉积(MBD),原子层沉积(ALD)、化学气相沉积、等离子体增强化学气相沉积或其他类似的制程来沉积,但也可以使用任何适合的材料和沉积制程。
选择性地,可形成第一金属材料(未具体绘示)相邻于第一介电材料313,以例如作为屏障层,并且可由例如TaN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ru、Mo、WN、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属氧氮化物、金属铝酸盐、硅酸锆、铝酸锆、前述的组合或其他类似的金属材料来形成。可以使用例如原子层沉积(ALD)、化学气相沉积(CVD)、溅镀或其他类似的沉积制程来沉积第一金属材料,但也可以使用任何适合的材料和沉积制程。
第一p型金属功函数层317可形成在第一介电材料313上方和第一金属材料(如果包括的话)上方。在一些实施例中,第一p型金属功函数层317可类似于如上所述的第一金属材料。举例而言,第一p型金属功函数层317可由例如TiN、Ti、TiAlN、TaC、TaCN、TaSiN、TaSi2、NiSi2、Mn、Zr、ZrSi2、TaN、Ru、Al、Mo、MoSi2、WN、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属氧氮化物、金属铝酸盐、硅酸锆、铝酸锆、前述的组合或其他类似的金属材料所形成。另外,可以使用例如原子层沉积、化学气相沉积、溅镀或其他类似的沉积制程来沉积第一p型金属功函数层317,但也可使用任何适合的材料和沉积制程。
值得注意的是,并非所有的栅极堆叠在完成时都会包括第一p型金属功函数层317。举例而言,在一些实施例中(例如当第一栅极堆叠1603是N型金属氧化半导体鳍式场效晶体管时),第一p型金属功函数层317可能需要从第一区域302移除。如在随后的段落和图示中所示,可在第一区域302和第二区域304(包括一些其他区域中的栅极堆叠)两者中的栅极堆叠之上形成一系列层,以便将第一p型金属功函数层317图案化并将其从第一区域302中的第一栅极堆叠1603(以及上述其他区域中的任何一个栅极堆叠)移除。
为了移除第一p型金属功函数层317,在包括第一区域302和第二区域304的晶圆100之上形成一系列层,例如包括光阻的层。如以下更详细地描述,在第一p型金属功函数层317上方形成底部抗反射涂(bottom anti-reflective coating;BARC)层401(参照图4),在底部抗反射涂层401上方形成硬遮罩层501(参照图5),在硬遮罩层501上形成抗反射涂(anti-reflective coating;ARC)层1001(参照图10),并在抗反射涂层1001上形成光阻层1003(参照图10)。
图4绘示在每个区域(例如第一区域302和第二区域304)中的开口310内以及开口310上方形成底部抗反射涂层401。底部抗反射涂层401的沉积用作从第一区域302而非从第二区域304移除第一p型金属功函数层317的初始步骤。施加底部抗反射涂层401以准备施加上方的层,亦有助于下方的第一p型金属功函数层317的图案化。顾名思义,底部抗反射涂层401是用来防止在光阻层1003的曝光期间,不受控制和不希望的能量(例如光)反射回到上方的光阻层1003中,进而防止反射光在光阻层1003的不希望的区域中引起反应。另外,底部抗反射涂层401可用于提供平坦的表面,有助于减少在一角度中能量造成的负面影响。底部抗反射涂层401可以是涂布在晶圆100上的有机材料。在一些实施例中,有机材料在涂布在晶圆100上之后聚合。接着,将移除制程施加于底部抗反射涂层401以提供底部抗反射涂层401平滑的顶面。在一些实施例中,可利用例如化学机械研磨(CMP)的平坦化制程、回蚀刻制程、前述的组合或其他类似的制程。可在平坦化制程(例如化学机械研磨)之后执行清洁制程。此清洁制程可包括用水、过氧化铵、过氧化氢、其他类似的物质或前述的组合洗涤底部抗反射涂层401的顶面。根据一些实施例,底部抗反射涂层包括具有从底部抗反射涂层401的顶面延伸的具有一些羟基的氧化物,且清洁制程可形成从顶面延伸之附加的羟基。
图5绘示在底部抗反射涂层401上形成硬遮罩层501。硬遮罩层501可包括氧化铝、铝、钛、氮化钛、硅、氮化硅、氧氮化硅、其他氧化物及类似的材料、或前述的组合。可通过例如原子层沉积、化学气相沉积、等离子体增强化学气相沉积、低压化学气相沉积(LPCVD)或其他类似的制程来形成硬遮罩层501。
根据一些实施例,硬遮罩层501是氧化物,例如氧化铝(Al2O3),并且通过原子层沉积制程形成。原子层沉积制程包括交替的脉冲(或子循环),其中使铝前驱物流动和使氧前驱物流动,并在其间清除此些物质。每次清除可包括使氮气(N2)及/或氩气(Ar)流动,以促使从最新脉冲中移除前驱物和挥发性产物。在一些实施例中,铝前驱物可包括Al(CH3)3形式的三甲基铝(trimethylaluminum;TMA),而且氧前驱物可包括水(H2O)。
在原子层沉积包括两种前驱物的情况下,一个完整的循环可包括使一种前驱物流动,清除环境(例如用氮气),使另一种前驱物流动,以及清除环境(例如用氮气)。如此一来,脉冲或子周期将包括前驱物之其中一者的流动。以下将更详细地讨论作为硬遮罩层501的示范性氧化铝层的形成。
图6绘示根据一些实施例的原子层沉积系统600,其可用于从多个前驱物输送系统603接收前驱物材料,以在沉积腔室601内形成硬遮罩层501。虽然绘示五个前驱物输送系统603,但是原子层沉积系统600可包括连接至沉积腔室601之任何数量的前驱物输送系统603。各种前驱物输送系统可通过各种组合来作用,以将各种不同的前驱物材料供应至沉积腔室601。原子层沉积系统600可用于形成氧化物,例如像氧化铝的金属氧化物。如此一来,原子层沉积系统600可用于形成包括氧化铝的硬遮罩层501。
举例而言,每个前驱物输送系统603可各自包括气体供应源607和流量控制器609(为简单起见标记于前驱物输送系统603的其中一者,但未标记在其他前驱物输送系统603上)。在前驱物输送系统603的其中一者的前驱物以气态储存的一些实施例中,气体供应源607可将前驱物供应到沉积腔室601。气体供应源607可以是容器(例如气体储存槽),且位于沉积腔室601之处或远离沉积腔室601的位置。在另一实施例中,气体供应源607可以是独立准备且将其相应的前驱物输送至流量控制器609的设施。可将用于前驱物的任何适合的来源用作气体供应源607,且所有此类的来源都完全意图被包括在实施例的范围内。
气体供应源607可以将所需的前驱物供应给流量控制器609。可使用流量控制器609来控制到前驱物气体控制器611以及最终到沉积腔室601的前驱物流量,进而也有助于控制沉积腔室601内的压力。流量控制器609可以是例如比例阀、调节阀、针形阀、压力调节器、质量流量控制器、前述的组合或其他类似的装置。然而,也可使用用于控制和调节前驱物的流动的任何适合的方法,并且所有此类的元件和方法完全意图被包括在实施例的范围内。
虽然本公开中将前驱物输送系统603描述为具有相同的元件,但这仅是示范性的范例,并不意图以任何方式限制实施例。可替代地使用具有与原子层沉积系统600内的任何其他前驱物输送系统603相同或不同的任何种类和数量的独立元件的任何种类的适合的前驱物输送系统603。所有此类的前驱物输送系统603完全意图被包括在实施例的范围内。
每个前驱物输送系统603可将其各自的前驱物供应到前驱物气体控制器611中。前驱物气体控制器611将前驱物输送系统603与沉积腔室601连接并隔离,以便将所需的前驱物在希望的时间输送至沉积物室601。前驱物气体控制器611可包括例如阀、流量计、感测器及其他类似的装置,以控制每个前驱物的输送速率,并且可以由从控制单元(未具体绘示)所接收的指令来控制。
前驱物气体控制器611在接收到来自控制单元的指令后,可以打开和关闭阀,以将一或多个前驱物输送系统603连接至沉积腔室601,并通过歧管613引导所需的前驱物至沉积腔室601及莲蓬头615。莲蓬头615可用于将所选的前驱物散布到沉积腔室601中,且可设计成均匀地散布前驱物,以最小化因散布不均而可能出现的不良制程条件。在一些实施例中,莲蓬头615可具有圆形设计,且具有围绕莲蓬头615分布的开口(在后续的图示中绘示),以允许希望的前驱物散布到沉积腔室601中。莲蓬头615的每个开口可具有介于约0.5mm与约1mm之间的直径,例如为约1mm。
沉积腔室601具有内侧壁617。沉积腔室601可具有圆柱形状,使得内侧壁617包括弯曲的部分,或者内侧壁617可具有任何其他适合的形状,例如空心方管、六边形、八边形或其他类似的形状。此外,内侧壁617可由对各种前驱物和制程中的一些或全部呈惰性的材料制成。在一些实施例中,内侧壁617可与各种前驱物和制程中的一或多种具有低的反应性。然而,即使是非常低的反应性,在多次沉积循环过程中也可能产生累积效应。内侧壁617可以是能够承受沉积过程中所涉及的化学品和压力之任何适合的材料,例如铝、钢、不锈钢、镍、前述的合金、前述的组合或其他类似的材料。莲蓬头615也可包括此些材料中的任何一种。
仍请参照图6,沉积腔室601还包括在此未详细讨论或参照的其他元件。举例而言,沉积腔室601的一些其他元件包括观察端、提升销和提升销垫、间隔板和密封环、晶圆加热器和加热元件以及冷却板和冷却元件。沉积腔室601可包括可能或可能不具体绘示的其他特征。
简要地参照硬遮罩层501的形成,在此范例中,硬遮罩层501可在介于约室温(例如约25℃)和约300℃之间,或约150℃和约300℃之间的温度下,在原子层沉积过程中使用三甲基铝(TMA)和水的前驱物以交替脉冲的形式由金属氧化物(例如氧化铝)所形成。
然而,如果沉积腔室601未经处理,则例如当内侧壁617及/或莲蓬头615包括铝时,少量水可能会沉积在内侧壁617及/或莲蓬头615上。为了简单起见,有时内侧壁617可被称为包括内侧壁617和莲蓬头615两者。在一些情况下,水分子可吸附到内侧壁617上并排出氢原子。特别是,两个氢原子可结合形成氢气,而羟基(-OH)结合至且余留在铝内侧壁617上。接着,这些羟基可在下一个三甲基铝脉冲期间作为三甲基铝反应并结合的不希望的反应位点。随后,所得产物(例如,结合至内侧壁617的三甲基铝前驱物的一部分)成为水的反应位点,以在下一个水脉冲期间与水反应并结合。重复的循环将导致氧化铝沿内侧壁617及/或莲蓬头615的开口堆积。实际上,内侧壁617和莲蓬头615的其他材料(包括以上所列出的材料)可能会发生不同程度的堆积。如下所述,在形成硬遮罩层501之前,可在沉积腔室601上执行一些准备步骤,以防止或减少在形成硬遮罩层501期间的不希望的沉积。
图7至图9绘示沉积腔室601的放大及简化示意图。应注意的是,为了要强调莲蓬头615和内侧壁617的目的,未绘示上述的大部分元件。
图7、图8绘示在莲蓬头615上方、莲蓬头615的开口内以及内侧壁617上方形成保护涂料701,作为准备沉积腔室601的第一步。应注意的是,为了简洁起见,仅在内侧壁617上方绘示保护涂料701,但是在莲蓬头615的开口上方和内部也形成有保护涂料701。此外,虽然在本公开中更具体地讨论内侧壁617和莲蓬头615,但是以下的准备步骤亦可以在原子层沉积系统600的其他特征的侧壁上进行处理,例如歧管613的部分(可包括前管线、泵管线和泵)。保护涂料701是在将晶圆100放置在沉积腔室601内进行处理之前形成,且有助于防止或减少后续的原子层沉积制程期间例如氧化铝的堆积。可执行处理制程以在内侧壁617上方和在莲蓬头615的开口内形成保护涂料701。
通过同时使来自前驱物输送系统的一或多种前驱物同时流经莲蓬头615并流到内侧壁617上,可通过化学气相沉积、原子层沉积,等离子体增强化学气相沉积或任何适合的制程来形成保护涂料701。一或多种前驱物与其他前驱物、莲蓬头615和内侧壁617的材料相互反应以沉积保护涂料701。
举例而言,保护涂料701可包括在莲蓬头615和内侧壁617上的氧化硅层。如此一来,可通过使硅前驱物和氧前驱物流动来形成保护涂料701的氧化硅。在一些实施例中,硅前驱物可包含四乙氧基硅烷(Si(OC2H5)4,即tetraethoxysilane;TEOS)、Si(C2H5)2H2、SiH4或其他类似的材料,但也可以使用任何适合的硅前驱物。
另外,可选择氧前驱物以与硅前驱物反应以形成希望的材料(例如氧化硅)。如此一来,虽然所选择的确切前驱物可至少部分地取决于所选择的硅前驱物,但是在其中硅前驱物包括四乙氧基硅烷或四乙氧基硅烷的实施例中,氧前驱物可包括臭氧(O3)、N2O等离子体、O2等离子体、其他类似的材料、任何适合的材料或前述的任意组合。然而,亦可使用任何适合的前驱物作为氧前驱物。
另外,虽然已描述当保护涂料701包含氧化硅时,用于形成保护涂料701的前驱物,但是此确切的实施例仅是示范性的而不是限制性的。反之,当已选择保护涂料701的确切材料时,可利用前驱物的任何适合的组合来形成保护涂料701。所有此些组合完全意图被包括在实施例的范围内。
在保护涂料701是氧化硅的一些实施例中,硅前驱物和氧前驱物可同时流动,以在莲蓬头615和内侧壁617上反应并形成保护涂料701。硅前驱物可以约10sccm至约200sccm之间的流量流动,并且氧前驱物可以约100sccm(每分钟标准立方公分)至约10SLM(每分钟标准公升)的流量流动。此制程可在介于约150℃至约400℃的温度和介于约1.5Torr至约10Torr的压力下进行,但是也可以使用任何适合的参数。
可以介于约到约的厚度来形成保护涂料701。小于可能会导致保护涂料701过于不一致,进而不利于进行后续的准备步骤,例如以下所述的疏水处理。大于可能会导致保护涂料701在莲蓬头615的开口内太厚,进而在之后形成硬遮罩层501的制程中阻碍前驱物穿过莲蓬头615的流动。请注意图示中的说明本质上是定性的,不一定会反映相对大小或尺寸。
如图8所示,可选择性地在保护涂料701上进行处理制程。值得注意的是,保护涂料701包含沿着显露表面的羟基(-OH)。处理制程包括使例如水的前驱物从前驱物输送系统流出,以吸附保护涂料701且与保护涂料701反应,来沿着显露表面增加羟基的数量和浓度。此处理制程可附加地或可替代地包括除了水以外的其他材料,例如过氧化氢(H2O2)、过氧化铵((NH4)2O2)、O2等离子体、其他类似的材料或前述的任意组合。
可通过使一或多种材料(例如水)以介于约5sccm至约100sccm之间的流量流动来执行处理制程。此制程可以介于约150℃至约400℃的温度和介于约1.5Torr至约25Torr的压力下进行,但是也可以使用任何适合的参数。
在处理制程之前,保护涂料701的显露表面可具有介于约0奈克/cm2至约10奈克/cm2之间的羟基浓度。在处理制程之后,保护涂料701的显露表面可具有介于约50奈克/cm2至约70奈克/cm2的羟基浓度。经由处理制程,保护涂料701的显露表面上的羟基的数量或浓度可增加约500%至约700%。
图9绘示疏水处理制程,此疏水处理制程包括在保护涂料701上形成疏水涂料901,以作为准备沉积腔室601的附加步骤。疏水涂料901有助于进一步防止或减少原子层沉积期间例如氧化铝的沉积。可执行疏水处理制程以在莲蓬头615的开口内、莲蓬头615上和内侧壁617上的保护涂料701上形成疏水涂料901,且疏水涂料901会结合至保护涂料701上。疏水处理制程被设计为使从保护涂料701延伸的羟基(例如在保护涂料701的初始形成中形成,或另外通过处理制程形成)附着部分的疏水性前驱物。进行上述的选择性处理制程的有益之处在于:产生足够的显露羟基以在更坚固和均匀的层中形成疏水涂料901。
疏水性前驱物可通过莲蓬头615从前驱物输送系统603流到沉积腔室601中,以与从保护涂料701延伸的显露羟基反应,进而形成疏水涂料901。疏水性前驱物可包含8至10个碳链的碳氢氯硅烷。举例而言,疏水性前驱物可以是被氟化的,例如全氟辛基三氯硅烷(CF3(CF2)5CH2CH2SiCl3,即perfluorooctyltrichlorosilane;FOTS)和全氟癸基三氯硅烷(CF3(CF2)7CH2CH2SiCl3,即perfluorodecyltrichlorosilane;FDTS),也可以是非氟化的,例如辛基三氯硅烷(C8H17SiCl3)和癸基三氯硅烷(C10H21SiCl3)。在另一实施例中,疏水性前驱物可以是包含8-10个碳链的烃膦酸,例如辛基膦酸(CH3(CH2)7PO(OH)2)和癸基膦酸(CH3(CH2)9PO(OH)2)。疏水性前驱物在链中具有大于10个碳可能会导致疏水性涂料901表现出凡得瓦力,使得所形成的疏水涂料901仍会吸引用于原子层沉积的前驱物(例如水及/或三甲基铝),进而会在莲蓬头615和内侧壁617上进行不希望的沉积,这是设计保护涂料701和疏水涂料901用以减少或防止的。另外,在链中具有少于8个碳的疏水性前驱物可能不容易设置于表面以牢固地覆盖未反应的羟基或氯,其可类似地吸引前述用于原子层沉积的前驱物。在其他实施例中,疏水性前驱物可包含更长的碳链,例如十八烷基三氯硅烷(CH3(CH2)17SiCl3,即octadecyltrichlorosilane;ODTS)十八烷基膦酸(CH3(CH2)17PO3H2,即octadecylphosphonic acid;ODPA)、其他类似的材料或前述的任意组合。
在仅形成保护涂料701(例如氧化硅层)之后,停留在保护涂料701的表面上的水滴将具有约10度至约40度的接触角。然而,在形成疏水涂料901之后,停留在疏水涂料901的表面上的水滴将具有约100度至约120度之间的接触角。较高的接触角表示例如水的前驱物不太可能吸附或结合至保护涂料701或疏水涂料901的表面。
在疏水涂料901的形成过程中,疏水性前驱物通常会与保护涂料701的显露羟基发生交换反应。举例而言,对于全氟辛基三氯硅烷,氯原子可与来自羟基的氢原子结合,形成氯化氢(HCl)气体。另外,疏水性前驱物中的硅原子与来自羟基的氧原子键合。如果附近适合的位置有其他羟基,则疏水性前驱物中的其他氯原子的其中一者或两者可能会发生类似的反应。
在疏水性前驱物是膦酸的情况下,来自疏水性前驱物的羟基可以与来自保护涂料701上的显露羟基的氢原子结合,进而与疏水涂料901一起形成水(H2O)。另外,疏水性前驱物中的磷原子会与来自显露羟基的氧原子结合。
疏水处理制程可通过使一或多种前驱物以介于约5sccm至约50sccm的流量流动来进行。此制程可在介于约100℃至约300℃之间的温度和介于约1.5Torr至约25Torr之间的压力下进行,但是也可以使用任何适合的参数。
在未执行处理制程或疏水处理制程的情况下形成保护涂料701的情况下,沉积腔室601可在约5,000个循环至约10,000个循环的沉积(例如金属氧化物沉积)之后进行清洁、替换或其他密集的产品维护程序。如上所述,一个循环可包括使每种前驱物流动一轮,例如使一种前驱物流动,清除沉积腔室601,使另一种前驱物流动,以及清除沉积腔室601。在执行处理制程和疏水处理制程的情况下,则沉积腔室601可在约20,000个循环至约50,000个循环的沉积(例如金属氧化物沉积)之后进行清洁,更换或其他密集的产品维护程序。
此外,可以在大约每10个循环至大约每100个循环的原子层沉积之间执行重复的处理制程和疏水处理制程(即不重复用于形成保护涂料701的过程)。在另一实施例中,可在晶圆(例如晶圆100)上的每个原子层沉积制程之前或在约1个原子层沉积制程至约10个原子层沉积制程之后执行处理制程和疏水处理制程。
回到有助于p型金属功函数层317的图案化的层,图10绘示在硬遮罩层501上形成抗反射涂层1001。顾名思义,抗反射涂层1001具有与图案化中所使用的其他层(例如后续形成的光阻层1003)不同的光学特性。抗反射涂层1001作用以防止在曝光期间能量(例如光)不受控制和不希望地反射回到上方的光阻层1003中。因此,可防止反射光在光阻层1003的不希望的区域中引起反应。举例而言,抗反射涂层1001可具有与光阻层1003不同的折射率(n)、不同的消光系数(k)或厚度值(T)。另外,抗反射涂层1001可用于提供平坦表面,有助于减少能量于一角度所带来的负面影响。可使用例如旋涂法、浸涂法、气刀涂布法、幕涂法、线棒涂布法、凹版涂布法、层压方法、挤压涂布方法、前述的组合或其他类似的方法来施加抗反射涂层1001。
图10更进一步绘示在抗反射涂层1001上形成光阻层1003。光阻层1003可包括聚合物树脂以及一或多种光敏化合物(photoactive compound;PAC)和溶剂中的交联剂。将溶剂中的聚合物树脂和光敏化合物施加在抗反射涂层1001上,并执行预曝光烘烤以加热和驱除溶剂,藉以移除溶剂并留下用于曝光的聚合物树脂和光敏化合物。
图11绘示将光阻层1003图案化。可通过例如通过图案化的遮罩或光罩(未具体绘示)将光阻层1003内的光敏材料(例如光敏化合物)显露于图案化的能量源(例如光)来将光阻层1003图案化。可将图案化的遮罩或光罩放置在光阻层1003上方,以阻挡能量到达光阻层1003的特定部分。因此,将图案化的遮罩放置于能量源和光阻层1003之间,以阻挡部分的能量照射到光阻层1003上。在一些实施例中,图案化的遮罩可包括一系列反射、吸收或以其他方式阻挡部分能量到达不希望被照射的光阻层1003的那些部分的层(例如基底、吸收层、抗反射涂层、屏蔽层等)。通过以希望的照射形状形成穿过图案化遮罩的开口,可在图案化遮罩中形成希望的图案。能量的冲击将在光阻层1003中的光敏材料的那些显露部分(被图案化的能量源影响)中引起化学反应,进而改变光阻层1003的显露部分的物理特性,使得光阻层1003的显露部分的物理及/或化学性质不同于光阻层1003的未显露部分的物理及/或化学性质。接着,可使用例如显影剂(未具体绘示)对光阻层1003进行显影,以使光阻层1003的显露部分与光阻层1003的未显露部分分离。举例而言,显影剂可溶解光阻层1003的显露部分以使其被移除,进而留下光阻层1003的未显露部分就位。在一些实施例中,可使用例如旋转干燥制程来移除显影剂和光阻层1003的显影部分,但是也可以替代地使用任何适合的移除技术。
图12绘示将光阻层1003的图案转移到抗反射涂层1001、硬遮罩层501和底部抗反射涂层401。图案化的光阻层1003可作为遮罩来将下方的层图案化。举例而言,可使用例如反应离子蚀刻的非等向性蚀刻制程来将光阻层1003的图案转移到一或多个下方的层,借此,可以在湿式或干式蚀刻中利用适合的蚀刻剂的离子例如氧、氮、氢、氨、六氟化硫、二氟甲烷、三氟化氮、三氟化氯、氯、一氧化碳、二氧化碳、氦气、二氯化硼、氩气、氟、十氟甲烷、三氟甲烷、四氟甲烷、全氟环丁烷、全氟丙烷、前述的组合或其他类似的材料,来移除由图案化的光阻层1003显露的那些层的部分,但是也可对于一或多个下方的层替代地使用任何其他适合的蚀刻剂和任何其他适合的移除方法,例如湿式去除。
图13绘示从第一区域302移除第一p型金属功函数层317,但未从第二区域304移除第一p型金属功函数层317。一旦在第一区域302中显露出第一p型金属功函数层317,可移除第一区域302中的第一p型金属功函数层317。在一实施例中,可利用对第一p型金属功函数层317的材料(例如,氮化钛)具有选择性的一或多种蚀刻制程,例如湿式蚀刻制程或干式蚀刻制程,在第一区域302中移除第一p型金属功函数层317,且在结束时不会大幅地移除下方的第一介电材料313或第一金属材料(例如氮化钽)。然而,也可以使用任何适合的移除制程。
图14绘示一旦已移除第一p型金属功函数层317,即可从第二区域304移除光阻层1003、抗反射涂层1001和硬遮罩层501的剩余部分。在一些实施例中,此些层被单独地或一并地移除,且可使用例如灰化的制程来移除。如此一来,此些层的一或多者的温度会升高直到此些层的一或多者经历热分解且可被移除为止。在另一实施例中,可通过使用适合的蚀刻剂的湿式或干式蚀刻来移除此些层的一或多者。然而,也可以利用任何适合的制程来移除此些层。
图15绘示一旦已移除光阻层1003、抗反射涂层1001和硬遮罩层501,即可从第二区域304上移除底部抗反射涂层401。类似地,在一些实施例中,底部抗反射涂层401可使用例如灰化的制程来移除,借此提高层的温度直到层经历热分解且可被移除为止。替代地,可通过使用适合的蚀刻剂的湿式或干式蚀刻来移除层。然而,也可使用任何其他适合的制程来移除此些层。在一些实施例中,底部抗反射涂层401与上方层中的一或多者同时且在相同的制程步骤中被移除。
虽然未具体绘示,但在已移除底部抗反射涂层401之后,可在第一区域302和第二区域304上沉积另一种导电材料(例如第二p型金属功函数层)。第二p型金属功函数层可以是具有比第一p型金属功函数层317的材料更高或相近功函数的金属(例如TiN),且对蚀刻制程具有比第一p型金属功函数层317的材料更高的选择性。可使用与上述相似的图案化制程来从其他区域移除第二p型金属功函数层,但是也可以使用任何适合的移除制程。因此,可通过相似或不同的方式形成且将其他金属层图案化,以在第一区域302、第二区域304和任何其他区域中形成栅极堆叠(例如第一栅极堆叠1603和第二栅极堆叠1604)。
图16绘示可在开口310中形成填充材料1601以形成栅极堆叠的剩余部分。填充材料1601可以是例如Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、前述的组合或其他类似的材料,且可使用沉积制程(例如电镀、化学气相沉积、原子层沉积、物理气相沉积、前述的组合或其他类似的方法)来形成,但是也可以使用任何适合的材料和制程。
在沉积填充材料1601以填充和过度填充开口310之后,第一区域302、第二区域304和任何其他区域的每个开口310内的材料可被平坦化以形成各种栅极堆叠。在一些实施例中,可使用例如化学机械研磨制程来将材料平坦化以与第一间隔件113和第一层间介电层203齐平,但是也可以利用任何适合的制程,例如研磨或蚀刻。可以形成接触插塞(未具体绘示)以将各种栅极堆叠以及用于那些栅极堆叠的源极和漏极区电性耦合至以下所述的上方互连结构。
参照上述的各种原子层沉积步骤,应注意的是,那些制程和准备步骤(例如用于沉积腔室601的步骤)可结合在本公开中未具体说明的半导体装置的制造中的其他原子层沉积步骤来一并执行。举例而言,可得益于此些制程和准备步骤的其他原子层沉积步骤包括晶圆均匀性、生产良率和产品可靠度。
另外,应注意的是,可用不同顺序来执行用于沉积腔室601的各种半导体制造步骤和准备步骤。举例而言,在任何晶圆100进行沉积之前,可以如上述来处理沉积腔室601,形成保护涂料701,在保护涂料701上执行处理制程(例如水处理)且形成疏水涂料901。在此些处理之后,可将晶圆100放置在沉积腔室中,以例如沉积金属氧化物(例如氧化铝)。
给定晶圆100的每个沉积制程可包括第一数量Nl的循环,每个循环包括氧前驱物(例如水)的子循环和金属前驱物(例如三甲基铝的铝前驱物)的子循环。实际上,在对沉积腔室601执行附加的准备步骤之前,多个晶圆100(例如第二数量N2的晶圆100)可进行沉积制程。通常而言,在多个晶圆100(例如,一系列N2数量的晶圆100)分别进行沉积制程之后,可将总数为NT的沉积循环的计算为第一数量N1和第二数量N2的乘积。替代地,可将每个晶圆100的第一数量N1加总起来以计算沉积循环的总数NT。
当沉积循环的总数NT达到预定数目时,可在任何晶圆100上进行任何进一步的沉积制程之前,在沉积腔室601上执行附加的准备步骤。在重复循环之后,疏水涂料901可能已劣化到显露出下方的保护涂料701的部分。选择性地,可执行处理制程以增加沿着保护涂料701的任何显露部分的羟基数目。接着,疏水性前驱物可流过沉积腔室601以补充部分可能已经劣化的疏水涂料901。
在对沉积腔室601进行附加处理之后,可在更多晶圆100上继续进行沉积制程。类似地,如上所述,可在对沉积腔室601进行附加处理之前执行新的沉积循环总数NT。在一些情况下,在沉积腔室601的处理之间的新的沉积循环总数NT可能会随着原子层沉积系统600的寿命、沉积腔室601的寿命及/或保护涂料701及/或疏水涂料901的寿命而下降。
本公开所述的实施例是有利的,因为随着半导体装置中的电子元件持续以愈来愈缩小的尺寸来设计,构成那些元件的材料层也需要更薄。如此一来,一些薄膜沉积技术(例如原子层沉积制程和其他类型的化学气相沉积制程)已在整个半导体制造制程中变得愈来愈有用。实际上,由于原子在稳定的化学结构中的定向,每个子循环的生长速率可能小于一个原子。因此,材料层可能需要许多次循环才能完成所需的厚度。
虽然使用原子层沉积工具的总时间消耗占了半导体装置的总制造时间相当重要的部分,但是用于维护和更换原子层沉积工具的时间和金钱成本也成为需要注意的因素。举例而言,如果特定的原子层沉积工具在所执行的沉积周期中倾向于在莲蓬头内部以及原子层沉积工具本身的内侧壁上形成所需材料的层,则此原子层沉积工具可能更需要附加的清洁或更快速地更换。因此,防止或减少那些材料在原子层沉积工具上的沉积速率的准备措施将会提高原子层沉积工具的寿命,同时也提高原子层沉积工具可使用而不进行维护或更换的时间比例。
如上所述,半导体制造制程可整合用于特定原子层沉积工具的上述相对迅速的准备措施。此些准备步骤将在将原子层沉积工具用于一些沉积步骤之前进行。可执行一些准备措施以在原子层沉积工具的内侧壁和莲蓬头上形成保护涂料。保护涂料可在使用于半导体制造过程中的期间,防止或减少材料沉积在原子层沉积工具的内侧壁和莲蓬头上。可进行附加的准备措施以在保护涂料上形成疏水涂料。疏水涂料可在使用于半导体制造过程中的期间,更进一步防止或减少材料在原子层沉积工具的内侧壁和莲蓬头上的沉积。
在半导体制造过程中使用一定数量的原子层沉积循环之后,可再次在原子层沉积工具上执行一或两种准备措施,以重建或维护保护涂料及/或疏水涂料。这些措施的结果是,由于减少除了晶圆以外的表面上所伴随的沉积,可能提高原子层沉积制程的整体良率,也可能增加原子层沉积工具的寿命,且在原子层沉积工具的预防性维护和维修措施上所花费的时间可能会减少。
在一些实施例中,一种半导体装置的制造方法包括:准备沉积制程腔室,其中前述准备的操作包括:使多个第一前驱物流动,以沿前述沉积制程腔室的内侧壁形成介电涂料;以及使第二前驱物流动,以在前述介电涂料上方形成疏水层。前述方法还包括执行一或多个沉积循环。此外,前述方法包括在执行前述一或多个沉积循环之后,使前述第二前驱物流动以修复前述疏水层。在一些实施例中,前述第一前驱物包括硅前驱物和第一氧前驱物。在一些实施例中,前述一或多个沉积循环的每一者包括:使第二氧前驱物流动;以及使金属前驱物流动。在一些实施例中,前述第二前驱物包括氟化烃官能基。在一些实施例中,前述介电涂料包括氧化硅。在一些实施例中,前述一或多个沉积循环形成氧化铝。在一些实施例中,前述氧化铝包括用于图案化的遮罩。
在一些实施例中,一种半导体装置的制造方法,包括:在晶圆上方沉积金属氧化层,前述沉积金属氧化层的操作包括:在制程腔室的内侧壁上方沉积氧化硅涂料;使疏水性前驱物流动至前述制程腔室中,以在前述氧化硅涂料上方形成疏水层;在使前述疏水性前驱物流动之后,将前述晶圆放置于前述制程腔室中;使氧前驱物于前述晶圆上方流动;以及使金属前驱物于前述晶圆上方流动。前述方法还包括该金属氧化层图案化。在一些实施例中,前述金属氧化层包括氧化铝。在一些实施例中,将前述疏水层结合至前述氧化硅涂料。在一些实施例中,使前述氧前驱物于前述晶圆上方流动,以及使前述金属前驱物于前述晶圆上方流动的操作是以交替的方式执行一预定次数。在一些实施例中,前述半导体装置的制造方法还包括在前述预定次数之后:从前述制程腔室移除前述晶圆;以及重复使前述疏水性前驱物流动的操作。在一些实施例中,前述疏水性前驱物是氟化烃。在一些实施例中,在前述晶圆上方沉积前述金属氧化层的操作还包括:在前述制程腔室的莲蓬头中的多个开口内沉积前述氧化硅涂料;以及在前述制程腔室的莲蓬头中的开口内的前述氧化硅涂料上方形成前述疏水层。在一些实施例中,前述半导体装置的制造方法还包括在使前述疏水性前驱物流动之前,使水流动于前述氧化硅涂料上方。
在一些实施例中,一种半导体装置的制造方法包括:在第一晶圆上执行多个第一制程步骤,且在原子层沉积工具上执行第一处理制程,前述第一处理制程包括在前述原子层沉积工具的多个内侧壁上方形成疏水涂料。前述方法还包括将前述第一晶圆放置于前述原子层沉积工具中,在前述第一晶圆上执行第一原子层沉积制程,且从前述原子层沉积工具移除前述第一晶圆。此外,前述方法包括在第二晶圆上执行多个第二制程步骤,在从前述原子层沉积工具移除前述第一晶圆之后,将前述第二晶圆放置于前述原子层沉积工具中。前述方法还包括在前述第二晶圆上执行第二原子层沉积制程,从前述原子层沉积工具移除前述第二晶圆,且在从前述原子层沉积工具移除前述第二晶圆之后,在前述原子层沉积工具上执行第二处理制程,前述第二处理制程包括补充在前述原子层沉积工具的前述内侧壁上方的前述疏水涂料。在一些实施例中,执行前述第一处理制程的操作包括:使多个氧化硅前驱物流动以在前述原子层沉积工具的内侧壁上方形成氧化硅涂料;以及使疏水性前驱物流动以在前述氧化硅涂料上方形成疏水涂料。在一些实施例中,执行前述第二处理制程的操作包括使前述疏水性前驱物流动以补充前述疏水涂料。在一些实施例中,前述第一原子层沉积制程包括沉积金属氧化层作为图案化用的硬遮罩。在一些实施例中,前述第一原子层沉积制程包括沉积金属氧化层作为蚀刻停止层的部分。
以上概述了许多实施例的特征,使本公开所属技术领域中具有通常知识者可以更加理解本公开的各实施例。本公开所属技术领域中具有通常知识者应可理解,可以本公开实施例为基础轻易地设计或改变其他制程及结构,以实现与在此介绍的实施例相同的目的及/或达到与在此介绍的实施例相同的优点。本公开所属技术领域中具有通常知识者也应了解,这些相等的结构并未背离本公开的精神与范围。在不背离后附申请专利范围的精神与范围之前提下,可对本公开实施例进行各种改变、置换及变动。
Claims (10)
1.一种半导体装置的制造方法,包括:
准备一沉积制程腔室,其中该准备的操作包括:
使多个第一前驱物流动,以沿该沉积制程腔室的一内侧壁形成一介电涂料;以及
使一第二前驱物流动,以在该介电涂料上方形成一疏水层;
执行一或多个沉积循环;以及
在执行该一或多个沉积循环之后,使该第二前驱物流动以修复该疏水层。
2.如权利要求1所述的半导体装置的制造方法,其中,所述第一前驱物包括一硅前驱物和一第一氧前驱物。
3.如权利要求2所述的半导体装置的制造方法,其中,该一或多个沉积循环的每一者包括:
使一第二氧前驱物流动;以及
使一金属前驱物流动。
4.如权利要求1所述的半导体装置的制造方法,其中,该第二前驱物包括氟化烃官能基。
5.如权利要求4所述的半导体装置的制造方法,其中,该介电涂料包括氧化硅。
6.如权利要求1所述的半导体装置的制造方法,其中该一或多个沉积循环形成氧化铝。
7.如权利要求6所述的半导体装置的制造方法,其中,该氧化铝包括用于图案化的一遮罩。
8.一种半导体装置的制造方法,包括:
在一晶圆上方沉积一金属氧化层,该沉积金属氧化层的操作包括:
在一制程腔室的一内侧壁上方沉积一氧化硅涂料;
使一疏水性前驱物流动至该制程腔室中,以在该氧化硅涂料上方形成一疏水层;
在使该疏水性前驱物流动之后,将该晶圆放置于该制程腔室中;
使一氧前驱物于该晶圆上方流动;以及
使一金属前驱物于该晶圆上方流动;以及
将该金属氧化层图案化。
9.如权利要求8所述的半导体装置的制造方法,其中,该金属氧化层包括氧化铝。
10.一种半导体装置的制造方法,包括:
在一第一晶圆上执行多个第一制程步骤;
在一原子层沉积工具上执行一第一处理制程,该第一处理制程包括在该原子层沉积工具的多个内侧壁上方形成一疏水涂料;
将该第一晶圆放置于该原子层沉积工具中;
在该第一晶圆上执行一第一原子层沉积制程;
从该原子层沉积工具移除该第一晶圆;
在一第二晶圆上执行多个第二制程步骤;
在从该原子层沉积工具移除该第一晶圆之后,将该第二晶圆放置于该原子层沉积工具中;
在该第二晶圆上执行一第二原子层沉积制程;
从该原子层沉积工具移除该第二晶圆;
在从该原子层沉积工具移除该第二晶圆之后,在该原子层沉积工具上执行一第二处理制程,该第二处理制程包括补充在该原子层沉积工具的所述内侧壁上方的该疏水涂料。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202062981794P | 2020-02-26 | 2020-02-26 | |
US62/981,794 | 2020-02-26 | ||
US17/018,797 | 2020-09-11 | ||
US17/018,797 US11441221B2 (en) | 2020-02-26 | 2020-09-11 | Method of performing atomic layer deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
CN113106420A true CN113106420A (zh) | 2021-07-13 |
CN113106420B CN113106420B (zh) | 2024-05-14 |
Family
ID=76709220
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202110096473.6A Active CN113106420B (zh) | 2020-02-26 | 2021-01-25 | 半导体装置的制造方法 |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN113106420B (zh) |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20040099614A (ko) * | 2003-05-19 | 2004-12-02 | 주식회사 무한 | 반도체소자 제조용 원자층 증착 장치 및 제조 방법 |
US20060040054A1 (en) * | 2004-08-18 | 2006-02-23 | Pearlstein Ronald M | Passivating ALD reactor chamber internal surfaces to prevent residue buildup |
CN102395705A (zh) * | 2009-07-22 | 2012-03-28 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
US20130129582A1 (en) * | 2010-05-03 | 2013-05-23 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. | Method for the plasma-enhanced treatment of internal surfaces of a hollow body, fluid separator, and use thereof |
CN106024673A (zh) * | 2015-03-26 | 2016-10-12 | 朗姆研究公司 | 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化 |
US20170141199A1 (en) * | 2015-11-18 | 2017-05-18 | Imec Vzw | Method for Forming a Field Effect Transistor Device Having an Electrical Contact |
US20180012752A1 (en) * | 2016-07-11 | 2018-01-11 | Tokyo Electron Limited | Method and apparatus for selective film deposition using a cyclic treatment |
CN108735603A (zh) * | 2017-04-20 | 2018-11-02 | 台湾积体电路制造股份有限公司 | 晶体管装置及其制造方法 |
CN109841563A (zh) * | 2017-11-28 | 2019-06-04 | 台湾积体电路制造股份有限公司 | 选择性覆盖工艺和由此形成的结构 |
US20200006072A1 (en) * | 2018-06-29 | 2020-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dielectric material and methods of forming same |
-
2021
- 2021-01-25 CN CN202110096473.6A patent/CN113106420B/zh active Active
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20040099614A (ko) * | 2003-05-19 | 2004-12-02 | 주식회사 무한 | 반도체소자 제조용 원자층 증착 장치 및 제조 방법 |
US20060040054A1 (en) * | 2004-08-18 | 2006-02-23 | Pearlstein Ronald M | Passivating ALD reactor chamber internal surfaces to prevent residue buildup |
CN102395705A (zh) * | 2009-07-22 | 2012-03-28 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
US20130129582A1 (en) * | 2010-05-03 | 2013-05-23 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. | Method for the plasma-enhanced treatment of internal surfaces of a hollow body, fluid separator, and use thereof |
CN106024673A (zh) * | 2015-03-26 | 2016-10-12 | 朗姆研究公司 | 使用具有间歇恢复等离子体的ald氧化硅表面涂层来使自由基重组最小化 |
US20170141199A1 (en) * | 2015-11-18 | 2017-05-18 | Imec Vzw | Method for Forming a Field Effect Transistor Device Having an Electrical Contact |
US20180012752A1 (en) * | 2016-07-11 | 2018-01-11 | Tokyo Electron Limited | Method and apparatus for selective film deposition using a cyclic treatment |
CN108735603A (zh) * | 2017-04-20 | 2018-11-02 | 台湾积体电路制造股份有限公司 | 晶体管装置及其制造方法 |
CN109841563A (zh) * | 2017-11-28 | 2019-06-04 | 台湾积体电路制造股份有限公司 | 选择性覆盖工艺和由此形成的结构 |
US20200006072A1 (en) * | 2018-06-29 | 2020-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dielectric material and methods of forming same |
Also Published As
Publication number | Publication date |
---|---|
CN113106420B (zh) | 2024-05-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10727066B2 (en) | Semiconductor device and methods of manufacture | |
US11508849B2 (en) | Semiconductor device and methods of manufacture | |
US10109534B2 (en) | Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD) | |
US20210134656A1 (en) | Patterning Methods for Semiconductor Devices | |
US10879377B2 (en) | Semiconductor device and method of manufacture | |
US11670695B2 (en) | Semiconductor device and method of manufacture | |
US20240154024A1 (en) | Formation of transistor gates | |
TWI764552B (zh) | 半導體裝置的製造方法 | |
CN113106420B (zh) | 半导体装置的制造方法 | |
US20220262649A1 (en) | Semiconductor Device and Method | |
TWI755900B (zh) | 半導體裝置的製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |