KR102003591B1 - 주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치 - Google Patents

주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치 Download PDF

Info

Publication number
KR102003591B1
KR102003591B1 KR1020170087813A KR20170087813A KR102003591B1 KR 102003591 B1 KR102003591 B1 KR 102003591B1 KR 1020170087813 A KR1020170087813 A KR 1020170087813A KR 20170087813 A KR20170087813 A KR 20170087813A KR 102003591 B1 KR102003591 B1 KR 102003591B1
Authority
KR
South Korea
Prior art keywords
metal oxide
oxide film
hydrophobic
substrate
deposition
Prior art date
Application number
KR1020170087813A
Other languages
English (en)
Other versions
KR20180006864A (ko
Inventor
칸다바라 엔. 타필리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180006864A publication Critical patent/KR20180006864A/ko
Application granted granted Critical
Publication of KR102003591B1 publication Critical patent/KR102003591B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 상의 선택적 막 퇴적을 위한 방법이 제공된다. 일 실시예에 따르면, 방법은, 제 1 표면을 갖는 제 1 재료 및 제 2 표면을 갖는 제 2 재료를 포함하는 기판을 제공하는 단계로서, 제 1 재료는 유전체 재료를 포함하며 제 2 재료는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하는 것인, 기판 제공 단계, 소수성 작용기를 포함하는 반응 가스로 제 1 표면을 반응시켜 소수성 제 1 표면을 형성하는, 제 1 표면 반응 단계, 및 기상 증착에 의해 제 2 표면 상에 금속 산화막을 퇴적시키는 단계로서, 금속 산화막의 퇴적은 소수성 제 1 표면 상에서는 저해되는 것인, 금속 산화막 퇴적 단계를 포함한다.

Description

주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치{METHOD AND APPARATUS FOR SELECTIVE FILM DEPOSITION USING A CYCLIC TREATMENT}
본 출원은 2016년 7월 11일에 출원된 미국 특허 가출원 제 62/360,903 호에 관련되고 이 가출원을 우선권으로 주장하며, 이 가출원의 전체 내용은 참조로서 본원에 포함된다.
본 발명은 일반적으로, 기상 증착(gas phase deposition)을 사용하는 상이한 재료 표면들 상의 막의 선택적 퇴적의 방법에 관한 것이다.
14 nm 기술 노드에서 디바이스 사이즈가 점점 작아짐에 따라, 제조에서의 복잡성이 증가하고 있다. 반도체 디바이스들을 생산하는 비용이 또한 증가하고 있으며 비용 효율적 솔루션들 및 혁신들이 필요된다. 더 작은 트랜지스터들이 제조됨에 따라, 패터닝되는 피처들의 임계 치수(critical dimension; CD) 또는 해상력(resolution)이 생산에 대해 도전과제가 되고 있다. 극자외선(extreme ultraviolet; EUV) 리소그래피 도입 이후에도 비용 효율적 스케일링이 지속될 수 있도록 자가 정렬(self-aligned) 패터닝이 오버레이 기반(overlay-driven) 패터닝을 대체할 수 있다. 감소된 가변성(variability), 확장된 스케일링과 증대된 CD 및 프로세스 제어를 가능하게 하는 패터닝 옵션들이 필요된다.
고도로 스케일링된 기술 노드들에서의 패터닝에서 박막들의 선택적 퇴적이 핵심 단계이다. 자가 조립 단층(self-assembled monolayer; SAM)들의 사용을 통해 선택적 막 퇴적이 달성된다. 그러나, SAM들은 빈약한 열적 안정성 및 추가적 재료들을 퇴적시킬 필요성으로 인해 추가적 프로세스 복잡성을 겪는다. 상이한 재료 표면들 상의 선택적 막 퇴적을 제공하는 새로운 퇴적 방법들이 요구된다.
본 발명의 실시예들은, 기상 증착을 사용하는 상이한 재료 표면들 상의 금속 산화막의 선택적 퇴적을 위한 방법을 설명한다. 일 실시예에 따르면, 방법은 제 1 표면을 갖는 제 1 재료 및 제 2 표면을 갖는 제 2 재료를 포함하는 기판을 제공하는 단계를 포함하는 기판 제공 단계로서, 제 1 재료는 유전체 재료를 포함하며 제 2 재료는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하는 것인, 기판 제공 단계를 포함한다. 방법은 소수성(hydrophobic) 작용기(functional group)를 포함하는 반응 가스로 제 1 표면을 반응시켜 소수성 제 1 표면을 형성하는, 제 1 표면 반응 단계, 및 기상 증착에 의해 제 2 표면 상에 금속 산화막을 퇴적시키는 단계로서, 금속 산화막의 퇴적은 소수성 제 1 표면 상에서는 저해되는 것인, 금속 산화막 퇴적 단계를 더 포함한다.
본 발명 및 본 발명의 많은 수반되는 이점들의 보다 완전한 이해는, 첨부 도면들과 관련하여 고려될 때 이어지는 상세한 설명에 대한 참조에 의해 보다 잘 이해되므로 쉽게 얻어질 것이다.
도 1은 본 발명의 실시예에 따른 기판 상의 선택적 막 퇴적에 대한 프로세스 플로우를 도시한다.
도 2a 내지 도 2c는 본 발명의 실시예에 따른 기판 상의 선택적 막 퇴적의 단면도들을 개략적으로 도시한다.
도 3은 본 발명의 실시예에 따른 기판 상의 선택적 막 퇴적에 대한 프로세스 플로우를 도시한다.
도 4a 내지 도 4d는 본 발명의 실시예에 따른 기판 상의 선택적 막 퇴적의 단면도들을 개략적으로 도시한다.
도 5 내지 도 11은 본 발명의 실시예들에 따른 기판 상의 막 퇴적에 대한 표면 변형의 효과들을 도시한다.
도 12는 본 발명의 실시예에 따른 SiO2 층의 변형을 개략적으로 도시한다.
도 13은 본 발명의 실시예에 따른 기상 증착을 사용하는 선택적 막 퇴적을 위한 단일 프로세스 챔버를 포함하는 프로세싱 시스템을 개략적으로 도시한다.
도 14는 본 발명의 실시예에 따른 기상 증착을 사용하는 선택적 막 퇴적을 위한 복수의 프로세스 챔버들을 포함하는 프로세싱 시스템을 개략적으로 도시한다.
도 15는 본 발명의 실시예에 따른 2개의 프로세스 챔버들을 포함하는 프로세싱 시스템을 개략적으로 도시한다.
기상 증착을 사용하는 상이한 재료 표면들 상의 금속 산화막의 선택적 퇴적을 위한 방법이 다양한 실시예들에서 개시된다. 기판의 원하는 표면 상에 금속 산화막(예를 들어, 절연체 또는 금속)을 선택적으로 퇴적시키면서 기판의 나머지 상에 금속 산화막 퇴적을 억제하기 위한 비폴리머(non-polymer) 기반 접근법이 제공된다. 이는, 일반적으로 리소그래피 및 에칭 단계들을 포함하는 추가적인 패터닝 단계들에 대한 필요성을 감소시키거나 제거한다.
본 발명의 실시예들은 유전체 재료 표면들을 변형시킴으로써 변형된 유전체 재료 표면들 상의 금속 산화물 퇴적을 저해시키기 위한 방법을 제공한다. 이는, 반도체 재료 표면들 상 및 금속 함유 표면들 상을 포함하여, 퇴적이 쉽게 진행되는 변형되지 않은 재료 표면들 상의 선택적 금속 산화물 퇴적을 가능하게 한다.
일 실시예에 따르면, 방법은, 제 1 표면을 갖는 제 1 재료 및 제 2 표면을 갖는 제 2 재료를 포함하는 기판을 제공하는 단계로서, 제 1 재료는 유전체 재료를 포함하며 제 2 재료는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하는 것인, 기판 제공 단계, 소수성 작용기를 포함하는 반응 가스로 제 1 표면을 반응시켜 소수성 제 1 표면을 형성하는, 제 1 표면 반응 단계, 및 기상 증착에 의해 제 2 표면 상에 금속 산화막을 퇴적시키는 단계로서, 금속 산화막의 퇴적은 소수성 제 1 표면 상에서는 저해되는 것인, 금속 산화막 퇴적 단계를 포함한다. 일 실시예에 따르면, 제 1 재료는 산화물 표면을 포함할 수 있고 제 2 재료는 비산화물(non-oxide) 표면을 포함할 수 있다.
도 1은 본 발명의 실시예에 따른 기판 상의 선택적 막 퇴적에 대한 프로세스 플로우(100)를 도시한다. 또한 도 2a를 참조하면, 프로세스 플로우(100)는 단계(102)에서 제 1 표면(201A)을 갖는 제 1 재료(201) 및 제 2 표면(202A)을 갖는 제 2 재료(202)를 포함하는 기판(200)을 제공하는 단계로서, 제 1 재료(201)는 유전체 재료를 포함하며 제 2 재료(202)는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하는 것인, 기판(200) 제공 단계를 포함한다. 도 2a에 도시된 실시예에서, 제 1 표면(201A) 및 제 2 표면(202A)은 적어도 실질적으로 동일한 평면에 놓인 수평 표면들이다.
제 1 재료(201)는, 예를 들어 SiO2, 로우 k(low-k) 유전체 재료, 또는 하이 k(high-k) 유전체 재료를 포함할 수 있다. 로우 k 유전체 재료는, 대략 4(예를 들어, 열적으로 성장된 실리콘 이산화물에 대한 유전 상수는 3.8 내지 3.9의 범위일 수 있다)인 SiO2의 유전 상수보다 작은 공칭(nominal) 유전 상수를 갖는다. 하이 k 유전체 재료들은 SiO2의 유전 상수보다 큰 공칭 유전 상수를 갖는다.
로우 k 유전체 재료들은 3.7보다 작은 유전 상수 또는 1.6 내지 3.7 범위의 유전 상수를 가질 수 있다. 로우 k 유전체 재료들은 불소화 실리콘 글래스(fluorinated silicon glass; FSG), 탄소 도핑된 산화물, 폴리머, SiCOH 함유 로우 k 재료, 비다공성(non-porous) 로우 k 재료, 다공성 로우 k 재료, 스핀 온 유전체(spin-on dielectric; SOD) 로우 k 재료, 또는 임의의 다른 적절한 유전체 재료를 포함할 수 있다. 로우 k 유전체 재료들은, 작은 보이드(void)들[또는 포어(pore)들]을 생성하도록 경화(curing) 또는 퇴적 프로세스 동안 막의 완전한 고밀화(densification)를 저해하는 CH3 본드(bond)들을 갖는 실리콘 산화물 기반 매트릭스와 같은 단상(single-phase)으로 이루어진 다공성 무기 유기 혼성(hybrid) 막들을 포함한다. 또한 대안적으로, 이들 유전체 층들은 경화 프로세스 동안 분해되고 증발되는 유기 재료[예를 들어, 포로겐(porogen)]의 포어들을 갖는 탄소 도핑된 실리콘 산화물 기반 매트릭스와 같은 적어도 2개의 상들로 이루어진 다공성 무기 유기 혼성 막들을 포함할 수 있다.
또한, 로우 k 재료들은 SOD 기술들을 사용하여 퇴적되는 수소 실세스퀴옥산(hydrogen silsesquioxane; HSQ) 또는 메틸 실세스퀴옥산(methyl silsesquioxane; MSQ)과 같은 실리케이트 기반 재료를 포함한다. 그러한 막들의 예시들은 Dow Corning으로부터 상업적으로 이용가능한 FOx® HSQ, Dow Corning으로부터 상업적으로 이용가능한 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 상업적으로 이용가능한 JSR LKD-5109를 포함한다.
일 실시예에 따르면, 금속 함유 재료는 금속, 금속 질화물, 및 이들의 조합으로 이루어진 군(group)으로부터 선택될 수 있다. 일 예시에서, 금속 함유 재료는 W, Cu, Co, Ru, TiN, TaN, TaSiN, TiSiN, CoSi, 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다. 일 예시에서, 반도체 재료는 Si, Ge, 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다.
프로세스 플로우(100)는 단계(104)에서 소수성 작용기를 포함하는 반응 가스로 제 1 표면(201A)을 반응시켜 도 2b에 도시된 소수성 제 1 표면(201B)을 형성하는, 제 1 표면(201A) 반응 단계를 포함한다. 일 실시예에 따르면, 방법은 제 2 표면(202A)으로부터 산화물 층을 제거하여 제 2 표면(202A)을 반응 가스에 노출되기 전에 실질적으로 무산소화(oxygen-free)되도록 하는, 산화물 층 제거 단계를 더 포함한다. 일 예시에서, 산화물 층 제거 단계는 화학적 산화물 제거(chemical oxide removal; COR) 프로세스를 포함할 수 있다.
본 발명의 몇몇 실시예들에 따르면, 반응 가스는 알킬 실란(alkyl silane), 알콕시실란(alkoxysilane), 알킬 알콕시실란, 알킬 실록산(siloxane), 알콕시실록산(alkoxysiloxane), 알킬 알콕시실록산, 아릴(aryl) 실란, 아실(acyl) 실란, 아릴 실록산, 아실 실록산, 실라잔(silazane), 또는 이들의 조합을 포함하는 실리콘 함유 가스를 포함할 수 있다.
본 발명의 몇몇 실시예들에 따르면, 반응 가스는 DMSDMA(dimethylsilane dimethylamine), TMSDMA(trimethylsilane dimethylamine), BDMADMS[bis(dimethylamino) dimethylsilane], 및 다른 알킬 아민(amine) 실란들로부터 선택될 수 있다. 다른 실시예들에 따르면, 반응 가스는 N,O BSTFA(bistrimethylsilyltrifluoroacetamide) 및 TMS-pyrrole(trimethylsilyl-pyrrole)로부터 선택될 수 있다.
본 발명의 몇몇 실시예들에 따르면, 반응 가스는 실라잔 화합물(compound)들로부터 선택될 수 있다. 실라잔들은 포화된 실리콘 질화물 혼성들이다. 이들은 구조가 --O--를 대체하는 --NH―를 갖는 실록산들과 유사하다. 유기 실라잔 프리커서(precursor)는 Si 원자(들)에 본딩되는 적어도 하나의 알킬기(alkyl group)를 더 포함할 수 있다. 알킬기는, 예를 들어 메틸기(methyl group), 에틸기(ethyl group), 프로필기(propyl group), 또는 부틸기(butyl group), 또는 이들의 조합들일 수 있다. 또한, 알킬기는 페닐기(phenyl group)와 같은 고리모양 탄화수소기(cyclic hydrocarbon group)일 수 있다. 또한, 알킬기는 비닐기(vinyl group)일 수 있다. 다이실라잔(disilazane)들은 실리콘 원자들에 부착되는 1개 내지 6개의 메틸기를 갖는 또는 실리콘 원자들에 부착되는 1개 내지 6개의 에틸기를 갖는 화합물들이며, 또는 다이실라잔 분자는 실리콘 원자들에 부착되는 메틸기 및 에틸기의 조합을 갖는다.
일 실시예에서, 제 1 표면(201A)은 -OH 종단(termination)의, 예를 들어 Si-OH의 박막을 포함할 수 있고, 반응 가스에의 노출은 제 1 표면(201A) 상의 -OH 종단을 반응 가스 내의 소수성 작용기, 예를 들어 -SiMe3로 대체시킨다. 소수성 작용기는 따라서 메틸 종단화된다(methyl-terminated). 소수성 작용기의 존재는 소수성 제 1 표면(201B) 상의 금속 산화막의 퇴적을 저해한다. 제 1 표면(201A)과 대조적으로, 제 2 표면(202A)은 반응 가스에 의해 변형되지 않는다.
프로세스 플로우(100)는 단계(106)에서 기상 증착에 의해 제 2 표면(202A) 상에 금속 산화막(204)을 퇴적시키는 단계를 더 포함하며, 도 2c에 도시된 바와 같이 소수성 제 1 표면(201B) 상의 금속 산화막(204)의 퇴적이 저해된다. 일 예시에서, 금속 산화막(204)은 제 2 표면(202A) 상의 캡(cap) 층으로서 역할할 수 있다.
금속 산화막은, 예를 들어 원자 층 증착(atomic layer deposition; ALD), 플라즈마 강화 ALD(plasma-enhanced ALD; PEALD), 화학적 기상 증착(chemical vapor deposition; CVD), 플라즈마 강화 CVD(plasma-enhanced CVD; PECVD), 또는 펄스 CVD(pulsed CVD)에 의해 퇴적될 수 있다. 일 실시예에 따르면, 금속 산화막은 HfO2, ZrO2, TiO2, Al2O3, 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다. 몇몇 예시들에서, 금속 산화막은 금속 유기 프리커서 및 산화제(oxidizer)[예를 들어, H2O, H2O2, 플라즈마 여기(plasma-excited) O2 또는 O3]의 교호(alternating) 노출들을 사용하는 ALD에 의해 퇴적될 수 있다.
단계들(104 및 106)은, 제 2 표면(202A) 상의 금속 산화막(204)의 두께를 증가시키고 퇴적 프로세스의 선택비(selectivity)를 증가시키기 위해 프로세스 화살표(108)에 의해 나타내어진 바와 같이 적어도 1회 반복될 수 있다. 단계(104)를 반복하는 것은 포화 노출에 이은 탈착(desorption)에 의해 소수성 제 1 표면(201B)으로부터 손실된 임의의 소수성 작용기를 보충할 수 있다. 단계들(104 및 106)을 1회 이상 반복하는 것은 소수성 제 1 표면(201B) 상의 퇴적을 위한 잠복기(incubation period)를 연장시키고 제 2 표면(202A) 상에 향상된 선택적 금속 산화막 퇴적을 제공한다.
도 3은 본 발명의 실시예에 따른 기판 상의 선택적 막 퇴적에 대한 프로세스 플로우(300)를 도시한다. 단계들(302 내지 306)은 도 1 내의 프로세스 플로우(100)에서의 단계들(102 내지 106)과 유사하다. 또한 도 4a 내지 도 4d를 참조하면, 프로세스 플로우(300)는 단계(302)에서 제 1 표면(401A)을 갖는 제 1 재료(401) 및 제 2 표면(402A)을 갖는 제 2 재료(402)를 포함하는 기판(400)을 제공하는 단계로서, 제 1 재료(401)는 유전체 재료를 포함하며 제 2 재료(402)는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하는 것인, 기판(400) 제공 단계를 포함한다.
프로세스 플로우(300)는 단계(304)에서 소수성 작용기를 포함하는 반응 가스로 제 1 표면(401A)을 반응시켜 도 4b에 도시된 소수성 제 1 표면(401B)을 형성하는, 제 1 표면(401A) 반응 단계를 포함한다. 일 실시예에 따르면, 방법은 제 2 표면(402A)으로부터 산화물 층을 제거하여 제 2 표면(402A)을 반응 가스에 노출되기 전에 실질적으로 무산소화되도록 하는, 산화물 층 제거 단계를 더 포함한다. 일 예시에서, 산화물 층 제거 단계는 화학적 산화물 제거(COR) 프로세스를 포함할 수 있다.
프로세스 플로우(300)는 단계(306)에서 기상 증착에 의해 제 2 표면(402A) 상에 금속 산화막(404)을, 소수성 제 1 표면(401B) 상에 추가적인 금속 산화막(409)을 퇴적시키는 단계로서, 추가적인 금속 산화막(409)의 두께는 금속 산화막(404)의 두께보다 작은 것인, 추가적인 금속 산화막(409) 퇴적 단계를 더 포함한다. 두께에서의 이 차이는 소수성 제 1 표면(401B) 상에는 저해되는 추가적 금속 산화막(409)의 퇴적으로 인한 것이다.
단계들(304 및 306)은, 제 2 표면(402A) 상의 금속 산화막(404)의 두께를 증가시키고 퇴적 프로세스의 선택비를 증가시키기 위해 프로세스 화살표(308)에 의해 나타내어진 바와 같이 적어도 1회 반복될 수 있다. 단계(404)를 반복하는 것은 포화 노출에 이은 탈착에 의해 소수성 제 1 표면(401B)으로부터 손실된 임의의 소수성 작용기를 보충할 수 있다. 단계들(404 및 406)을 1회 이상 반복하는 것은 소수성 제 1 표면(401B) 상의 퇴적을 위한 잠복기를 연장시키고 제 2 표면(402A) 상에 향상된 선택적 금속 산화막 퇴적을 제공한다.
프로세스 플로우(300)는 단계(310)에서 소수성 제 1 표면(401B)으로부터 추가적인 금속 산화막(409)을 제거하여 도 4d에 도시된 바와 같이 금속 산화막(404)을 소수성 제 1 표면(401B) 상에는 형성하지 않고 제 2 표면(402A) 상에 선택적으로 형성하는, 금속 산화막(409) 제거 단계를 더 포함한다. 일 예시에서, 금속 산화막(409) 제거 단계는 원자 층 에칭(atomic layer etching; ALE) 프로세스를 포함한다.
단계들(304, 306, 및 310)은 프로세스 화살표(312)에 의해 나타내어진 바와 같이 적어도 한 반 반복될 수 있다. 이는 소수성 제 1 표면(401B) 상의 금속 산화물 퇴적을 위한 잠복기를 연장시키고 제 2 표면(402A) 상에 향상된 선택적 금속 산화막 형성을 제공한다.
일 실시예에 따르면, 제 1 표면은 제 1 재료의 수평 표면 및 제 1 재료 내에 형성되는 리세싱된 피처의 수직 표면을 포함하고, 제 2 표면은 리세싱된 피처 내의 금속 함유 재료의 표면을 포함한다. 일 예시에서 금속 함유 재료의 표면은 리세싱된 피처의 바닥부에 있는 금속화(metallization) 층일 수 있다.
도 12는 본 발명의 실시예에 따른 SiO2 층의 변형을 개략적으로 도시한다. SiO2 층은, 예를 들어 도 2a 내의 제 1 표면(201A)을 갖는 제 1 재료(201)를 포함할 수 있다. 실릴화(silylation) 가스, TMSDMA(trimethylsilyl dimethylamine)가 SiO2 층 상에서 친수성 부위(hydrophilic site)(-OH)와 반응하여 SiO2 층 상에 소수성 부위(-SiMe3)를 형성한다. 반응에 이어서 SiO2 층으로부터 NHMe2기(NHMe2 group)가 탈착된다. 소수성 부위는 SiO2 층 상의 금속 산화물 층(예를 들어, HfO2, ZrO2)의 퇴적을 저해한다. 소수성 부위를 형성하는 프로세스는 또한 로우 k 복원(low-k restoration; LKR)으로 칭해진다.
도 5는 본 발명의 일 실시예에 따른 기판 상의 막 퇴적에 대한 표면 변형의 효과들을 도시한다. 자취(trace, 501)는 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 HfO2 막들의 두께들 도시하고, 자취(502)는 변형된 화학적 산화물 층 상에 ALD에 의해 퇴적된 HfO2 막들의 두께를 도시한다. 화학적 산화물 층은 TMSDMA의 과포화(oversaturation) 노출에 의해 변형되었다. ALD 프로세스 및 화학적 산화물 층의 변형 둘 다는 250 °C의 기판 온도에서 수행되었지만, 분리된 프로세스 챔버들에서 수행되었다. 다른 실시예들은 ALD 프로세스 및 화학적 산화물 층의 변형을 동일한 프로세스 챔버 내에서 수행하는 것을 고려한다. 사이클 수(cycle count)는 ALD 프로세스 동안의 하프늄 프리커서 및 산소 함유 가스의 교호 노출들의 수를 지칭한다. 도 5는 변형된 화학적 산화물 층 상의 HfO2 퇴적이 저해된 것을 보여준다. 저해된 HfO2 퇴적은 변형된 화학적 산화물 층 상의 흡수된 소수성 리간드(ligand)들(-SiMe3)에 의해 제공된 핵생성(nucleation) 딜레이로 인한 것이다.
도 6은 본 발명의 일 실시예에 따른 기판 상의 막 퇴적에 대한 표면 변형의 효과들을 도시한다. 자취(601)는 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께들 도시하고, 자취(602)는 변형된 화학적 산화물 층 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다. 화학적 산화물 층은 TMSDMA의 과포화 노출에 의해 변형되었다. ALD 프로세스 및 화학적 산화물 층의 변형 둘 다는 250 °C의 기판 온도에서 수행되었다. 사이클 수는 ALD 프로세스 동안의 지르코늄 프리커서 및 산소 함유 가스의 교호 노출들의 수를 지칭한다. 도 6은 변형된 화학적 산화물 층 상의 ZrO2 퇴적이 저해된 것을 보여준다. 저해된 ZrO2 퇴적은 변형된 화학적 산화물 층 상의 흡수된 소수성 리간드들(-SiMe3)에 의해 제공된 핵생성 딜레이로 인한 것이다.
도 7은 본 발명의 일 실시예에 따른 기판 상의 막 퇴적에 대한 표면 변형 및 기판 온도의 효과들을 도시한다. 자취(701)는 250 °C의 기판 온도에서 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 HfO2 막들의 두께를 도시한다. 자취(701)는 변형된 화학적 산화물 층(SiO2) 상에 180 °C의 기판 온도에서 ALD에 의해 퇴적된 HfO2 막들의 두께들 도시하고, 자취(502)는 변형된 화학적 산화물 층 상에 250 °C의 기판 온도에서 ALD에 의해 퇴적된 HfO2 막들의 두께를 도시한다. 화학적 산화물 층은 TMSDMA의 과포화 노출에 의해 변형되었다. 사이클 수는 ALD 프로세스 동안의 하프늄 프리커서 및 산소 함유 가스의 교호 노출들의 수를 지칭한다. 도 7은 변형된 화학적 산화물 층 상의 HfO2 퇴적이 저해된 것을 보여주며, 또한 250 °C의 기판 온도가 180 °C의 더 낮은 기판 온도보다 선택적 퇴적에 대해 더 효과적임을 보여준다.
도 8은 본 발명의 일 실시예에 따른 기판 상의 막 퇴적에 대한 표면 변형의 효과들을 도시한다. 자취(801)는 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 HfO2 막들의 두께를 도시한다. 자취(802)는 변형된 화학적 산화물 층 상에 ALD에 의해 퇴적된 HfO2 막들의 두께를 도시한다. 자취(803)는, 표면 변형이 6번의 ALD 사이클들마다 반복된 변형된 화학적 산화물 층 상에 ALD에 의해 퇴적된 HfO2 막들의 두께를 도시한다. 화학적 산화물 층은 TMSDMA의 과포화 노출에 의해 변형되었다. ALD 프로세스 및 화학적 산화물 층의 변형 둘 다는 250 °C의 기판 온도에서 수행되었다. 사이클 수는 ALD 프로세스 동안의 지르코늄 프리커서 및 산소 함유 가스의 교호 노출들의 수를 지칭한다. 도 8은 변형된 화학적 산화물 층 상의 HfO2 퇴적이 저해된 것, 및 표면 변형을 6번의 ALD 사이클들마다 반복한 것이 HfO2 퇴적을 더욱 저해한 것을 보여준다. 반복된 표면 변형이 포화 노출에 이은 탈착에 의해 소수성 제 1 표면으로부터 손실된 임의의 소수성 작용기를 보충한 것으로 생각된다.
도 9는 본 발명의 일 실시예에 따른 기판 상의 막 퇴적에 대한 표면 변형의 효과들을 도시한다. 자취(901)는 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다. 자취(902)는 변형된 화학적 산화물 층 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다. 자취(903)는, 표면 변형이 6번의 ALD 사이클들마다 반복된 변형된 화학적 산화물 층 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다. 화학적 산화물 층은 TMSDMA의 과포화 노출에 의해 변형되었다. ALD 프로세스 및 화학적 산화물 층의 변형 둘 다는 250 °C의 기판 온도에서 수행되었다. 사이클 수는 ALD 프로세스 동안의 지르코늄 프리커서 및 산소 함유 가스의 교호 노출들의 수를 지칭한다. 도 9는 변형된 화학적 산화물 층 상의 ZrO2 퇴적이 저해된 것, 및 표면 변형을 6번의 ALD 사이클들마다 반복한 것이 ZrO2 퇴적을 더욱 저해한 것을 보여준다. 반복된 표면 변형이 포화 노출에 이은 탈착에 의해 소수성 제 1 표면으로부터 손실된 임의의 소수성 작용기를 보충한 것으로 생각된다.
도 10에서, 자취(1002)는, ALD 프로세스가 6번의 사이클들마다 중단되었고 기판이 ALD 프로세스 챔버로부터 불활성(inert) 가스에 노출된 제 2 프로세스 챔버 내로 이동된, 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다. 이는, 화학적 산화물 층을 변형시키기 위해 반응 가스에의 노출 동안 경과된 시간을 시뮬레이션하기 위해 행해졌다. 자취(1001)는, ALD 프로세스가 자취(1002)에서와 같이 중단되지 않은, 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다. 도 10은 ZrO2 퇴적이 ALD 중단 및 제 2 프로세스 챔버 안팎으로의 이송에 의해 영향받지 않은 것을 보여준다. 비교를 위해, 도 11은 기판을 6번의 ALD 사이클들마다 ALD 사이클들 후에 제 2 프로세스 챔버 내에서 포화량의 TMSDMA에 노출시킨 효과를 보여준다. 자취(1101)는 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시하고, 자취(1102)는, ALD 프로세스가 6번의 사이클들마다 중단되었고 기판이 ALD 프로세스 챔버로부터 TMSDMA 가스에 노출된 제 2 프로세스 챔버 내로 이동된, 화학적 산화물 층(SiO2) 상에 ALD에 의해 퇴적된 ZrO2 막들의 두께를 도시한다.
도 13은 본 발명의 실시예에 따른 기상 증착을 사용하는 선택적 막 퇴적을 위한 단일 프로세스 챔버를 포함하는 프로세싱 시스템을 개략적으로 도시한다. 프로세스 챔버는, 기판을 지지하고 가열하기 위한 기판 홀더(스테이지 히터), 프로세스 챔버 내에 감소된 압력 환경을 제공하기 위한 진공 펌프들, 및 가스들을 프로세스 챔버 내에 도입시키기 위한 가스 분배 플레이트를 포함한다. 가스 분배 플레이트는, 금속 유기 프리커서(예를 들어, 하프늄 함유 프리커서 또는 지르코늄 함유 프리커서), 산화제, 및 반응 가스(예를 들어, TMSDMA)를 포함하는 몇몇 가스 소스들에 유체 연결된다. 프로세스 챔버는 또한 플라즈마 소스를 사용하여 프로세스 챔버 내에 플라즈마 여기 가스를 생성하도록 구성될 수 있다. 일 예시에서, 플라즈마 여기 가스는 원격(remote) 플라즈마 소스에 의해 생성되어 프로세스 챔버 내에 유입될 수 있다.
도 14는 본 발명의 실시예에 따른 기상 증착을 사용하는 선택적 막 퇴적을 위한 복수의 프로세스 챔버들을 포함하는 프로세싱 시스템을 개략적으로 도시한다. 예시적인 프로세싱 시스템은, 5개의 기판들(W) 각각이 그를 통해 회전하는 5개의 프로세스 챔버들[격실(compartment)들]을 포함한다. 프로세싱 시스템은 프로세싱 공간을 분리된 프로세스 챔버들(파티션들)로 분할하는 주변 측벽 파티션들을 포함한다. 회전 플래터(platter)는, 각 프로세스 챔버 내에서의 노출 시간을 제어하도록 제어가능한 속도로 프로세싱 공간 내에 기판들(W)을 지지한다. 일 실시예에 따르면, 기판은 기판을 반응 가스(예를 들어, TMSDMA)에 노출시킴으로써 프로세스 챔버(1) 내에서 프로세싱되고, 그 후 프로세스 챔버(2)에서 기판은 금속 유기 프리커서에 노출된다. 그 후, 기판으로부터 과잉 금속 유기 프리커서 및 부산물(by-product)들을 제거하기 위해 프로세스 챔버(3) 내에서 불활성 가스를 사용하는 퍼지(purge)가 수행된다. 그 후, 기판은 프로세스 챔버(4) 내에서 산화제에 노출되어 기판 상에 금속 산화막을 형성한다. 그 후, 기판으로부터 과잉 산화제 및 부산물들을 제거하기 위해 프로세스 챔버(5) 내에서 불활성 가스를 사용하는 퍼지가 수행된다. 프로세스 챔버들(2 내지 5) 내에서의 프로세싱은 1회 이상 반복될 수 있고 각 반복은 1회의 ALD 사이클에 대응한다. 몇몇 실시예들에서, 프로세스 챔버(1) 내에서의 프로세싱은 1회 이상의 ALD 사이클 후에 반복될 수 있다. 예를 들어, 프로세스 챔버(1) 내에서의 프로세싱은 6번의 ALD 사이클들마다 반복될 수 있다. 프로세싱 시스템은 프로세싱 시스템의 안팎으로 기판을 이송하기 위한 게이트 벨브를 더 포함한다.
도 15는 본 발명의 실시예에 따른 2개의 프로세스 챔버들을 포함하는 프로세싱 시스템을 개략적으로 도시한다. 제 1 프로세스 챔버는 기판 표면을 실질적으로 무산소화되도록 하기 위한 화학적 산화물 제거(COR) 챔버이다. COR 챔버는 HF 가스 및 NH3 가스를 교호 또는 동시 노출하여 기판 표면 상에 반응 부산물들을 형성하도록 구성될 수 있다. 제 2 프로세스 챔버는 상승된 온도에서 기판 표면으로부터 반응 부산물들을 제거하기 위해 사용될 수 있다. 제 2 프로세스 챔버는 또한 COR 프로세스 이후에 반응 가스(예를 들어, TMSDMA)에의 노출들을 위해 사용될 수 있다. 2개의 프로세스 챔버들은 진공 상태 하에 유지되고, 따라서 실질적으로 무산소화된 기판 표면의 반응 가스 노출을 가능하게 한다.
기상 증착을 사용하여 상이한 재료 표면들 상의 막의 선택적 퇴적을 위한 복수의 실시예들이 설명되었다. 본 발명의 실시예들의 상술한 설명은 예시 및 설명의 목적들을 위해 제시되었다. 이는 포괄적으로 되거나 또는 본 발명을 개시된 엄밀한 형태들에 제한하도록 의도되지 않는다. 이 설명 및 이어지는 청구항들은 설명 목적들을 위해 사용되고 제한적으로 해석되지 않아야 할 용어들을 포함한다. 당업자는 위에서의 교시에 비추어 많은 변형예들 및 변경예들이 가능하다는 점을 이해할 수 있다. 따라서 본 발명의 범위가 이 상세한 설명에 의해서가 아닌 본원에 첨부된 청구항들에 의해 제한되는 것으로 의도된다.

Claims (20)

  1. 기판 상의 선택적 막 퇴적 방법에 있어서,
    제 1 표면을 갖는 제 1 재료 및 제 2 표면을 갖는 제 2 재료를 포함하는 기판을 제공하는 단계로서, 상기 제 1 재료는 유전체 재료를 포함하고 상기 제 2 재료는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하는 것인, 상기 기판을 제공하는 단계;
    소수성 제 1 표면을 형성하기 위해 소수성(hydrophobic) 작용기(functional group)를 포함하는 반응 가스로 상기 제 1 표면을 반응시키는 단계;
    기상 증착(gas phase deposition)에 의해 상기 제 2 표면 상에 금속 산화막을 퇴적시키고, 상기 소수성 제 1 표면 상에 추가적인 금속 산화막을 퇴적시키는 단계로서, 상기 금속 산화막의 퇴적은 상기 소수성 제 1 표면 상에서는 저해되고, 상기 추가적인 금속 산화막의 두께는 상기 금속 산화막의 두께보다 작은 것인, 상기 금속 산화막 및 추가적인 금속 산화막을 퇴적시키는 단계; 및
    상기 금속 산화막을 상기 소수성 제 1 표면 상에는 형성하지 않고 상기 제 2 표면 상에 선택적으로 형성하기 위해, 상기 소수성 제 1 표면으로부터 상기 추가적인 금속 산화막을 제거하는 단계
    를 포함하는, 기판 상의 선택적 막 퇴적 방법.
  2. 기판 상의 선택적 막 퇴적 방법에 있어서,
    제 1 표면을 갖는 제 1 재료 및 제 2 표면을 갖는 제 2 재료를 포함하는 기판을 제공하는 단계로서, 상기 제 1 재료는 유전체 재료를 포함하며, 상기 제 2 재료는 반도체 재료, 또는 금속 산화물을 배제한 금속 함유 재료를 포함하고, 상기 제 1 표면은 상기 제 1 재료의 수평 표면 및 상기 제 1 재료 내에 형성되는 리세싱된 피처의 수직 표면을 포함하고, 상기 제 2 표면은 상기 리세싱된 피처 내의 상기 금속 함유 재료의 표면을 포함하는 것인, 상기 기판을 제공하는 단계;
    소수성 제 1 표면을 형성하기 위해 소수성(hydrophobic) 작용기(functional group)를 포함하는 반응 가스로 상기 제 1 표면을 반응시키는 단계;
    기상 증착(gas phase deposition)에 의해 상기 제 2 표면 상에 금속 산화막을 퇴적시키고, 상기 소수성 제 1 표면 상에 추가적인 금속 산화막을 퇴적시키는 단계로서, 상기 금속 산화막의 퇴적은 상기 소수성 제 1 표면 상에서는 저해되고, 상기 추가적인 금속 산화막의 두께는 상기 금속 산화막의 두께보다 작은 것인, 상기 금속 산화막 및 추가적인 금속 산화막을 퇴적시키는 단계; 및
    상기 금속 산화막을 상기 소수성 제 1 표면 상에는 형성하지 않고 상기 제 2 표면 상에 선택적으로 형성하기 위해, 상기 소수성 제 1 표면으로부터 상기 추가적인 금속 산화막을 제거하는 단계
    를 포함하는, 기판 상의 선택적 막 퇴적 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 표면을 반응시키는 단계 및 상기 금속 산화막 및 추가적인 금속 산화막을 퇴적시키는 단계를 적어도 1회 반복하는 단계를 더 포함하는, 기판 상의 선택적 막 퇴적 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 추가적인 금속 산화막을 제거하는 단계는 원자 층 에칭(atomic layer etching; ALE) 프로세스를 포함하는 것인, 기판 상의 선택적 막 퇴적 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 추가적인 금속 산화막을 제거하는 단계에 이어서, 상기 제 1 표면을 반응시키는 단계, 상기 금속 산화막 및 추가적인 금속 산화막을 퇴적시키는 단계, 및 상기 추가적인 금속 산화막을 제거하는 단계를 적어도 1회 반복하는 단계를 더 포함하는, 기판 상의 선택적 막 퇴적 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 표면 및 상기 제 2 표면은 적어도 동일한 평면에 놓인 수평 표면들인 것인, 기판 상의 선택적 막 퇴적 방법.
  7. 삭제
  8. 제 2 항에 있어서,
    상기 리세싱된 피처를 상기 금속 산화막 및 추가적인 금속 산화막으로 적어도 충전하기 위해, 상기 제 1 표면을 반응시키는 단계 및 상기 금속 산화막 및 추가적인 금속 산화막을 퇴적시키는 단계를 적어도 1회 반복하는 단계를 더 포함하는, 기판 상의 선택적 막 퇴적 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 표면은 하이드록실(hydroxyl) 종단화되고(terminated), 상기 소수성 제 1 표면은 메틸(methyl) 종단화되는 것인, 기판 상의 선택적 막 퇴적 방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 금속 함유 재료는 금속, 금속 질화물, 및 이들의 조합으로 이루어진 군(group)으로부터 선택되는 것인, 기판 상의 선택적 막 퇴적 방법.
  14. 제 1 항 또는 제 2 항에 있어서,
    상기 금속 함유 재료는 W, Cu, Co, Ru, TiN, TaN, TaSiN, TiSiN, CoSi, 및 이들의 조합으로 이루어진 군으로부터 선택되고, 상기 반도체 재료는 Si, Ge, 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인, 기판 상의 선택적 막 퇴적 방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 금속 산화막은 HfO2, ZrO2, TiO2, Al2O3, 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인, 기판 상의 선택적 막 퇴적 방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    상기 유전체 재료는 SiO2, SiN, SiON, 로우 k(low-k) 재료, 하이 k(high-k) 재료, 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인, 기판 상의 선택적 막 퇴적 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 반응 가스는 실리콘 함유 가스를 포함하는 것인, 기판 상의 선택적 막 퇴적 방법.
  18. 제 17 항에 있어서,
    상기 실리콘 함유 가스는 알킬 실란(alkyl silane), 알콕시실란(alkoxysilane), 알킬 알콕시실란, 알킬 실록산(siloxane), 알콕시실록산(alkoxysiloxane), 알킬 알콕시실록산, 아릴(aryl) 실란, 아실(acyl) 실란, 아릴 실록산, 아실 실록산, 실라잔(silazane), 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인, 기판 상의 선택적 막 퇴적 방법.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 반응 가스는 DMSDMA(dimethylsilane dimethylamine), TMSDMA(trimethylsilane dimethylamine), BDMADMS[bis(dimethylamino) dimethylsilane], N,O BSTFA(bistrimethylsilyltrifluoroacetamide), TMS-pyrrole(trimethylsilyl-pyrrole), 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인, 기판 상의 선택적 막 퇴적 방법.
  20. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 표면를 반응시키는 단계 전에, 상기 제 2 표면을 무산소화(oxygen-free)되도록 하기 위해 상기 제 2 표면으로부터 산화물 층을 제거하는 단계를 더 포함하는, 기판 상의 선택적 막 퇴적 방법.
KR1020170087813A 2016-07-11 2017-07-11 주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치 KR102003591B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662360903P 2016-07-11 2016-07-11
US62/360,903 2016-07-11

Publications (2)

Publication Number Publication Date
KR20180006864A KR20180006864A (ko) 2018-01-19
KR102003591B1 true KR102003591B1 (ko) 2019-07-24

Family

ID=60910533

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170087813A KR102003591B1 (ko) 2016-07-11 2017-07-11 주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치

Country Status (3)

Country Link
US (1) US10580644B2 (ko)
JP (1) JP6516797B2 (ko)
KR (1) KR102003591B1 (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
TWI772516B (zh) * 2017-09-12 2022-08-01 美商應用材料股份有限公司 藉由化學蝕刻去除選擇性沉積缺陷
US11404275B2 (en) * 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
KR102580108B1 (ko) * 2018-03-20 2023-09-18 도쿄엘렉트론가부시키가이샤 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
US11217456B2 (en) * 2018-03-26 2022-01-04 Intel Corporation Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
WO2019200234A1 (en) * 2018-04-13 2019-10-17 Applied Materials, Inc. Methods of selective atomic layer deposition
US10782613B2 (en) 2018-04-19 2020-09-22 International Business Machines Corporation Polymerizable self-assembled monolayers for use in atomic layer deposition
KR102582496B1 (ko) * 2018-05-28 2023-09-26 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10964527B2 (en) * 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
US10615037B2 (en) 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
US10665461B2 (en) 2018-09-24 2020-05-26 International Business Machines Corporation Semiconductor device with multiple threshold voltages
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US10749011B2 (en) 2018-10-24 2020-08-18 International Business Machines Corporation Area selective cyclic deposition for VFET top spacer
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
US10886462B2 (en) 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
US11063126B2 (en) 2019-02-28 2021-07-13 International Business Machines Corporation Metal contact isolation for semiconductor structures
US10886166B2 (en) 2019-03-08 2021-01-05 International Business Machines Corporation Dielectric surface modification in sub-40nm pitch interconnect patterning
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
JP7311628B2 (ja) * 2019-04-30 2023-07-19 マトソン テクノロジー インコーポレイテッド メチル化処理を使用した選択的な堆積
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11637036B2 (en) 2020-01-30 2023-04-25 International Business Machines Corporation Planarization stop region for use with low pattern density interconnects
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11562908B2 (en) 2020-04-28 2023-01-24 International Business Machines Corporation Dielectric structure to prevent hard mask erosion
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160172189A1 (en) * 2014-12-15 2016-06-16 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1961042A2 (en) * 2005-12-07 2008-08-27 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9252359B2 (en) 2013-03-03 2016-02-02 Adesto Technologies Corporation Resistive switching devices having a switching layer and an intermediate electrode layer and methods of formation thereof
JP2018532271A (ja) 2015-10-15 2018-11-01 東京エレクトロン株式会社 インターコネクトのための選択的なボトムアップ式金属フィーチャ充填
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160172189A1 (en) * 2014-12-15 2016-06-16 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate

Also Published As

Publication number Publication date
KR20180006864A (ko) 2018-01-19
JP2018011057A (ja) 2018-01-18
US10580644B2 (en) 2020-03-03
US20180012752A1 (en) 2018-01-11
JP6516797B2 (ja) 2019-05-22

Similar Documents

Publication Publication Date Title
KR102003591B1 (ko) 주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치
KR102524573B1 (ko) SiOCN 박막들의 형성
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
KR102376352B1 (ko) 다공성의 낮은 유전상수 필름 상에 기공 밀봉 층을 제공하기 위한 방법 및 조성물
TW202104645A (zh) 包括光阻底層之結構及其形成方法
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US10366878B2 (en) Selective deposition through formation of self-assembled monolayers
US8765573B2 (en) Air gap formation
CN110313051A (zh) 使用远程等离子体处理使碳化硅膜致密化
KR20170135760A (ko) 표면 처리에 의한 선택적 퇴적
TWI673826B (zh) 可流動膜固化穿透深度之改進以及應力調諧
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
TWI517298B (zh) 經控制之氣隙的形成
TW201142073A (en) Conformal layers by radical-component CVD
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
CN107833825A (zh) 掺杂氧的碳化硅膜的基于远程等离子体的沉积
JPH05102040A (ja) 成膜方法
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
JP6431962B2 (ja) 単層膜が媒介する高精度の膜堆積
US20220139776A1 (en) Method for filling recessed features in semiconductor devices with a low-resistivity metal
JP2006173299A (ja) 半導体装置の製造方法
TW202242964A (zh) 利用低電阻金屬填充半導體裝置中之凹陷特徵部的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant