KR102580108B1 - 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법 - Google Patents

통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법 Download PDF

Info

Publication number
KR102580108B1
KR102580108B1 KR1020207029982A KR20207029982A KR102580108B1 KR 102580108 B1 KR102580108 B1 KR 102580108B1 KR 1020207029982 A KR1020207029982 A KR 1020207029982A KR 20207029982 A KR20207029982 A KR 20207029982A KR 102580108 B1 KR102580108 B1 KR 102580108B1
Authority
KR
South Korea
Prior art keywords
workpiece
target surface
add
modules
module
Prior art date
Application number
KR1020207029982A
Other languages
English (en)
Other versions
KR20200124313A (ko
Inventor
로버트 클락
칸다바라 타필리
제이슨 디. 메히건
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200124313A publication Critical patent/KR20200124313A/ko
Application granted granted Critical
Publication of KR102580108B1 publication Critical patent/KR102580108B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Abstract

하나 이상의 필름-형성 모듈, 하나 이상의 에칭 모듈, 및 하나 이상의 전달 모듈을 호스팅하는 공통 제조 플랫폼 상에서 실행되는, 통합된 단계들의 시퀀스를 이용하여, 반도체 공작물 상에서의 영역-선택적 침착을 하기 위한 방법이 제공된다. 제1 재료의 목표 표면 및 제1 재료와 상이한 제2 재료의 비-목표 표면을 가지는 공작물이 공통 제조 플랫폼 내로 수용된다. 비-목표 표면에서보다 더 빠른 침착 속도로 목표 표면 상에 형성되는 부가 재료를 초래하는 선택비로 부가 재료가 공작물 상에 침착되고, 그 후에 비-목표 표면을 노출시키기 위한 에칭이 이어진다. 통합된 프로세싱 단계들의 시퀀스는, 제어된 환경을 벗어나지 않고, 공통 제조 플랫폼 내에서 실행되고, 전달 모듈을 이용하여, 공작물을 제어된 환경에서 유지하면서, 공작물을 프로세싱 모듈들 사이에서 전달한다.

Description

통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
관련 출원에 대한 상호 참조
본원은, 2018년 3월 20일자로 출원되고, 명칭이 "Substrate Processing Tool with Integrated Metrology and Method of Using"인 미국 가출원 제62/645,685호, 2018년 12월 21일자로 출원되고, 명칭이 "Platform and Method for Operating for Integrated End-to-End Area Selective Deposition Process"인 미국 가출원 제62/784,155호, 2019년 1월 2일자로 출원되고, 명칭이 "Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same"인 미국 가출원 제62/787,607호, 2019년 1월 2일자로 출원되고, 명칭이 "Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same"인 미국 가출원 제62/787,608호, 및 2019년 1월 4일자로 출원되고, 명칭이 "Substrate Processing Tool with Integrated Metrology and Method of using"인 미국 가출원 제62/788,195호의 이익을 주장하고, 이들 출원은 그 전체가 본원에 참조로서 포함된다.
기술분야
본 발명은 프로세싱 플랫폼 및 그러한 플랫폼을 이용하여 반도체를 프로세스하기 위한 방법, 보다 구체적으로는, 영역-선택적 침착(ASD)을 위한 방법에 관한 것이다.
치수 축소는 집적 회로 프로세싱의 발전에서의 원동력 중 하나이다. 크기 치수를 줄이는 것에 의해서, 비용적 이득 및 소자 성능 증가가 얻어질 수 있다. 이러한 비례 축소성(scalability)은 프로세스 흐름에서, 특히 패터닝 기술에서 불가피한 복잡성을 생성한다. 예를 들어, 트랜지스터와 같은 회로가 더 작게 제조됨에 따라, 패터닝된 특징부(feature)의 임계 치수(CD) 또는 해상도는, 특히 대량 생산에서, 생성하기가 더 어려워진다. 극자외선(EUV) 리소그래피의 도입 이후에도 비용-효과적인 비례 축소가 계속될 수 있도록, 자가-정렬된 패터닝이 오버레이-기반 패터닝을 대체할 필요가 있다. 대량 제조 환경에서, 변동성을 감소시킬 수 있고, 비례 축소를 확대할 수 있고, CD 및 프로세스 제어를 향상시킬 수 있는 패터닝 옵션이 필요하나; 합리적으로 낮은 비용 및 높은 수율로 비례적으로 축소된 소자를 생산하는 것이 매우 어려워지고 있다. 선택적인 침착(deposition)은, 선택적인 에칭과 함께, 진보된 패터닝과 연관된 비용을 상당히 줄일 수 있다. 크게 비례 축소된 기술 노드에서의 패터닝에서, 갭 충진과 같은 얇은 필름의 선택적인 침착, 특정 기판 상의 유전체 및 금속의 영역 선택적 침착, 및 선택적인 하드 마스크는 중요한 단계이다.
소자가 점점 더 작은 특징부로 비례 축소됨에 따라 그리고 비례 축소로부터 초래되는 문제를 해결하기 위한 기술이 구현됨에 따라, 프로세스 흐름의 다양한 스테이지에서 제조 프로세스를 모니터링하여, 특징부 속성이 재원(specifcation) 내에 있는지 여부를 결정하는 것, 그리고 그렇지 않은 경우에, 공작물이 재원 내에 있도록 또는 후속하여 프로세스되는 공작물이 재원 내에 있도록 프로세스를 조정하는 것이 중요하다.
통상적인 소자 제조에서, 프로세스 단계는 대량 제조를 위한 다수의 분리된 독립형 툴을 이용하여 실시된다. 웨이퍼들이 하나의 툴 내로 순차적으로 적재되고, 해당 툴 내에서 하나의 프로세스 단계를 거치고, 이어서 주변 환경으로 제거되고, 다음 툴 내로의 적재를 위해서 대기열(in queue)에 배치되고, 그리고 주어진 프로세스 흐름의 다수의 단계들이 완료될 때까지 그러한 것이 계속된다. 각각의 툴을 위한 대기열에서 대기하는 동안 소비되는 시간은 Q-시간으로 지칭되고, 큰 Q-시간은 느린 생산 속도를 초래한다. 프로세스 흐름 내의 상이한 동작들에서 상이한 양의 시간이 소요될 수 있고, 그에 따라 툴들의 처리량을 매칭시키는 것(throughput matching)이 생산에서의 해결과제이다.
프로세스 흐름 내의 각각의 툴은 툴 크러스터(tool cluster)의 일부일 수 있다. 예를 들어, 5개의 동일한 에칭 툴이 전달 툴과 함께 클러스터화될 수 있고, 그에 따라 5개의 웨이퍼가 프로세스 흐름의 하나의 단계에서 동시에 에칭될 수 있고, 그에 따라 대량 생산을 가능하게 할 수 있다. 이러한 클러스터 툴의 다중성(multiplicity)은, 어떠한 이유로든지 툴이 사용되지 않는 경우에, 유리함을 제공한다. 만약 5개-툴 클러스터 내의 1개의 툴이 1주일 동안 사용되지 않는 경우에, 비록 80% 용량이지만, 생산은 계속될 수 있다. 따라서, 프로세스 흐름 내의 각각의 독립형 툴이 동일한 툴들의 클러스터일 수 있고, 그에 따라 사용되지 않는 툴이 생산을 완전히 중단시키는 것을 방지할 수 있고, 클러스터링을 이용하여 처리량 매칭 문제를 최소화할 수 있다.
통상적인 프로세싱에서, 프로세스가 재원 이내에서 동작되는지의 여부를 결정하기 위한 측정이 필요한 경우에, 독립적인 계측 툴이 포함될 수 있고, 여기에서 공작물은, 종종 공작물 상의 측정 패드를 이용한 파괴적 측정인, 측정을 하기 위해서 프로세스 흐름으로부터 주기적으로 제거되며, 결과는, 프로세스 흐름 내의 하류 단계에 대한 조정을 위해서 또는 미래의 웨이퍼를 위한 상류 단계에 대한 조정을 위해서, 프로세스 흐름 툴로 다시 제공될 수 있다. 이러한 프로세스는 주변 환경에 대한 노출, 이용 가능한 계측 툴을 위해서 대기하는 Q-시간, 및 결과를 얻기 위한 긴 측정 시간을 포함하고, 그에 따라, 피드-백 또는 피드-포워드 방식으로 프로세스 흐름에 대한 조정이 이루어질 수 있게 하기 위해서 데이터를 이용할 수 있기 전에, 상당한 시간이 흐를 수 있다. 프로세스 챔버 내에서 실시되는 공작물 속성의 실시간 측정이 이상적일 수 있지만, 프로세스 가스에 대한 측정 장치의 노출이 문제가 되고, 현장에서의 실시간 측정 및 제어를 논리적으로 어렵게 하거나 불가능하게 만든다.
따라서, 대량 제조를 위해서 (단일의 또는 클러스터화된) 다수의 분리된 독립적인 툴들을 이용하는 통상적인 접근 방식은, 비제한적으로 Q-시간 산화(즉, 웨이퍼가 툴들 사이에 위치되어 다음 툴에서의 그들의 순서를 위해서 대기함에 따라, 웨이퍼는 주변 환경으로부터 산화될 수 있다), 툴들 사이의 환경 노출로 인한 결함, 처리량 매칭 어려움으로 인한 비용 문제, 일시적 툴 공회전(temporal tool drift)(예를 들어, EPE), 실시간 챔버 매칭(예를 들어, 수율 및 EPE), 그리고 실시간 공작물 측정 및 프로세스 제어의 부족을 비롯한 문제를 초래할 수 있다. 영역-선택적 침착(ASD) 기술로 대량 생산을 가능하게 하기 위해서 이러한 문제 및 다른 문제를 해결할 필요가 있다.
실시형태에 따라, 하나 이상의 필름-형성 모듈, 하나 이상의 에칭 모듈, 및 하나 이상의 전달 모듈을 포함하는 복수의 프로세싱 모듈을 호스팅(hosting)하는 공통 제조 플랫폼 상에서 실행되는, 통합된 프로세싱 단계들의 시퀀스를 이용하는, 반도체 공작물 상에서의 선택적인 침착 방법이 제공된다. 일 실시형태에서, 통합된 프로세싱 단계들의 시퀀스는 공작물을 공통 제조 플랫폼 내로 수용하는 단계로서, 공작물은 제1 재료의 적어도 하나의 제1 목표 표면 및 제1 재료와 상이한 제2 재료의 적어도 하나의 비-목표 표면을 가지는 단계, 및 하나 이상의 필름-형성 모듈 중 하나에서 부가 재료를 공작물 상에 침착시키는 단계를 포함한다. 침착은 비-목표 표면에 대한 선택비로 이루어지고, 그 결과, 부가 재료의 층이 비-목표 표면보다 목표 표면 상에 더 빠른 침착 속도로 형성된다. 통합된 프로세싱 단계들의 시퀀스는 불필요한 부가 재료를 비-목표 표면으로부터 제거하기 위해서 공작물을 하나 이상의 에칭 모듈에서 에칭하는 단계, 및 목표 표면 상에 형성된 부가 재료의 층이 목표 두께에 도달할 때까지 침착 및 에칭을 반복하는 단계를 더 포함한다. 통합된 프로세싱 단계들의 시퀀스는 공통 제조 플랫폼 내의 제어된 환경에서 그리고 제어된 환경을 떠나지 않고 실행되며, 하나 이상의 전달 모듈을 이용하여, 공작물을 제어된 환경에서 유지하면서, 공작물을 복수의 프로세싱 모듈들 사이에서 전달한다.
관련 실시형태에서, 통합된 프로세싱 단계들의 시퀀스는, 목표 표면의 표면 말단 또는 비-목표 표면의 표면 말단, 또는 그 조합을 변경하기 위해서, 부가 재료의 층을 침착하기 전에 또는 후속 침착 단계 중에 공작물을 전처리하는 단계를 더 포함할 수 있고, 공통 제조 플랫폼 상에서 호스팅된 복수의 프로세싱 모듈은, 제어된 환경에서 전처리를 실시하기 위한 하나 이상의 전처리 모듈을 포함한다.
일 실시형태에서, 통합된 프로세싱 단계들의 시퀀스는 공작물을 공통 제조 플랫폼 내로 수용하는 단계로서, 공작물은 제1 재료의 목표 표면 및 제1 재료와 상이한 제2 재료의 비-목표 표면을 가지는 단계, 및 하나 이상의 필름-형성 모듈에서 부가 재료를 공작물 상에 침착시키는 단계를 포함한다. 침착은 비-목표 표면에 대한 선택비로 이루어지고, 그 결과, 부가 재료의 층이 비-목표 표면보다 목표 표면 상에 더 빠른 침착 속도로 형성된다. 통합된 프로세싱 단계들의 시퀀스는 불필요한 부가 재료를 비-목표 표면으로부터 제거하기 위해서 공작물을 하나 이상의 에칭 모듈 중 하나에서 에칭하는 단계, 및 공작물 상의 부가 재료의 결함, 두께, 균일성, 및/또는 선택비를 결정하기 위해서 목표 표면 및/또는 비-목표 표면 상의 부가 재료를 검사하는 단계를 더 포함한다. 검사가 부가 재료의 결함, 표면 말단, 균일성, 및/또는 선택비를 나타내거나 목표 또는 비-목표 재료가 목표 문턱값을 만족시키지 못할 때, (i) 목표 표면을 에칭하는 것, (ii) 비-목표 표면을 에칭하는 것, (iii) 추가적인 부가 재료를 공작물 상에 침착시키는 것, (iv) 공작물을 열처리하는 것, (v) 공작물을 플라즈마 처리하는 것, (vi) 부가 재료를 에칭하는 것, (vii) 목표 표면 또는 비-목표 표면의 표면 말단을 변경하기 위해서 표면 처리를 실시하는 것, 또는 그 중 둘 이상의 임의의 조합에 의해서, 교정 작업이 공작물 상에서 실시된다. 목표 표면 상의 부가 재료의 층의 두께가 목표 두께보다 얇을 때, 공작물의 침착, 에칭, 및 검사가 반복된다. 통합된 프로세싱 단계들의 시퀀스는 공통 제조 플랫폼 내의 제어된 환경에서 그리고 제어된 환경을 떠나지 않고 실행되며, 하나 이상의 전달 모듈을 이용하여, 공작물을 제어된 환경에서 유지하면서, 공작물을 복수의 프로세싱 모듈들 사이에서 전달한다.
관련 실시형태에서, 통합된 프로세싱 단계들의 시퀀스는, 목표 표면의 표면 말단 또는 비-목표 표면의 표면 말단, 또는 그 조합을 변경하기 위해서, 부가 재료의 층을 침착하기 전에 공작물을 전처리하는 단계를 더 포함할 수 있고, 공통 제조 플랫폼 상에서 호스팅된 복수의 프로세싱 모듈은, 제어된 환경에서 전처리를 실시하기 위한 하나 이상의 전처리 모듈을 포함한다.
본 명세서에 포함되어 본 명세서의 일부를 구성하는 첨부된 도면은 본 발명의 실시형태를 도시하며, 위에 주어진 본 발명의 일반적인 설명 및 아래에 주어지는 상세한 설명과 함께, 본 발명을 설명하는 역할을 한다.
도 1a 내지 도 1d는 본 발명의 실시형태에 따른 영역-선택적 필름 형성 방법에 관한 개략적인 횡단면도이다.
도 2a 내지 도 2d는 영역-선택적 침착 방법의 일 실시형태에 따른 통합된 프로세싱 단계들의 시퀀스를 개략적인 횡단면도로 도시한다.
도 3은 영역-선택적 침착을 위한 통합된 프로세스 흐름의 일 실시형태를 도시하는 흐름도이다.
도 4는 통합된 영역-선택적 침착 방법을 실시하기 위한 공통 제조 플랫폼의 일 실시형태를 도시하는 개략도이다.
도 5a 내지 도 5d는 영역-선택적 침착 방법의 다른 실시형태에 따른 통합된 프로세싱 단계들의 시퀀스를 개략적인 횡단면도로 도시한다.
도 6은 통합된 프로세싱 단계들의 시퀀스를 실시하기 위한 공통 제조 플랫폼의 일 실시형태를 도시하는 개략도이다.
도 7a는 통합된 프로세싱 단계들의 시퀀스를 실시하기 위한 공통 제조 플랫폼의 다른 실시형태를 상면도로 도시하는 개략도이고, 도 7b는 도 7a의 공통 제조 플랫폼 내에 포함된 측정 모듈의 부분적인 횡단면의 측면도이다.
도 7c는 통합된 프로세싱 단계들의 시퀀스를 실시하기 위한 공통 제조 플랫폼의 다른 실시형태를 상면도로 도시하는 개략도이고, 도 7d는 도 7c의 공통 제조 플랫폼 내에 포함된 측정 모듈의 부분적인 횡단면의 측면도이다.
영역-선택적 침착(ASD)을 위해서 통합된 플랫폼을 이용하는 방법이 제공된다. 그러나, 관련 기술 분야의 당업자는 다양한 실시형태가 하나 이상의 구체적인 세부 사항 없이 실시될 수 있거나, 다른 대체 및/또는 추가적인 방법, 재료, 또는 구성 요소로 실시될 수 있음을 인식할 것이다. 다른 경우에, 널리 알려진 구조, 재료, 또는 작업은 본 발명의 다양한 실시형태의 양태를 불명료하게 하는 것을 방지하기 위해 상세히 도시되거나 설명되지 않는다.
유사하게, 설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위해 구체적인 수, 재료, 및 구성이 기술된다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 다양한 실시형태는 예시적인 표현이며, 반드시 일정한 비율로 도시된 것은 아니라는 점을 이해한다. 도면을 참조할 때, 유사한 참조번호는 전반적으로 유사한 부분을 지칭한다.
본 명세서 전반에 걸쳐서, "일 실시형태" 또는 "실시형태" 또는 이의 변형예라는 언급은 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이들이 모든 실시형태에 존재한다는 것을 의미하지 않는다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서, "일 실시형태에서" 또는 "실시형태에서"와 같은 문구의 출현은 반드시 본 발명의 동일한 실시형태를 지칭하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조물이 다른 실시형태에 포함될 수 있거나/포함될 수 있고, 설명된 특징이 다른 실시형태에서 생략될 수 있다.
추가적으로, "a" 또는 "an"은 달리 명시적으로 기술되지 않는 한, "하나 이상"을 의미할 수 있음을 이해해야 한다.
다양한 작업은 본 발명을 이해하는 데 가장 유용한 방식으로, 다수의 개별 작업으로서 차례로 설명될 것이다. 그러나, 설명의 순서는 이들 작업이 반드시 순서에 의존하는 것임을 의미하는 것으로 해석되어서는 안된다. 특히, 이들 작업은 제시된 순서로 수행될 필요가 없다. 설명된 동작은 설명된 실시형태와는 다른 순서로 수행될 수 있다. 다양한 추가 동작이 수행될 수 있고/있거나 설명된 동작은 부가적인 실시형태에서 생략될 수 있다.
본원에서 사용된 바와 같은 "기판"이라는 용어는 재료가 그 위에 형성되는 기재 또는 구조물을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수의 층, 그 안에 상이한 구조물 또는 상이한 재료의 영역을 갖는 층 또는 층들 등을 포함할 수 있음을 이해할 것이다. 이러한 재료는 반도체, 절연체, 전도체, 또는 이들의 조합물을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 베이스 반도체 층, 하나 이상의 층, 구조물 또는 영역이 그 위에 형성된 반도체 기판 또는 금속 전극일 수 있다. 기판은 통상적인 실리콘 기판, 또는 반도체 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 바와 같은 "벌크 기판"이라는 용어는 실리콘 웨이퍼뿐만 아니라, 실리콘-온-사파이어("SOS") 기판 및 실리콘-온-글래스("SOG") 기판과 같은, 실리콘-온-인슐레이터("SOI") 기판, 베이스 반도체 토대 상의 실리콘의 에피택셜 층, 그리고 실리콘-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다. 기판은 도핑될 수 있거나 도핑되지 않을 수 있다.
본원에서 사용된 바와 같이, "공작물"이라는 용어는, 반도체 소자 제조 프로세스의 하나 이상의 단계(phase) 중에 기판 상에 형성된 재료 또는 층의 조성물을 의미하고, 공작물은 최종적으로 프로세싱의 마지막 스테이지에서 반도체 소자를 포함한다.
본 실시형태는 공통 제조 플랫폼을 이용하는 ASD를 위한 방법을 포함하고, 여기에서 다수의 프로세스 단계는 제어된 환경 내에서, 예를 들어 동작들 사이의 진공을 파괴하지 않으면서, 공통 플랫폼 상에서 실시된다. 통합된 단부-대-단부 플랫폼은 에칭 모듈 및 필름-형성 모듈 모두를 포함하고, 공작물을 제어된 환경에서 유지하면서, 예를 들어 진공을 파괴하지 않고 또는 공통 제조 플랫폼 내의 불활성 가스 보호 분위기를 떠나지 않고, 그에 따라 주변 환경에 대한 노출을 방지하면서, 공작물을 하나의 모듈로부터 다른 모듈로 전달하도록 구성된다. 임의의 ASD 프로세스가 공통 제조 플랫폼 상에서 실행될 수 있고, 통합된 단부-대-단부 플랫폼은, 수율, 결함 레벨 및 EPE를 개선하면서, 감소된 비용으로 대량 생산을 가능하게 할 것이다.
본원에서 사용된 바와 같이, "필름-형성 모듈"은 프로세스 챔버 내에서 필름 또는 층을 공작물 상에서 침착 또는 성장시키기 위한 임의의 유형의 프로세싱 툴을 지칭한다. 필름-형성 모듈은 단일 웨이퍼 툴, 배치식(batch) 프로세싱 툴, 또는 반-배치식 프로세싱 툴일 수 있다. 필름-형성 모듈 내에서 실시될 수 있는 필름 침착 또는 성장의 유형은, 예를 들어 그리고 비제한적으로, 화학 기상 증착, 플라즈마-강화 또는 플라즈마-보조 화학 기상 증착, 원자 층 증착, 물리적 기상 증착, 열 산화 또는 질화 등을 포함하고, 프로세스는 등방성, 이방성, 등각성(conformal), 선택성, 블랭킷(blanket) 등일 수 있다.
본원에서 사용된 바와 같이, "에칭 모듈"은 프로세스 챔버 내에서 공작물 상의 필름, 층, 잔류물 또는 오염물의 전부 또는 일부를 제거하기 위한 임의의 유형의 프로세싱 툴을 지칭한다. 에칭 모듈은 단일 웨이퍼 툴, 배치식 프로세싱 툴, 또는 반-배치식 프로세싱 툴일 수 있다. 에칭 모듈에서 실시될 수 있는 에칭의 유형은, 예를 들어 그리고 비제한적으로, 화학적 산화물 제거(COR), 건식 (플라즈마) 에칭, 반응성 이온 에칭, 침지 또는 비-침지 기술을 이용한 습식 에칭, 원자 층 에칭, 화학적-기계적 폴리싱, 세정, 애싱(ashing), 리소그래피 등을 포함하고, 프로세스는 등방성, 이방성, 선택성 등일 수 있다.
본원에서 사용된 바와 같이, "모듈"은 일반적으로, 프로세스 챔버, 기판 홀더 및 이동 메커니즘, 가스 공급 및 분배 시스템, 펌핑 시스템, 전기 시스템 및 제어기 등을 포함하는, 모든 하드웨어 및 소프트웨어를 집합적으로 갖는 프로세싱 툴을 지칭한다. 모듈에 관한 그러한 상세 내용은 당업계에 알려져 있고 그에 따라 본원에서 설명하지 않는다.
본원에서 사용된 바와 같이, "제어된 환경"은, 주변 대기가 배기되고 정제된 불활성 가스 또는 저압 진공 환경으로 대체된 환경을 지칭한다. 진공 환경은 대기압보다 상당히 낮고, 일반적으로 10-5 Torr 이하, 예를 들어 5x10-8 Torr이하인 것으로 이해된다. {적절한 경우에, 모든 프로세스의 경우에 부가되도록 정의를 다듬을 수 있다}
가장 넓은 관점에서, 개시 내용의 실시형태는 공작물 상에서 실시되고 공통 제조 플랫폼 상에서 실행되는 통합된 프로세싱 단계들의 시퀀스에 관한 것이고, 그러한 공통 제조 플랫폼은, 하나 이상의 필름-형성 모듈, 하나 이상의 에칭 모듈, 및 하나 이상의 전달 모듈을 포함하는 복수의 프로세싱 모듈을 호스팅한다. 통합된 프로세싱 단계들의 시퀀스는 공작물을 공통 제조 플랫폼 내로 수용하는 단계를 포함하고, 공작물은 제1 재료의 목표 표면 및 제1 재료와 상이한 제2 재료의 비-목표 표면을 갖는다. 하나 이상의 필름-형성 모듈을 이용하여, 부가 재료가 비-목표 표면에 대한 선택비를 가지고 공작물 상에 침착되고, 그 결과 부가 재료의 층이 비-목표 표면보다 목표 표면 상에 더 빠른 침착 속도로 형성된다. 이어서, 하나 이상의 에칭 모듈을 이용하여, 공작물을 에칭시켜 비-목표 표면을 노출시킨다. 통합된 프로세싱 단계들의 시퀀스는, 부가 재료의 층이 목표 두께에 도달할 때까지 반복된다. 또한, 통합된 프로세싱 단계들의 시퀀스는 공통 제조 플랫폼 내의 제어된 환경에서 그리고 제어된 환경을 떠나지 않고 실행되며, 하나 이상의 전달 모듈을 이용하여, 공작물을 제어된 환경에서 유지하면서, 공작물을 복수의 프로세싱 모듈들 사이에서 전달한다.
실시형태는, 제1 및 제2 재료 중 하나가 금속인 것, 그리고 제1 및 제2 재료 중 다른 하나가 유전체 재료인 것을 포함할 수 있다. 부가 재료는 금속 또는 유전체 재료일 수 있다. 따라서, 통합된 프로세싱 단계들의 시퀀스는 금속-위-금속(metal-on-metal) ASD, 유전체-위-유전체 ASD, 유전체-위-금속 ASD, 또는 금속-위-유전체 ASD에 관한 것일 수 있다. 목표 표면, 비-목표 표면, 또는 부가 재료 중 임의의 것을 위한 금속이, 예를 들어 그리고 비제한적으로, Cu, Al, Ta, TaN, Ti, TiN, W, Ru, Co, Ni, 또는 Mo를 포함할 수 있다. 목표 표면, 비-목표 표면, 또는 부가 재료 중 임의의 것을 위한 유전체 재료가, 예를 들어 그리고 비제한적으로, SiO2, 저-k 유전체 재료, 또는 고-k 유전체 재료를 포함할 수 있다. 저-k 유전체 재료는, 약 4인 SiO2의 유전 상수보다 작은 공칭 유전 상수를 갖는다(예를 들어, 열적으로 성장된 이산화규소의 유전 상수는 3.8 내지 3.9의 범위일 수 있다). 고-k 재료는 SiO2의 유전 상수보다 큰 공칭 유전 상수를 갖는다.
저-k 유전체 재료는 3.7 미만의 유전 상수, 또는 1.6 내지 3.7의 범위의 유전 상수를 가질 수 있다. 저-k 유전체 재료는 플루오르화 규소 유리(FSG), 탄소 도핑된 산화물, 중합체, SiCOH-함유 저-k 재료, 비-다공성 저-k 재료, 다공성 저-k 재료, 스핀-온 유전체(SOD) 저-k 재료, 또는 임의의 다른 적합한 유전체 재료를 포함할 수 있다. 저-k 유전체 재료는 Applied Materials, Inc.로부터 상업적으로 입수할 수 있는 BLACK DIAMOND® (BD) 또는 BLACK DIAMOND® II (BDII) SiCOH 재료, 또는 Novellus Systems, Inc.로부터 상업적으로 입수할 수 있는 Coral® CVD 필름을 포함할 수 있다. 다른 상업적으로 입수할 수 있는 탄소-함유 재료는 Dow Chemical로부터 입수할 수 있는 SILK®(예를 들어, SiLK-I, SiLK-J, SiLK-H, SiLK-D, 및 다공성 SiLK 반도체 유전체 수지) 및 CYCLOTENE®(벤조시클로부텐), 및 Honeywell로부터 입수할 수 있는 GX-3TM, 및 GX-3PTM 반도체 유전체 수지를 포함한다.
저-k 유전체 재료는, 경화 또는 침착 프로세스 중에 필름의 완전한 조밀화를 방해하여 작은 공극(또는 소공)을 생성하는 CH3 결합을 가지는 규소 산화물계 매트릭스와 같은, 단일 상을 포함하는 다공성 무기질-유기질 복합 필름을 포함한다. 또한 대안적으로, 이러한 유전체 층은, 경화 프로세스 중에 분해되고 증발되는 유기 재료(예를 들어, 포로겐(porogen))의 소공을 가지는 탄소-도핑된 규소 산화물계 매트릭스와 같은, 적어도 2개의 상을 포함하는 다공성 무기질-유기질 복합 필름을 포함할 수 있다.
또한, 저-k 재료는, SOD 기술을 이용하여 침착된, 수소 실세스퀴옥산(HSQ) 또는 메틸 실세스퀴옥산(MSQ)과 같은, 실리케이트계 재료를 포함한다. 그러한 필름의 예에는 Dow Corning으로부터 상업적으로 입수할 수 있는 FOx® HSQ, Dow Corning으로터 상업적으로 입수할 수 있는 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 상업적으로 입수할 수 있는 JSR LKD-5109이 포함된다.
일 예에서, 유전체 재료는, 특히 부가 재료로서 사용될 때, TiO2, HfO2, ZrO2, 또는 Al2O3를 함유하는 금속 산화물을 포함할 수 있다. 그러한 금속 산화물은, 예를 들어, CVD, 플라즈마 강화 CVD(PEALD), ALD 또는 플라즈마 강화 ALD(PEALD)에 의해서 침착될 수 있다. 일부 예에서, 금속 산화물은 금속-함유 전구체 및 산화제(예를 들어, H2O, H2O2, 플라즈마-여기된 O2, 또는 O3)의 교번적인 노출을 이용하는 ALD에 의해서 침착될 수 있다.
본 발명의 실시형태는 ASD를 위한 통합된 기판 프로세싱 및 통합된 기판 프로세싱 중에 기판 계측을 실시하는 것에 관한 필요성에 대해 다룬다. ASD 중에, 기판 계측은, 예를 들어 침착 선택비의 손실을 측정하고 특성화하기 위해서 그리고 기판 계측 데이터를 기초로 불필요한 필름 핵의 제거를 실시하여 선택적인 형성을 달성하기 위해서, 침착 단계 이후에 공통 제조 플랫폼 내에서 실시될 수 있다. 기판 계측 단계로부터의 결과를 이용하여, 필름 침착 단계에서의 변동을 기초로, 필름 핵 제거 단계를 조정할 수 있다. 또한 인공 지능(AI)을 이용하여, 기판 계측 결과를 분석할 수 있고 미래의 필름 두께 및 필름 침착 선택도를 예측할 수 있다.
이제 도면을 참조하면, 유사한 참조 번호가 몇몇 도면 전반에 걸쳐 동일하거나 상응하는 부분을 나타낸다.
도 1a 내지 도 1d는, 부가 재료의 층이, 비-목표 표면에서보다 빠른 침착 속도로 목표 표면 상에 형성되도록, 제1 재료와 상이한 제2 재료의 비-목표 표면에 대한 선택비를 가지고, 부가 재료가 제1 재료의 목표 표면 상에 선택적으로 침착되는, ASD의 실시형태를 개략적으로 도시한다. 도 1a에서, 금속-위-금속(MoM) 선택적 침착이 공작물(100)의 평면형 표면 상에 도시되어 있다. 공작물(100)은, Si 웨이퍼와 같은, 기판(110)을 포함한다. 공작물은, 평면형 표면(116)을 함께 형성하는, 목표 금속 표면(112) 및 비-목표 유전체 표면(114)을 더 포함한다. 금속 부가 재료(118)가 목표 금속 표면(112) 상에 침착되어, 평면형 표면(116) 위에 상승된 금속 패턴을 형성한다. 금속 부가 재료(118)는, 평면형 표면(116) 위의 목표 수직 높이에 도달할 때까지, 또는 목표 단차부-높이 거리(d)에 도달할 때까지, 층별로 침착될 수 있다.
도 1b에서, MoM 선택적 침착이 함몰된 금속 특징부 패턴으로 도시되어 있다. 공작물(102)은, 하나 이상의 하부 층(미도시) 상에 형성될 수 있는 금속 층(120), 그리고 금속 층(120)의 일부를 노출시키도록 그 위에 형성된 패터닝된 중간층 유전체(122)를 포함하고, 노출된 부분은 목표 금속 표면(124)을 형성한다. 달리 설명하면, 목표 금속 표면(124)은 중간층 유전체(122)에 형성된 함몰된 금속 특징부 패턴의 노출된 하단 표면이고, 중간층 유전체(122)의 상부 필드 또는 평면형 표면(126)은 비-목표 유전체 표면(126)을 형성한다. 금속 부가 재료(128)가 목표 금속 표면(124) 상에 침착되어, 함몰된 금속 특징부 패턴을 적어도 부분적으로 충진한다. 금속 부가 재료(128)는, 상부 평면형 표면(126)과 동일하거나 그보다 낮은 목표 충진 레벨에 도달할 때까지, 또는 단차부-높이 거리(d)의 목표 변화에 도달할 때까지, 층별로 침착될 수 있다.
도 1c에서, 유전체-위-유전체(DoD) 선택적 침착이 평면형 표면 상에 도시되어 있다. 공작물(104)은, Si 웨이퍼와 같은, 기판(130)을 포함한다. 공작물은, 평면형 표면(136)을 함께 형성하는, 목표 유전체 표면(132) 및 비-목표 금속 표면(134)을 더 포함한다. 유전체 부가 재료(138)가 목표 유전체 표면(132) 상에 침착되어, 평면형 표면(136) 위에 상승된 유전체 패턴을 형성한다. 유전체 부가 재료(138)는, 평면형 표면(136) 위의 목표 수직 높이에 도달할 때까지, 또는 목표 단차부-높이 거리(d)에 도달할 때까지, 층별로 침착될 수 있다.
도 1d에서, DoD 선택적 침착이 유전체 트렌치 패턴으로 도시되어 있다. 공작물(106)은, 하나 이상의 하부 층(미도시) 상에 형성될 수 있는 유전체 층(140), 그리고 유전체 층(140)의 일부를 노출시키도록 그 위에 형성된 복수의 금속 라인(142)을 포함하고, 노출된 부분은 목표 유전체 표면(144)을 형성한다. 달리 설명하면, 목표 유전체 표면(144)은 금속 라인들(142) 사이에 형성된 유전체 트렌치 패턴의 노출된 하단 표면이고, 금속 라인(142)의 상부 평면형 표면(146)은 비-목표 금속 표면(146)을 형성한다. 유전체 부가 재료(148)가 목표 유전체 표면(144) 상에 침착되어, 유전체 트렌치 패턴을 적어도 부분적으로 충진한다. 유전체 부가 재료(148)는, 상부 평면형 표면(146)과 동일하거나 그보다 낮은 목표 충진 레벨에 도달할 때까지, 또는 단차부-높이 거리(d)의 목표 변화에 도달할 때까지, 층별로 침착될 수 있다.
전술한 바와 같이, 부가 재료의 층이, 비-목표 표면보다 빠른 침착 속도로 목표 표면 상에 형성되도록, 부가 재료가 비-목표 표면에 대한 선택비를 가지고 목표 표면 상에 침착된다. 이상적으로, 선택비가 충분히 커서, 임의의 침착이 비-목표 표면 상에서 발생되기 전에, 목표 표면 상에서 부가 재료의 목표 두께에 도달하고, 다시 말해서, 목표 표면 상의 침착 속도는 상대적으로 빠르고 비-목표 표면 상의 침착 속도는 극히 느리다. 그러나, 실제로, 부가 재료의 완전한 층에 대한 오염물로서의 적은 수의 핵으로부터, 임의의 곳에서, 일부 침착이 비-목표 표면 상에서 발생될 수 있다. 모든 경우에, 비-목표 표면 상의 부가 재료는, 선택비로 인해서 그리고 그에 따라 목표 표면 상에서의 더 빠른 침착 속도로 인해서, 목표 표면 상의 두께보다 얇은 두께를 가질 것이다. 비-목표 표면 상에서 발생되는 임의의 침착을 해결하기 위해서, 선택적 침착 후에 에칭 단계를 실시하여, 부가 재료를 비-목표 표면으로부터 제거하고 그에 따라 비-목표 표면을 다시 노출시킨다.
실시형태에서, 통합된 프로세싱 단계들의 시퀀스는, 부가 재료의 층의 침착 전의 공작물의 전처리를 더 포함한다. 전처리는 목표 표면 및 비-목표 표면 중 하나 또는 둘 모두를 변경하기 위해서 실시된다. 전처리는 표면을 세정할 수 있거나, 표면을 탈-산화시킬 수 있거나, 표면을 산화시킬 수 있거나, 표면 상에 장벽 층을 형성할 수 있거나, 표면의 표면 말단을 변경할 수 있거나, 이를 임의로 조합하여 할 수 있고, 단일 전처리 단계 또는 다수의 전처리 단계를 포함할 수 있다. 공통 제조 플랫폼은 제어된 환경 내에서 전처리(들)를 실시하기 위한 하나 이상의 전처리 모듈을 포함할 수 있다. 전처리 모듈(들)은 필름-형성 모듈, 에칭 모듈, 또는 다른 가스 또는 플라즈마 처리 모듈일 수 있다. 일 예에서, 전처리 모듈은, 장벽 또는 차단 층을 침착 또는 형성하여 비-목표 표면 상의 부가 재료의 침착을 방지하기 위해서 그리고 비-목표 표면에 비해 목표 표면을 향한 증가된 선택비를 제공하기 위해서, 공통 제조 플랫폼 내에 포함된다. 예를 들어, 전처리는 적어도 10:1 또는 적어도 100:1의 값까지 선택비를 증가시킬 수 있다. 실시형태에서, 공작물은 표면 말단 그룹(group; 기)을 부가하도록 처리된다. 비-목표 표면은, 부가 재료와 덜 반응함으로써 그 위의 침착을 방지하는 말단 그룹을 부가하도록 처리될 수 있거나, 목표 표면은, 부가 재료와 더 반응함으로써 그 위의 침착을 촉진하는 말단 그룹을 부가하도록 처리될 수 있다. 예를 들어, 소수성 말단 그룹이 비-목표 산화물 표면에 부가되어 산화물 상의 금속의 침착을 방지할 수 있다. 다른 예에서, 목표 금속 표면이 탈-산화되어 무-산화물 금속 표면 상의 금속의 침착을 촉진한다.
일 실시형태에서, 통합된 프로세싱 단계들의 시퀀스는, 자가-조립 단일층(self-assembled monolayer)(SAM)을 비-목표 표면에 형성하기 위한 공작물의 전처리를 포함한다. SAM은, SAM을 표면에 형성할 수 있는 분자를 함유하는 반응 가스에 공작물을 노출시키는 것에 의해서 형성될 수 있다. SAM은, 흡착에 의해서 기판 표면 상에서 자발적으로 형성되고 다소 큰 규칙적인 도메인(ordered domain)으로 조직화되는, 분자 조립체이다. SAM은, 헤드 그룹, 테일 그룹, 및 기능적 단부 그룹을 가지는 분자를 포함할 수 있고, SAM은 상온 또는 상온 이상에서 증기 상으로부터 표면 상으로의 헤드 그룹(head group)의 화학흡착에 의해서, 그리고 그 후의 테일 그룹의 느린 조직화에 의해서 생성된다. 초기에, 표면 상의 낮은 분자 밀도에서, 흡착물 분자가 분자의 불규칙적인 덩어리를 형성하거나 규칙적인 2차원적인 "쌓이는 상(lying down phase)"을 형성하고, 더 큰 분자 커버리지에서, 수 분 내지 수 시간의 기간에 걸쳐, 3차원적인 규칙적 또는 반-규칙적 구조물을 표면 상에 형성하기 시작한다. 헤드 그룹은 표면 상의 반응 장소에서 함께 조립되는 반면, 테일 그룹(tail group)은 표면에 수직으로 조립된다.
일 실시형태에 따라, SAM을 형성하는 분자의 헤드 그룹은 티올, 실란, 아민, 포스폰산, 또는 포스포네이트를 포함할 수 있다. 실란의 예는, C, H, Cl, F, 및 Si 원자, 또는 C, H, Cl, 및 Si 원자를 포함하는 분자를 포함한다. 그러한 분자의 비제한적인 예는 퍼플루오로데실트리클로로실란(CF3(CF2)7CH2CH2SiCl3), 퍼플루오로데칸티올(CF3(CF2)7CH2CH2SH), 클로로데실디메틸실란(CH3(CH2)8CH2Si(CH3)2Cl), 및 삼차부틸(클로로)디메틸실란((CH3)3CSi(CH3)2Cl))을 포함한다.
비-목표 표면 상의 SAM의 존재는, 비-목표 표면(예를 들어, 금속 층)에 대한, 후속되는 목표 표면(예를 들어, 유전체 층) 상의 선택적인 침착을 가능하게 하기 위해서 이용될 수 있다. 이러한 선택적인 침착 거동은, 비-목표 표면 상의 침착을 방지하거나 감소시키면서, 목표 표면 상에 필름을 선택적으로 침착하기 위한 방법을 제공한다.
전처리 단계가 비-목표 표면 상에서 실시되는 추가적인 실시형태에 따라, 에칭 단계는, 하나 이상의 에칭 단계에서, 비-목표 표면 상에 침착된 임의의 부가 재료에 더하여, 전처리 층을 제거할 수 있다. 또한, 목표 표면 상에 층별로 부가 재료를 구축하기 위해서 침착 단계 및 에칭 단계가 반복되는 경우에, 전처리도, 마찬가지로, 각각의 침착 단계 전에 또는 희망 또는 필요에 따라 덜 빈번하게, 예를 들어 5번째 또는 10번째 반복 마다, 반복될 수 있거나, 반복될 필요가 없을 수 있고, 예를 들어 공작물이 제어된 환경 내에서 유지되고 산화 환경에 노출되지 않는다면, 탈-산화의 반복이 필요치 않을 수 있다. SAM이 부가 재료의 침착 중에 및/또는 에칭 프로세스 중에 손상되고 그에 따라 침착 선택비에 부정적으로 영향을 미치는 경우에, SAM의 제거 및 후속하는 반복된 침착이 바람직할 수 있다.
도 2a 내지 도 2d는 공작물을 위한 영역-선택적 침착(ASD) 방법의 일 실시형태를 도시한다. 도 3은 도 2a 내지 도 2d의 방법에 상응하는 프로세스 흐름(300)의 흐름도이다. 도 4는, 프로세스 흐름(300)을 실시하기 위해서 이용될 수 있는 본 발명의 공통 제조 플랫폼의 실시형태를 도시한다. 도 3의 프로세스 흐름(300) 및 도 4의 공통 제조 플랫폼(400)은, 통합된 프로세싱 단계들의 시퀀스를 통해서 진행함에 따라 공작물(200)이 설명되는, 도 2a 내지 도 2d에 관한 이하의 순차적인 설명 전체를 통해서 참조될 것이다.
프로세스 흐름(300)의 동작(302)에서 그리고 도 2a에 도시된 바와 같이, 공작물(200)이 공통 제조 플랫폼(400) 내로 제공된다. 공작물(200)은 기판(210) 상에 형성된 임의의 수의 재료 층을 포함할 수 있으나, 최소한 공작물(200)은 제1 재료의 목표 표면(220) 및 제1 재료와 상이한 제2 재료의 비-목표 표면(230)을 포함한다. 목표 표면(220) 및 비-목표 표면(230)은, 도시된 바와 같이, 도 1a 및 도 1c와 유사한 평면형 표면을 형성할 수 있거나, 도 1b 및 도 1d와 유사한 초기 단차-높이 차이를 가질 수 있다. 그에 따라, 공작물(200)은, 침착이 요구되는 노출된 제1 재료의 적어도 하나의 목표 표면(220) 및 침착이 요구되지 않는 노출된 제2 재료의 적어도 하나의 비-목표 표면(230)을 갖는, 적어도 제1 및 제2의 상이한 재료를 포함하는, 그 위에 형성된 임의의 패턴을 가질 수 있다. 도 2a 내지 도 2d에 도시된 실시형태에서, 제1 재료는 유전체 재료, 예를 들어 산화물이고, 그에 따라 목표 표면(220)은 목표 유전체 표면이고, 제2 재료는 금속이고, 그에 따라 비-목표 표면(230)은 비-목표 금속 표면이다. 목표 유전체 표면(220) 상에 침착시키고자 하는 부가 재료는 제1 재료와 동일하거나 상이한 유전체 재료일 수 있거나, 금속일 수 있다.
도 4에 도시된 바와 같이, 전달 모듈(410a)을 이용하여 공작물을 공통 제조 플랫폼(400)의 제어된 환경 내로 가져갈 수 있고, 그러한 제어된 환경은 프로세스 흐름(300) 전체를 통해서 유지된다. 제어된 환경은 진공 환경을 포함할 수 있고, 여기에서 프로세스 흐름(300) 내의 각각의 동작은 진공, 또는 불활성 대기, 또는 그 조합을 파괴하지 않으면서 실시된다. 단일 전달 모듈이 각각의 프로세싱 모듈 또는 툴 사이에 커플링될 수 있거나, 도 4에 도시된 바와 같이, 각각의 툴 전달을 위해서 개별적인 전달 모듈들(410)이 이용될 수 있다. 전달 모듈(410a 내지 410e)은, 적절한 경우에, 본원에서 전달 모듈(410)로서 집합적으로 지칭될 수 있다. 상이한 진공 압력들 또는 하나의 모듈 내의 진공과 그 이후의 불활성 가스 분위기의 모듈과 같은, 공통 제조 플랫폼(400) 상의 상이한 프로세싱 모듈들이 상이한 제어된 환경을 요구하는 경우에, 다수의 전달 모듈(410)이 이용될 수 있고, 여기에서 전달 모듈(410)은 상이한 제어된 환경들 사이의 전환을 구현하는데 도움을 준다. 단일 전달 모듈은 동일 유형의 프로세싱 모듈들이 전달 모듈 주위에 원으로 배치되는 클러스터-유형의 툴에서 유용할 수 있는 반면, 다수의 전달 모듈(410)은, 도 4에 도시된 것과 같이, 상이한 프로세싱 모듈 유형들을 갖는 단부-대-단부 플랫폼 구성에서 보다 적합할 수 있다. 그러나, 본원의 실시형태는, 프로세싱 모듈의 각각에 커플링된 단일 전달 모듈을 이용하는 단부-대-단부 플랫폼 구성, 또는 그 사이의 일부 구성, 예를 들어, 순차적으로 사용되는 인접한 동일 유형의 프로세싱 모듈들을 위한 공통 전달 모듈을 배제하지 않는다.
대량 제조에서 잘 알려진 바와 같이, 전방-단부 모듈(402a)을 이용하여, 공작물의 카세트(미도시)를 적재할 수 있고, 공작물들을 순차적으로 정렬시킬 수 있고, 공작물들을 로드 록(load lock) 내로, 이어서 제어된 환경의 전달 모듈(410a) 내로 삽입할 수 있고, 전달 모듈(410a)은 공작물을 순차적으로 프로세싱 모듈 내로 적재한다. 본 발명의 실시형태의 공통 제조 플랫폼(400)에서, 동작(302)에서, 제어된 환경 내로 수용된 공작물(200)은, 전달 모듈(410a)에 의해서, 공통 제조 플랫폼(400) 상에서 호스팅된 제1 전처리 모듈(415) 내로 적재된다.
도 3 및 도 4를 참조하면, 선택적인 동작(304)에서, 제1 전처리 모듈(415) 내에서, 제1 전처리 프로세스가 실시되어 공작물(200)을 처리 가스에 노출시킨다. 예를 들어, 처리 가스는 산화 가스 또는 환원 가스를 포함할 수 있다. 일부 예에서, 산화 가스는 O3, O2, H2O, H2O2, 이소프로필 알코올, 또는 그 조합을 포함할 수 있고, 환원 가스는 실란, 디실란, 트리실란, 트리메틸알루미늄, NH3, BH3, PH3, H2 가스를 포함할 수 있다. 일 예에서, 처리 가스는 플라즈마-여기된 것을 포함할 수 있거나, 그러한 것으로 구성될 수 있다. 플라즈마 여기된 가스는 예를 들어 산화 가스, 환원 가스, 또는 환원 가스일 수 있다. 다른 예에서, 처리 가스에 대한 노출 중에, 바이어스가 기판에, 처리 모듈의 일부 또는 그 부품에, 또는 그 조합에 인가될 수 있다. 처리 가스는 목표 유전체 표면(220) 또는 비-목표 금속 표면(230)의 표면을 세정하거나 변경하여 후속 ASD를 개선할 수 있다. 처리 가스는, 비-목표 표면 상의 표면 그룹과 반응하는 것에 의해서, 비-목표 표면 상에서 차단 층을 형성할 수 있다. 다른 예에서, 처리 가스는 목표 표면 상에서 핵생성 층을 형성할 수 있다. 다른 예에서, 처리 가스는 자가-조립 단일층(SAM)을 목표 표면 상에 또는 비-목표 표면 상에 형성할 수 있다.
도 2b, 도 3 및 도 4를 참조하면, 그리고 추가적으로 선택적인 동작(304)에서, 제어된 환경을 벗어나지 않으면서, 예를 들어 진공을 파괴하지 않으면서, 전달 모듈(410a 및 410b)을 이용하여 공작물(200)을 제2의 전처리 모듈(415)에 전달한다. 제2의 전처리 모듈(415)에서, 제2 전처리 프로세스가 실시되어, 비-목표 금속 표면(230)이, 목표 유전체 표면(220) 상에 침착시키고자 하는 부가 재료에 대해서 덜 인력적(attractive)이거나 덜 반응적이 되게 한다. 도시된 바와 같이, 전처리는, 부가 재료의 침착을 방지하기 위해서 그리고 목표 유전체 표면(220)을 향한 선택비를 높이기 위해서 비-목표 금속 표면(230) 위에 선택적으로 침착되는 장벽 층(240)을 포함할 수 있다. 장벽 층(240)은, 처리된 표면 상에 부가 재료가 침착되는 것을 방지하는 효과를 갖는 SAM 또는 임의의 다른 표면 처리 층일 수 있다. 장벽 층(240)이 이하의 설명에서 SAM(240)으로 지칭될 수 있으나, 본 발명이 장벽 층으로서 SAM만으로 제한되지 않는다는 것을 이해할 수 있을 것이다. SAM(240)은, 도시된 바와 같이, 노출된 비-목표 금속 표면(230) 상에 침착될 수 있거나, 노출된 비-목표 금속 표면(230)의 표면 부분을 장벽 층으로 변환할 수 있거나, 이를 조합하여 할 수 있다. 도시된 바와 같이, 공통 제조 플랫폼(400)은 전달 모듈(410a, 410b)의 대향 측면들 상에서 동일한 전처리 모듈들(415)을 포함할 수 있다. 플랫폼(400)의 2개의 측면을 거울 대칭이 되게 하는 것(mirroring)에 의해서, 단부-대-단부 프로세싱이 2개의 공작물에서 동시에 달성될 수 있고, 하나의 전처리 모듈(415)이 일시적으로 이용될 수 없는 경우에, 플랫폼(400)은 적어도 50%의 용량으로 계속 동작될 수 있다.
이어서, 제어된 환경을 벗어나지 않고, 예를 들어 진공을 파괴하지 않고, 전달 모듈(410b 및 410c)을 이용하여 공작물(200)을 필름-형성 모듈(420)에 전달한다. 도 2c 및 도 3을 참조하면, 동작(306)에서, 필름-형성 모듈(420) 내에서, 유전체 부가 재료(250)가 목표 유전체 표면(132) 상에 선택적으로 침착되어 상승된 유전체 패턴을 형성한다. 비-목표 표면(230) 상의 SAM(240)에 대비되는 목표 표면(220)을 향한 선택비로 인해서, 유전체 부가 재료(250)의 층이, 비-목표 표면(230) 상에서보다 빠른 침착 속도로, 목표 유전체 표면(220) 상에 형성된다. 일 예에서, 유전체 부가 재료(250)는, HfO2, ZrO2, 또는 Al2O3를 포함하는 금속 산화물 필름을 포함할 수 있다. 유전체 부가 재료(250)는, 예를 들어, CVD, 플라즈마 강화 CVD(PEALD), ALD 또는 플라즈마 강화 ALD(PEALD)에 의해서 침착될 수 있다. 일부 예에서, 유전체 부가 재료(250)는 금속-함유 전구체 및 산화제(예를 들어, H2O, H2O2, 플라즈마-여기된 O2, 또는 O3)의 교번적인 노출을 이용하는 ALD에 의해서 침착될 수 있다. 다시, 공통 제조 플랫폼(400)은 전달 모듈(410c)의 대향 측면들 상에서 2개의 동일한 필름-형성 모듈들(420)을 포함할 수 있다.
도 2c에 도시된 바와 같이, 필름-형성 모듈(420) 내의 침착 가스에 대한 노출은, 목표 유전체 표면(220) 상의 유전체 부가 재료(250)의 침착에 더하여, 선택비의 손실 또는 불충분한 선택비의 결과로서, 필름 핵(260)을 SAM(240) 상에 침착시킬 수 있다. 침착 선택비의 손실은, 예를 들어, 침착 프로세스가 너무 길게 실행되는 경우에 발생될 수 있다. 불충분한 또는 양호하지 못한 침착 선택비는, 예를 들어, SAM(208)의 표면 커버리지가 불완전하고 비-목표 표면(230) 상에서 공극을 포함하는 경우에 발생될 수 있다.
도 2d 및 도 3을 참조하면, 동작(308)에서, 그리고 제어된 환경을 떠나지 않으면서, 예를 들어 진공을 파괴하지 않으면서, 공작물(200)을 하나 이상의 에칭 모듈에 전달하여, 비-목표 표면(230)을 노출시키고 그에 의해서 목표 표면(220) 상에서 ASD를 달성하기 위한 하나 이상의 에칭 단계를 실시한다. 이러한 예에서, 그리고 도 4에 도시된 바와 같이, 2개의 에칭 단계가 제1 및 제2 에칭 모듈(430) 내에서 순차적으로 실시되고, 그에 따라 필름 핵(260)을 먼저 제거하고 이어서 SAM(240)을 제거한다. 전달 모듈(410c 및 410d)을 이용하여 공작물(200)을, 공통 제조 플랫폼(400) 상에서 호스팅된 제1 에칭 모듈(430)에 전달하고, 예를 들어 전달 모듈(410c)은 공작물(200)을 필름-형성 모듈(420)로부터 제거하고 이를 전달 모듈(410d)에 전달하며, 이어서 전달 모듈(410d)은 공작물(200)을 제1 에칭 모듈(430) 내로 전달한다. 제1 에칭 모듈(430)이 필름-형성 모듈(420)과 상이한 매개변수로, 예를 들어 상이한 진공 압력으로 동작되는 경우에, 제어된 환경에 대한 조정이 전달 모듈(410c 및 410d)에서 이루어질 수 있다. 공작물(200)이 제1 에칭 모듈(430a)에서 에칭되어, 필름 핵(260)을 SAM(240)의 상부 표면으로부터 제거한다. 비록 유전체 부가 재료(250)의 층이 또한 에칭 프로세스에 의해서 부분적으로 제거될 수 있지만, 필름 핵(260)은, 유전체 부가 재료(250)의 층의 에칭보다 더 빨리 에칭될 것으로 예상된다. 에칭 프로세스는 건식 에칭 프로세스, 습식 에칭 프로세스, 또는 그 조합을 포함할 수 있다.
이어서, 전달 모듈(410d 및 410e)을 이용하여 공작물(200)을, 공통 제조 플랫폼(400) 상에서 호스팅된 제2 에칭 모듈(430)에 전달하고, 공작물(200)은 SAM(240)의 제거를 위해서 에칭된다. 다시, 공통 제조 플랫폼(400)은 전달 모듈(410d 및 410e)의 대향 측면들 상에서 동일한 제1 에칭 모듈(430)을 포함할 수 있다. 대안적으로, SAM(240)은, 지정된 처리 모듈 내에서 또는 통합된 프로세싱 단계들의 시퀀스 중의 다른 단계에서 사용되는 프로세싱 모듈 중 하나 내에서, 다른 방법에 의해서, 예를 들어 열처리에 의해서 제거될 수 있다.
동작(310)에서 결정된 바와 같이, 유전체 부가 재료(250)의 층의 목표 두께에 도달하지 않은 경우에, 프로세스 화살표(312)에 의해서 개략적으로 도시된 바와 같이, 전술한 통합된 프로세싱 단계들(304 내지 308)의 시퀀스가 전체적으로 또는 부분적으로 한차례 이상 반복되어, 공작물(200) 상의 유전체 부가 재료(250)의 층의 두께를 증가시킬 수 있다. 공작물(200) 상의 SAM(240)의 제거 및 후속하는 반복된 침착은, SAM(240)이 부가 재료 침착 및/또는 필름 핵(260)을 제거하기 위한 에칭 프로세스 중에 손상되는 경우에, 바람직할 수 있다. 그러나, SAM(240)이 손상되지 않은 경우에, 부가 재료 침착 및 필름 핵 에칭의 반복에서, 그 제거 및 재도포가 생략될 수 있다.
프로세스 흐름(300)의 완료 시에, 즉 동작(310)에서의 결정이 목표 두께에 도달하였다는 것을 나타낼 때, 공작물(200)은 다른 전방 단부 모듈(402b)을 통해서 공통 제조 플랫폼(400)을 빠져 나갈 수 있고, 그러한 다른 전방 단부 모듈(402b)은 전방 단부 모듈(402a)과 동일할 수 있으나, 공통 제조 플랫폼(400) 상의 모듈의 단부-대-단부 배열의 후방 단부에 위치될 수 있다. 전방-단부 모듈(402a)의 대략 역방향 프로세스에서, 공작물들(100)은 전달 모듈(410e)에 의해서 로드 록으로 그리고 이어서 전방-단부 모듈(402b) 상의 카세트(미도시) 내로 순차적으로 전달되고, 그러한 로드 록에서 제어된 환경이 제거된다. 실질적으로 거울 대칭적인 방식으로 배열된 공통 제조 플랫폼(400)은, 모듈이 사용되지 못하는 이벤트에서, 여분성(redundancy)을 제공하는 장점을 가지며, 그러한 경우에 공통 제조 플랫폼(400)은 감소된 용량으로 여전히 동작할 수 있다.
일 실시형태에서, 그리고 이하에서 더 구체적으로 설명되는 바와 같이, 공통 제조 플랫폼(400)은 유리하게 "능동 차단 시스템(active interdiction system)"을 포함한다. 능동 차단 시스템은, 공통 제조 플랫폼(400) 상에서 호스팅된 전달 모듈(410) 또는 공통 제조 플랫폼(400) 상에서 호스팅된 통합된 계측 모듈(미도시) 내에서 공작물 측정 영역을 포함한다. 공작물 측정 영역은, 이하에서 더 구체적으로 설명되는 바와 같이, 전달 모듈(410)의 지정된 영역 내에 위치될 수 있다. 공작물 측정 영역 또는 계측 모듈은 측정 데이터를 수집하기 위한 검사 시스템을 포함할 수 있다. 이하에서 더 구체적으로 설명되는 바와 같이, 검사 시스템은 입사 광학 빔을 공작물의 측정 표면 상으로 지향시키기 위한 적어도 하나의 광원, 및 공작물의 측정 표면으로부터 산란된 광학 신호를 수신하도록 배열된 적어도 하나의 검출기를 포함할 수 있다. 능동 차단 시스템은, 공작물 측정 영역 또는 계측 모듈로부터 데이터를 수집하도록 그리고 프로세스 흐름(300)과 같은, 공통 제조 플랫폼(400) 상에서 실행되는 통합된 프로세싱 단계들의 시퀀스를 제어하도록 구성되는, 공통 제조 플랫폼(400) 상에서 호스팅된 지능 시스템을 더 포함할 수 있다.
본 발명의 실시형태에 따른 능동 차단을 위해서, 공작물 측정 영역 또는 계측 모듈은 반도체 공작물 상의 특징부 또는 층의 속성(예를 들어, 필름 또는 특징부 두께, 특징부 깊이, 표면 조도, 패턴 이동, 공극 또는 다른 결함, 선택비의 손실, 측방향 과성장, 불균일성 등)에 관한 실시간 데이터를 "작업 중에(on the fly)" 수집하고, 그러한 실시간 데이터를 이용하여 공통 제조 플랫폼(400) 상에서 호스팅된 통합된 프로세싱 모듈 내의 통합 동작 변수들을 동시에 제어한다. 데이터는, 예를 들어 도 3의 동작(320 내지 326)을 참조하여 이하에서 설명되는 바와 같이, 후속 모듈 내에서 공작물에 대해서 실시되는 동작을 제어하기 위해서 및/또는 선행 모듈에서 후속 공작물에 대해서 실시되는 동작을 제어하기 위해서 피드-백 방식 및/또는 피드-포워드 방식으로 사용될 수 있다. 실시형태에서, 공통 제조 플랫폼(400)은 교정 모듈을 포함하고, 그러한 교정 모듈은, 적절하게 공작물(200)에 대한 교정적인 작용 또는 개선적 처리를 적용하기 위한, 필름-형성 모듈(420), 에칭 모듈(430), 전처리 모듈(415), 또는 다른 유형의 처리 모듈일 수 있다.
통상적인 계측 또는 프로세스 제어와 달리, 공작물은 독립형 계측 툴에 진입하기 위해서 제어된 환경을 벗어나지 않으며, 그에 의해서 산화 및 결함 생성을 최소화하고, 측정들은 비-파괴적이며, 그에 따라 공작물은 데이터 획득을 위해서 희생되지 않고 그에 의해서 생산 출력량을 최대화하며, 데이터는 프로세스 흐름의 일부로서 실시간으로 수집될 수 있고, 그에 따라 생산 시간에 미치는 부정적인 영향을 방지할 수 있고, 공작물에 대한 또는 공통 제조 플랫폼(400)에서 순차적으로 프로세스되는 후속 공작물에 대한 프로세스-내 조정을 가능하게 할 수 있다. 또한, 측정은 필름-형성 모듈 또는 에칭 모듈에서 실시되지 않으며, 그에 의해서 측정 장치가 프로세스 유체에 노출되는 때의 문제를 방지한다. 예를 들어, 공작물 측정 영역을 전달 모듈에 통합하는 것에 의해서, 데이터는, 프로세스 흐름을 지연시키지 않거나 거의 지연시키지 않으면서, 프로세스 유체에 대한 노출이 없이, 그리고 제어된 환경을 벗어나지 않고, 예를 들어 진공을 파괴하지 않고, 공작물이 프로세싱 툴들 사이에서 이동할 때 획득될 수 있다. "작업 중의" 데이터가, 독립적인 계측 툴에서 실시되는 통상적인 파괴적 방법으로부터 얻어진 데이터만큼 정확하지 않을 수 있으나, 프로세스 흐름의 중단 또는 수율의 희생이 없는, 프로세스 흐름에 대한 거의 순간적인 피드백 및 실시간으로 조정할 수 있게 하는 능력은 대량 제조에서 매우 유리하다.
도 3의 프로세스 흐름(300)을 더 참조하면, 방법은, 제어된 환경을 벗어나지 않고, 예를 들어 진공을 파괴하지 않고, 통합된 방법 전체를 통한 다양한 시간 중 임의의 시간에 능동 차단 시스템을 이용하여, 계측을 실시하는 단계와 같은, 공작물을 검사하는 단계, 즉 측정 데이터를 획득하는 단계를 포함할 수 있다. 공작물의 검사는 공작물의 하나 이상의 속성을 특성화하는 것 그리고 그러한 속성이 목표 조건을 만족시키는지의 여부를 결정하는 것을 포함할 수 있다. 예를 들어, 검사는 속성에 관련된 측정 데이터를 획득하는 것, 그리고 결함성, 두께, 균일성, 및/또는 선택비 조건이 해당 조건을 위한 목표를 만족시키는지의 여부를 결정하는 것을 포함할 수 있다. 이하의 설명이 측정 데이터를 획득하는데 초점을 맞출 것이지만, 공통 제조 플랫폼의 제어된 환경에서 실시되는 다른 검사 기술이 또한 본 발명의 범위에 포함된다는 것을 이해할 수 있을 것이다.
능동 차단 시스템은, 이하에서 더 구체적으로 설명되는 바와 같이, 단일 계측 모듈 또는 공작물 측정 영역을 공통 제조 플랫폼(400) 상에서 포함할 수 있거나, 다수의 계측 모듈 또는 공작물 측정 영역을 공통 제조 플랫폼(400) 상에서 포함할 수 있다. 각각의 계측 동작은, 도 3의 점선으로 표시된 바와 같이, 선택적이나, 공작물(200)이 재원 이내인 것을 보장하기 위해서 프로세스 흐름 내의 하나 이상의 지점에서 유리하게 실시될 수 있다. 일 실시형태에서, 측정 데이터는, 공통 제조 플랫폼 상에서 실행되는 통합된 프로세싱 단계들의 시퀀스의 각각의 단계 이후에 획득된다. 측정 데이터는, 공통 제조 플랫폼을 떠나기 전에 교정 모듈에서 공작물을 수리하기 위해서 이용될 수 있고/있거나, 후속 단계 및/또는 후속 공작물을 위해서 통합된 프로세싱 단계들의 시퀀스의 매개변수를 변경하기 위해서 이용될 수 있다.
넓은 관점에서 용어로, 제어된 환경 내에서, 측정 데이터는 부가 재료의 선택적인 침착과 관련된 통합된 프로세싱 단계들의 시퀀스 중에 획득될 수 있고, 측정 데이터를 기초로, 부가 재료의 층의 결함성, 두께, 균일성 및/또는 선택비가 목표 조건을 만족시키는지의 여부가 결정될 수 있다. 결함성, 두께, 균일성 및/또는 선택비가 목표 조건을 만족시키지 못하는 것으로 결정될 때, 또는 공작물의 속성이 일치되지 않는 것으로 달리 결정될 때, 공작물에 대해서 추가적인 프로세싱을 실시할 수 있다. 예를 들어, 통합된 프로세싱 단계들의 시퀀스 내의 다음 프로세싱 단계를 실시하기 전에 불일치 속성을 제거, 최소화 또는 보상하기 위해서, 공작물이 공통 제조 플랫폼 상의 교정 모듈 내에서 프로세스될 수 있다. 교정 작용은, 목표 표면 또는 비-목표 표면의 에칭, 공작물 상의 추가적인 부가 재료의 침착, 공작물 상의 장벽 층의 수리, 공작물의 열처리, 또는 공작물의 플라즈마 처리를 포함할 수 있다.
일 예에서, 교정 작용은, 불일치가, 적어도 부분적으로, SAM에 의한 비-목표 표면의 불완전한 커버리지를 기초로 할 때, 또는 비-목표 표면의 노출 영역의 양이 미리 결정된 노출 영역의 문턱값보다 클 때, SAM을 제거하는 것을 포함할 수 있다. 다른 예에서, 교정 작용은, 불일치가, 적어도 부분적으로, 미리 결정된 단차-높이 문턱값보다 짧은, 목표 표면과 비-목표 표면 사이의 단차-높이 거리, 또는 미리 결정된 노출된 영역 문턱값보다 작은 비-목표 표면의 노출된 영역의 양을 기초로 할 때, 부가 재료의 층의 적어도 일부를 제거하는 것을 포함할 수 있다. 또 다른 예에서, 교정 작용은, 불일치가, 적어도 부분적으로, 미리 결정된 두께 문턱값보다 얇은, 목표 표면 위에 놓인 부가 재료의 두께를 기초로 할 때, 추가적인 부가 재료를 공작물에 부가하는 것을 포함할 수 있다. 또한 추가적인 예에서, 교정 작용은, 불일치가, 적어도 부분적으로, 미리 결정된 잔류 두께 문턱값보다 두꺼운, 비-목표 표면 상의 잔류 부가 재료 또는 비-목표 표면 상의 잔류 자가-조립 단일층을 기초로 할 때, 공작물을 에칭하는 것을 포함할 수 있다. 또 다른 예에서, 교정 작용은, 불일치 공작물 속성이, 적어도 부분적으로, 미리 결정된 반사도 문턱값보다 작은, 공작물로부터의 반사도를 기초로 할 때, 공작물을 열처리 또는 플라즈마 처리하는 것을 포함할 수 있다.
교정 모듈은, 공통 제조 플랫폼 상의 교정 모듈로서 지정된 상이한 필름-형성 모듈 및 에칭 모듈, 또는 공통 제조 플랫폼 상에 통합된 다른 유형의 처리 모듈, 예를 들어 열적 어닐링 모듈일 수 있거나, 선택적으로 부가 재료를 침착하기 위해서 그리고 필름 핵을 에칭하기 위해서 사용되는 동일한 필름-형성 모듈 및 에칭 모듈일 수 있다.
이제, ASD를 위한 목표 두께에 도달하는 때를 결정하기 위해 및/또는 불일치가 존재하는지를 결정하기 위해 공작물의 속성을 특성화하기 위해서 사용되는 선택적인 검사 또는 계측 동작으로, 도 3의 프로세스 흐름(300)을 구체적으로 설명할 것이다. 동작(302)은 목표 표면 및 비-목표 표면을 갖는 공작물을 공통 제조 플랫폼 내로 수용하는 것을 포함한다. 동작(302)은, 목표 표면 및/또는 비-목표 표면의 속성과 같은, 유입 공작물의 속성과 관련된 측정 데이터를 획득하기 위해서 계측을 선택적으로 실시하는 것을 포함하고, 그러한 측정 데이터는 동작(304 내지 308) 중 임의의 동작의 프로세스 매개변수를 조정 및/또는 제어하기 위해서 사용될 수 있다.
동작(304)은 선택적으로 공작물을 전처리하는 것을 포함한다. 전처리는, 공통 제조 플랫폼에서 실행되는 단일 동작 또는 다수의 동작들일 수 있다. 동작(322)은, 전처리 후에 공작물의 속성과 관련된 측정 데이터를 획득하기 위해서 계측을 선택적으로 실시하는 것을 포함한다. 다수의 전처리 동작이 실시되는 경우에, 측정 데이터는, 모든 전처리가 완료된 후에, 및/또는 임의의 개별적인 전처리 단계 후에 획득될 수 있다. 일 예에서, 공작물은, SAM이 형성된 후에, 커버리지가 완전한지의 여부 또는 처리된 표면의 노출 영역이 문턱값을 초과하는지의 여부를 결정하기 위해서 검사된다. 측정 데이터는 동작(306 내지 308) 중 임의의 하나의 프로세스 매개변수를 조정 및/또는 제어하기 위해서 이용될 수 있거나, 후속 공작물을 위해서 동작(302)에서 유입 공작물 속성에 대한 또는 동작(304)에 대한 조정을 하기 위해서 이용될 수 있거나, 계속되는 프로세싱 전에 공작물을 수리하기 위해서 이용될 수 있다. 일 실시형태에서, 하나 이상의 속성이 목표 조건을 만족시키지 못한다는 것을 측정 데이터가 나타낼 때, 공작물은 공작물 수리를 위해서 교정 모듈로 전달될 수 있다. 예를 들어, 비-목표 표면 상의 SAM에 의한 커버리지가 불완전할 때, SAM을 제거하는 것 그리고 SAM을 재도포하는 것과 같은, 교정 작용이 하나 이상의 교정 모듈에서 실시될 수 있다.
동작(306)은, 공통 제조 플랫폼 상에서 호스팅된 필름-형성 모듈 내에서 공작물 상에 부가 재료를 선택적으로 침착하는 것을 포함한다. 동작(324)은, 선택적인 침착에 의해서 영향을 받는, 부가 재료의 층, 비-목표 표면, 및/또는 전처리 표면의 속성과 같은, 목표 표면 상에 형성된 부가 재료의 층을 갖는 공작물의 속성과 관련된 측정 데이터를 획득하기 위해서 계측을 선택적으로 실시하는 것을 포함하고, 그러한 측정 데이터는 동작(308 내지 312) 중 임의의 하나의 프로세스 매개변수를 조정 및/또는 제어하기 위해서 이용될 수 있거나, 후속 공작물을 위해서 동작(302)에서 유입 공작물 속성에 대한 또는 동작(304 내지 306)에 대한 조정을 하기 위해서 이용될 수 있거나, 계속되는 프로세싱 전에 공작물을 수리하기 위해서 이용될 수 있다. 일 실시형태에서, 하나 이상의 속성이 목표 조건을 만족시키지 못한다는 것을 측정 데이터가 나타낼 때, 공작물은 부가 재료의 층 또는 비-목표 표면의 수리를 위해서 교정 모듈로 전달될 수 있다. 예를 들어, 부가 재료의 결함성, 두께, 균일성 및/또는 선택비가 목표 조건을 만족시키지 못할 때, 예를 들어 선택적으로 부가적인 부가 재료를 목표 표면 상으로 침착시키는 것, 부가 재료를 비-목표 표면 또는 목표 표면으로부터 제거하는 것, 비-목표 표면으로부터 전처리 층을 제거하는 것, 공작물을 열처리 또는 플라즈마 처리하는 것, 또는 그 중 둘 이상의 조합과 같은, 교정 작용이 하나 이상의 교정 모듈에서 실시될 수 있다.
동작(308)은, 비-목표 표면을 노출시키기 위해서 공통 제조 플랫폼 상에서 호스팅된 에칭 모듈을 이용하여 공작물을 에칭하는 것을 포함한다. 동작(308)은, 비-목표 표면 상에 또는 비-목표 표면에 형성된 SAM 상에 침착된 필름 핵을 에칭하는 것, 또는 목표 표면 상에 형성된 부가 재료의 층의 두께보다 얇은 두께로 비-목표 표면 상에 또는 비-목표 표면 상에 형성된 SAM 상에 침착된 부가 재료의 전체 층을 에칭하는 것을 포함할 수 있다. 동작(308)은 또한, 동일한 에칭 단계 또는 후속 에칭 단계에서, SAM 또는 다른 전처리 층을 비-목표 표면으로부터 제거하는 것을 포함할 수 있다. 동작(326)은, 에칭에 의해서 영향을 받은 부가 재료의 층의 속성과 같은, 목표 표면 및 에칭된 비-목표 표면 상의 부가 재료의 층을 갖는 공작물의 속성, 에칭에 의해서 노출된 비-목표 표면의 속성, 및/또는 비-목표 표면 상의 SAM으로부터 필름 핵을 에칭하는 것에 의해서 영향을 받은 SAM 또는 다른 전처리 층의 속성에 관련된 측정 데이터를 획득하기 위한 계측을 선택적으로 실시하는 것을 포함하고, 그러한 측정 데이터는, 동작(312) 마다의 시퀀스의 반복에서의 단계(304 내지 308)를 포함하는, 동작(310 내지 312) 중 어느 하나의 프로세스 매개변수를 조정 및/또는 제어하기 위해서 이용될 수 있고, 후속 공작물을 위해서 동작(302)에서 유입 공작물 속성에 대한 또는 동작(304 내지 308)에 대한 조정을 하기 위해서 이용될 수 있거나, 계속되는 프로세싱 전에 공작물을 수리하기 위해서 이용될 수 있다. 일 실시형태에서, 하나 이상의 속성이 목표 조건을 만족시키지 못한다는 것을 측정 데이터가 나타낼 때, 공작물은 부가 재료의 층 또는 비-목표 표면에 대해서 교정 모듈로 전달될 수 있다. 예를 들어, 부가 재료의 결함성, 두께, 균일성 및/또는 선택비가 목표 조건을 만족시키지 못할 때, 예를 들어 선택적으로 부가적인 부가 재료를 목표 표면 상으로 침착시키는 것, 부가 재료를 비-목표 표면 또는 목표 표면으로부터 제거하는 것, 비-목표 표면으로부터 전처리 층을 제거하는 것, 공작물을 열처리 또는 플라즈마 처리하는 것, 또는 그 중 둘 이상의 조합과 같은, 교정 작용이 하나 이상의 교정 모듈에서 실시될 수 있다. 또한, 부가 재료의 층의 두께가 목표 두께 미만이라는 것을 측정 데이터가 나타내고, 그에 따라 결정(310)이 '아니오'일 때, 공작물에 대해서는 동작(312)마다 시퀀스 중의 반복되는 단계들이 실시될 수 있다. 부가 재료의 층의 두께가 목표 두께에 도달하였다는 것을 측정 데이터가 나타낼 때, 그에 따라 결정(310)이 '예'일 때, 공작물은 공통 제조 플랫폼을 빠져 나갈 수 있다.
프로세스 매개변수는, 전술한 바와 같이, 예를 들어 그러나 비제한적으로: 가스 유량; 에칭제, 침착 반응물, 퍼지 가스 등의 조성; 챔버 압력; 온도; 전극 간격; 전력; 등과 같은, 프로세싱 모듈 내의 임의의 동작 변수를 포함할 수 있다. 능동 차단 시스템의 지능 시스템은, 검사 시스템으로부터 측정 데이터를 수집하도록 그리고, 예를 들어, 프로세스 내의 공작물을 위해서 후속 프로세싱 모듈 내의 프로세싱 매개변수에 대한 현장에서의(in situ) 조정을 하는 것에 의해서, 또는 후속 공작물을 위한 하나 이상의 프로세싱 모듈 내의 프로세스 매개변수를 변경하는 것에 의해서, 공통 제조 플랫폼 상에서 실행되는 통합된 프로세싱 단계들의 시퀀스를 제어하도록 구성된다. 따라서, 획득된 측정 데이터를 이용하여 통합된 프로세싱 단계들의 시퀀스 중에 공작물에서 필요한 수리를 식별할 수 있고, 그에 따라 공작물의 폐기를 방지할 수 있고, 및/또는 측정 데이터가 획득된 후에 동일한 공작물에서 실시되는 단계를 위해서 또는 후속 공작물을 위해서 만족되지 않는 목표 조건의 발생을 감소시키도록 후속 공작물을 프로세싱하기 위해서, 통합된 프로세싱 단계들의 시퀀스를 위한 프로세싱 매개변수를 조정할 수 있다.
도 4를 더 참조하면, 공통 제조 플랫폼(400)은 일반적으로 적어도 하나의 전방-단부 모듈(402), 예를 들어 공작물(100)을 공통 제조 플랫폼(400)의 내외로 전달하기 위한, 도시된 바와 같은, 공통 제조 플랫폼(400)의 각각의 단부에 위치되는 전방-단부 모듈을 포함한다. 공통 제조 플랫폼(400)은, 공통 제조 플랫폼(400) 상에서 호스팅된 복수의 프로세싱 모듈의 내외로 공작물을 전달하기 위한 복수의 전달 모듈(410)을 포함한다. 복수의 프로세싱 모듈은 하나 이상의 필름-형성 모듈(420), 예를 들어 하나 이상의 침착 툴, 그리고 하나 이상의 에칭 모듈(430), 예를 들어 하나 이상의 건식 에칭 툴, 습식 에칭 툴 및/또는 COR 툴을 포함한다. 선택적으로, 복수의 프로세싱 모듈은, 필름-형성 모듈, 에칭 모듈 또는 다른 유형의 프로세싱 모듈일 수 있는, 하나 이상의 전처리 모듈(415)을 더 포함한다. 전처리 모듈(415)은 동작(304)을 실시하기 위해서 이용될 수 있다. 필름-형성 모듈(420)은 동작(306)을 실시하기 위해서 이용될 수 있다. 에칭 모듈(430)은 동작(308)을 실시하기 위해서 이용될 수 있다. 프로세싱 모듈 중 임의의 것이 공작물을 수리하기 위한 교정 모듈로서의 역할을 할 수 있거나, 부가적인 프로세싱 모듈이 교정 작용을 실시하기 위해서 부가될 수 있다. 도시된 바와 같이, 복수의 프로세싱 모듈은 일반적으로, 전방 단부로부터 후방 단부까지, 2개의 라인(440, 450)을 형성하고, 하나의 라인(440)은 전달 모듈(410)의 행의 일 측부에서 아래로 이어지고, 다른 라인(450)은 전달 모듈(410)의 행의 다른 측부에서 아래로 이어진다.
일 예에서, 단일 공작물(100)이 전방 단부로부터 후방 단부까지 라인(440)을 따라서 아래로 프로세스되고, 이어서 다시 전방 단부로 전달되고 다시 라인(450) 아래로 프로세스된다. 따라서, 전처리 동작(304), 선택적인 침착 동작(306), 및 에칭 동작(308)이 라인(440) 아래로 실시되어 부가 재료의 초기 두께를 침착시키고, 이어서 전처리 동작(304), 선택적인 침착 동작(306), 및 에칭 동작(308)이 라인(450) 아래로 실시되어 부가 재료 두께를 더 증가시키고, 그에 의해서 단부-대-단부 공통 제조 플랫폼(400) 아래로 2번의 통과로 동작을 반복한다.
다른 예에서, 2개의 라인(440, 450)이 독립적으로 동작하여, 시간적으로 동일 단계에서 또는 시간적으로 오프-셋(off-set)으로, 2개의 공작물(200)을 동시에 프로세스하고, 그에 따라 각각의 공작물을 전방 단부로부터 후방 단부까지 라인(440 또는 450) 중 하나의 아래로 프로세스하고, 이어서 다시 전방 단부로 전달되고 그 각각은 부가적인 반복을 위해서 동일 라인(440 또는 450) 아래로 다시 프로세스된다. 따라서, 전처리 동작(304), 선택적인 침착 동작(306), 및 에칭 동작(308)이 각각의 라인(440 및 450) 아래로 실시되어 부가 재료의 초기 두께를 침착시키고, 이어서 전처리 동작(304), 선택적인 침착 동작(306), 및 에칭 동작(308)이 동일한 라인(440 및 450) 아래로 반복되어 부가 재료 두께를 더 증가시키고, 그에 의해서 단부-대-단부 공통 제조 플랫폼(400) 아래로 2번 이상의 통과로 동작을 반복한다. 이러한 예는, 하나의 모듈이 사용될 수 없는 경우에 여분성을 제공하는 장점을 가지며, 여기에서 공통 제조 플랫폼(400)은 50%의 용량으로 여전히 동작될 수 있다.
동작을 반복하기 전에 또는 공통 제조 플랫폼(400)을 빠져 나가기 전에 공작물을 세정 또는 수리하기 위해서, 세정 에칭 또는 수리 프로세스가, 공작물(200)이 전방 단부로 다시 전달되기 전에, 제1 또는 후속 통과의 종료에서 실시될 수 있다. 수리 실시를 위해서, 교정 모듈이 라인(440, 450) 내에 부가될 수 있다.
일 실시형태에서, 공통 제조 플랫폼은 목표 표면 상에 부가 재료를 선택적으로 침착시키기 위한 적어도 하나의 침착 모듈, 선택비를 달성하기 위해서 비-목표 표면으로부터 부가 재료를 제거하기 위한 적어도 하나의 에칭 모듈, 및 통합된 프로세스 흐름 전체를 통해서 제어된 환경을 유지하면서 모듈들 사이에서 공작물을 전달하기 위한 적어도 하나의 전달 모듈을 포함한다. 유리하게, 목표 표면을 향한 선택비를 증가시키기 위해서 장벽 층으로서 SAM을 비-목표 표면 상에 형성하기 위해서 전처리 모듈이 포함되고, 적어도 하나의 에칭 모듈은 적어도 2개의 에칭 모듈을 포함하고, 에칭 모듈 중 하나는 SAM으로부터 부가 재료를 제거하기 위한 것이고 또 하나는 SAM을 제거하기 위한 것이다. 추가적인 실시형태에서, 공통 제조 플랫폼은, 공작물의 하나 이상의 속성과 관련된 측정 데이터를 획득하기 위해서, 적어도 하나의 전달 모듈의 지정 영역 내에 또는 제어된 환경 내의 공통 제조 플랫폼 상에서 호스팅되는 계측 모듈 내에 위치되는, 적어도 하나의 공작물 측정 영역을 포함한다. 일 실시형태에서, 공통 제조 플랫폼은, 선택적으로 침착된 부가 재료를 수리하는 것 또는 SAM을 수리하는 것과 같은, 공작물의 수리를 실시하기 위한 적어도 하나의 교정 모듈을 포함한다.
당업자가 이해할 수 있는 바와 같이, 계측 동작 뿐만 아니라 공통 제조 플랫폼 상의 프로세싱 모듈의 수 및 배치는, 공통 제조 플랫폼을 통한 본질적으로 계속적인 프로세스 흐름을 제공하기 위해서 그리고 그에 따라 양호한 처리량 매칭을 제공하기 위해서 상이한 모듈들 내에서 동작을 실행하는데 필요한 상이한 모듈들 내의 프로세싱 시간을 기초로 선택될 수 있다.
도 5a 내지 도 5d는 공작물을 위한 영역-선택적 침착(ASD) 방법의 다른 실시형태를 도시하고, 그러한 방법은 또한, 도 4의 공통 제조 플랫폼(400) 상에서 실행되는 도 3의 프로세스 흐름(300)에 따라 실시될 수 있다. 공작물(500)은 제1 재료의 목표 표면(520) 및 제1 재료와 상이한 제2 재료의 비-목표 표면(530)을 기판(510) 상에서 포함한다. 목표 표면(520) 및 비-목표 표면(530)은, 도시된 바와 같이, 도 1a 및 도 1c와 유사한 평면형 표면을 형성할 수 있거나, 도 1b 및 도 1d와 유사한 초기 단차-높이 차이를 가질 수 있다. 그에 따라, 공작물(500)은, 침착이 요구되는 노출된 제1 재료의 적어도 하나의 목표 표면(520) 및 침착이 요구되지 않는 노출된 제2 재료의 적어도 하나의 비-목표 표면(530)을 갖는, 적어도 제1 및 제2의 상이한 재료를 포함하는, 그 위에 형성된, 임의의 패턴을 가질 수 있다. 도 5a 내지 도 5d에 도시된 실시형태에서, 제1 재료는 금속이고, 그에 따라 목표 표면(520)은 목표 금속 표면이고, 제2 재료는 유전체 재료이고, 그에 따라 비-목표 표면(530)은 비-목표 유전체 표면이다. 목표 금속 표면(520) 상에 침착시키고자 하는 부가 재료는 제1 금속과 동일하거나 상이할 수 있거나, 유전체 재료일 수 있다.
도 3 및 도 4를 참조하면, 선택적인 동작(304)에서, 제1 전처리 모듈(415) 내에서, 제1 전처리 프로세스가 실시되어 공작물(200)을 처리 가스에 노출시킨다. 예를 들어, 처리 가스는 산화 가스 또는 환원 가스를 포함할 수 있다. 일부 예에서, 산화 가스는 O2, H2O, H2O2, 이소프로필 알코올, 또는 그 조합을 포함할 수 있고, 환원 가스는 H2 가스를 포함할 수 있다. 일 예에서, 처리 가스는 플라즈마-여기된 Ar 가스를 포함할 수 있거나, 그러한 가스로 구성될 수 있다. 처리 가스는 목표 금속 표면(520) 또는 비-목표 유전체 표면(530)의 표면을 세정하거나 변경하여 후속 ASD를 개선할 수 있다. 금속 표면 상의 ASD를 위해서, 목표 금속 표면(520)의 탈-산화 처리가 바람직할 수 있다.
도 5b, 도 3 및 도 4를 참조하면, 그리고 또한 선택적인 동작(304)에서, 제어된 환경을 벗어나지 않고, 예를 들어 진공을 파괴하지 않고, 제2 전처리 프로세스를 실시하여, 비-목표 유전체 표면(530)이 목표 금속 표면(520) 상에 침착되는 부가 재료에 대해서 대해서 덜 인력적이거나 반응적이 되게 한다. 도시된 바와 같이, 전처리는, 부가 재료가 위에 침착되는 것을 방지하기 위해서 그리고 목표 금속 표면(520)을 향한 선택비를 높이기 위해서 비-목표 유전체 표면(530) 위에 선택적으로 침착되는 장벽 층(540)을 포함할 수 있다. 장벽 층(540)은, 처리된 표면 상에 부가 재료가 침착되는 것을 방지하는 효과를 갖는 SAM 또는 임의의 다른 표면 처리 층일 수 있다.
이어서, 제어된 환경을 벗어나지 않고, 예를 들어 진공을 파괴하지 않고, 그리고 도 5c 및 도 3을 참조하면, 동작(306)에서, 필름-형성 모듈(420) 내에서, 금속 부가 재료(550)가 목표 금속 표면(520) 상에 선택적으로 침착되어 상승된 금속 패턴을 형성한다. 비-목표 유전체 표면(530) 상의 SAM(540)에 대비되는 목표 금속 표면(520)을 향한 선택비로 인해서, 금속 부가 재료(550)의 층이, 비-목표 유전체 표면(530) 상에서보다 빠른 침착 속도로, 목표 금속 표면(520) 상에 형성된다.
도 5c에 도시된 바와 같이, 필름-형성 모듈(420) 내의 침착 가스에 대한 노출은, 목표 금속 표면(520) 상의 금속 부가 재료(550)의 침착에 더하여, 선택비의 손실 또는 불충분한 선택비의 결과로서, 필름 핵(560)을 SAM(540) 상에 침착시킬 수 있다. 침착 선택비의 손실은, 예를 들어, 침착 프로세스가 너무 길게 실행되는 경우에 발생될 수 있다. 불충분한 또는 양호하지 못한 침착 선택비는, 예를 들어, SAM(540)의 표면 커버리지가 불완전하고 비-목표 유전체 표면(530) 상에서 공극을 포함하는 경우에 발생될 수 있다.
도 5d 및 도 3을 참조하면, 동작(308)에서, 그리고 제어된 환경을 떠나지 않으면서, 예를 들어 진공을 파괴하지 않으면서, 공작물(500)을 하나 이상의 에칭 모듈에 전달하여, 비-목표 유전체 표면(530)을 노출시킴으로써 목표 금속 표면(520) 상에서 ASD를 달성하기 위한 하나 이상의 에칭 단계를 실시한다. 이러한 예에서, 2개의 에칭 단계를 순차적으로 실시하여, 필름 핵(560)을 먼저 제거하고 이어서 SAM(540)을 제거한다. 공작물(500)이 제1 에칭 모듈(430)에서 에칭되어, 필름 핵(560)을 SAM(540)의 상부 표면으로부터 제거한다. 비록 금속 부가 재료(550)의 층이 또한 에칭 프로세스에 의해서 부분적으로 제거될 수 있지만, 필름 핵(560)은, 금속 부가 재료(550)의 층의 에칭보다 더 빨리 에칭될 것으로 예상된다. 에칭 프로세스는 건식 에칭 프로세스, 습식 에칭 프로세스, 또는 그 조합을 포함할 수 있다.
공통 제조 플랫폼(400) 상에서 호스팅된 제2 에칭 모듈(430)에서, SAM(540)을 제거하기 위해서, 공작물(500)이 에칭된다. 대안적으로, SAM(540)은, 지정된 처리 모듈 내에서 또는 통합된 프로세싱 단계들의 시퀀스 중의 다른 단계에서 사용되는 프로세싱 모듈 중 하나 내에서, 다른 방법에 의해서, 예를 들어 열처리에 의해서 제거될 수 있다.
동작(310)에서 결정된 바와 같이, 금속 부가 재료(550)의 층의 목표 두께에 도달하지 않은 경우에, 프로세스 화살표(312)에 의해서 개략적으로 도시된 바와 같이, 전술한 통합된 프로세싱 단계들(304 내지 308)의 시퀀스가, 전체적으로 또는 부분적으로, 한차례 이상 반복되어, 공작물(500) 상의 금속 부가 재료(550)의 층의 두께를 증가시킬 수 있다. 공작물(500) 상의 SAM(540)의 제거 및 후속하는 반복된 침착은, SAM(540)이 부가 재료 침착 및/또는 필름 핵(560)을 제거하기 위한 에칭 프로세스 중에 손상되는 경우에, 바람직할 수 있다. 그러나, SAM(540)이 손상되지 않은 경우에, 부가 재료 침착 및 필름 핵 에칭의 반복에서, 그 제거 및 재도포가 생략될 수 있다. 프로세스 흐름(300)의 완료 시에, 즉 동작(310)에서의 결정이 목표 두께에 도달하였다는 것을 표시할 때, 공작물(500)은 공통 제조 플랫폼(400)을 빠져 나간다. 전술한 바와 같은 동일한 선택적인 계측 단계(320 내지 326)가 또한 도 5a 내지 도 5d의 실시형태에서 실시될 수 있다.
실시형태에 따라, 제어된 환경 내에서, 측정 데이터는 공작물의 하나 이상의 속성과 관련하여 획득될 수 있고, 측정 데이터를 기초로, 공작물 상의 부가 재료의 결함성, 두께, 균일성 및/또는 선택비가 목표 조건을 만족시키는지의 여부가 결정될 수 있다. 목표 조건이 만족되지 않을 때, 공작물이 교정 모듈 내에서 프로세스될 수 있고, 그에 따라 부가 재료의 적어도 일부를 목표 표면 및/또는 비-목표 표면으로부터 제거할 수 있거나, 다른 교정 작용이 실시될 수 있다. 속성이 불일치된다는 것을 측정 데이터가 나타낼 때, 교정 작용은, 통합된 프로세싱 단계들의 시퀀스 내의 다음 프로세싱 단계를 실시하기 전에, 불일치 속성을 제거, 최소화 또는 보상할 수 있다.
전달 모듈 중 적어도 하나가 측정 데이터를 얻기 위한 그 지정 영역 내에 위치된 공작물 측정 영역을 포함할 수 있고, 데이터는, 공작물을 공작물 측정 영역 내로 통과시키는 것에 의해서, 복수의 프로세싱 모듈들 사이의 공작물의 전달 중 적어도 하나 중에, 얻어질 수 있다. 대안적으로 또는 부가적으로, 공통 제조 플랫폼이 하나 이상의 계측 모듈을 포함할 수 있고, 데이터는, 통합된 프로세싱 단계들의 시퀀스의 프로세싱 단계 중 하나 이상의 이전에, 사이에서 또는 그 후에, 공작물을 계측 모듈 내로 전달하는 것에 의해서 획득된다.
획득된 측정 데이터가 관련될 수 있는 하나 이상의 속성은, 부가 재료의 침착 전의 목표 표면의 속성, 부가 재료의 침착 전의 비-목표 표면의 속성, 부가 재료의 침착 후의 부가 재료의 층의 속성, 부가 재료의 침착 후의 비-목표 표면의 속성, 공작물의 에칭 후의 부가 재료의 층의 속성, 또는 공작물의 에칭 후의 비-목표 표면의 속성을 포함한다. 또한, 하나 이상의 속성이 목표 표면 상의 공극의 양, 비-목표 표면 상의 부가 재료의 양, 선택비의 손실, 부가 재료의 프로파일, 공작물의 다른 영역 상의 부가 재료의 양에 대한 공작물의 하나의 영역 상의 부가 재료의 양, 또는 그 중 둘 이상의 조합을 포함할 수 있다.
실시형태에서, 불일치 속성을 해결하기 위한 교정 작용은, 불일치 속성이, 적어도 부분적으로, 자가-조립 단일층에 의한 비-목표 표면의 불완전한 커버리지, 또는 미리 결정된 노출 영역 문턱값보다 큰 비-목표 표면의 노출 영역의 양을 기초로 할 때, 자가-조립 단일층을 제거하는 것을 포함할 수 있다. 다른 실시형태에서, 불일치 속성을 해결하기 위한 교정 작용은, 불일치 속성이, 적어도 부분적으로, 미리 결정된 단차-높이 문턱값보다 짧은, 목표 표면과 비-목표 표면 사이의 단차-높이 거리, 또는 미리 결정된 노출된 영역 문턱값보다 작은 비-목표 표면의 노출된 영역의 양을 기초로 할 때, 부가 재료의 층의 적어도 일부를 제거하는 것을 포함할 수 있다. 다른 실시형태에서, 불일치 속성을 해결하기 위한 교정 작용은, 불일치 속성이, 적어도 부분적으로, 미리 결정된 두께 문턱값보다 얇은, 목표 표면 위에 놓인 부가 재료의 두께를 기초로 할 때, 추가적인 부가 재료를 공작물에 부가하는 것을 포함할 수 있다. 다른 실시형태에서, 불일치 속성을 해결하기 위한 교정 작용은, 불일치 속성이, 적어도 부분적으로, 미리 결정된 잔류 두께 문턱값보다 두꺼운, 비-목표 표면 상의 잔류 부가 재료 또는 비-목표 표면 상의 잔류 자가-조립 단일층을 기초로 할 때, 공작물을 에칭하는 것을 포함할 수 있다. 또 다른 실시형태에서, 불일치 속성을 해결하기 위한 교정 작용은, 불일치 공작물 속성이, 적어도 부분적으로, 미리 결정된 반사도 문턱값보다 작은, 공작물로부터의 반사도를 기초로 할 때, 공작물을 처리하는 것을 포함할 수 있고, 그러한 처리는 온도 처리, 플라즈마 에칭 처리, 또는 그 조합이다.
본원에서 개시된 바와 같이, "계측 모듈" 또는 "측정 모듈"이라는 용어는, 매개변수 변동과 같은, 공작물 상의 다양한 불일치 또는 변동을 검출 또는 결정하기 위해서 또는 소정 종류의 오염과 같은, 공작물 상의 결함을 검출 또는 결정하기 위해서 공작물에서 측정을 할 수 있는 모듈/시스템/센서/툴을 지칭한다. 본원에서 사용된 바와 같이, "검사 시스템"이라는 용어는 일반적으로, 측정을 하거나 측정과 연관된 데이터 또는 신호를 수집하는, 측정 프로세스의 툴 또는 시스템 또는 모듈을 지칭할 것이다. 측정 모듈은 측정을 할 것이고, 본원에서 더 개시되는 바와 같이 프로세싱 플랫폼에서 이용하기 위한 데이터를 제공할 것이다. "계측 모듈" 및 "측정 모듈"이라는 용어는 본원에서 상호 교환 가능하게 사용될 것이고, 일반적으로 공작물 및 그 위에 형성되는 층 및 소자의 프로세싱을 나타내는, 공작물의 속성을 검출하고 측정하기 위해서 사용되는 측정 또는 계측 또는 감지 툴을 지칭한다.
여러 프로세싱 모듈들 사이에서 공작물을 이동시키기 위해서, 공통 제조 플랫폼은 일반적으로, 공통 제조 플랫폼 상에서 호스팅되고 프로세싱 모듈들과 측정 모듈(들) 사이에서 공작물을 이동시키도록 구성된, 하나 이상의 공작물 전달 모듈을 포함할 것이다. 측정 모듈이, 프로세싱 모듈과 유사하게, 공작물 전달 모듈과 커플링될 수 있다. 본 발명의 일부 실시형태에서, 본원에서 개시된 바와 같이, 측정 모듈 또는 그와 연관된 검사 시스템이 전달 모듈과 또는 그 내측에 통합되어, 공작물이 프로세싱 모듈들 사이에서 이동될 때, 측정 또는 계측을 제공한다. 예를 들어, 측정 모듈 또는 그 일부가 전달 모듈의 내부 공간 내측에 배치될 수 있다. 본원에서, 조합 전달 및 측정 기구가 전달 측정 모듈("TMM")으로 지칭될 것이다.
일 실시형태에서, 프로세싱 챔버 및 측정 모듈 모두를 포함하는 공통 제조 플랫폼은, 공작물 상의 속성과 연관된 측정 데이터를 프로세스하고 프로세싱 시퀀스에서의 공작물의 이동 및 프로세싱을 제어하기 위해서 측정 데이터를 이용하는, 시스템에 의해서 능동적으로 제어된다. 본 발명의 실시형태에 따라, 제어 시스템은 측정된 데이터 및 다른 데이터를 이용하여, 부분적으로 측정 데이터를 기초로 교정 프로세싱을 실시하고, 그에 따라 불일치 또는 결함을 교정하기 위한 프로세싱 시퀀스의 능동 차단을 제공한다. 더 구체적으로, 능동 차단 제어 시스템은 공통 제조 플랫폼 상에서 호스팅되고 부분적으로 측정 데이터를 기초로 교정 프로세싱을 실시하도록 구성되며, 공작물의 교정 프로세싱은, 불일치 및 결함이 검출된 상황을 해결하기 위해서 프로세스 시퀀스 내의 상류 또는 하류에 있는 플랫폼의 프로세싱 모듈 내에서 실시될 수 있다. 본 발명의 실시형태에서, 공작물은, 예를 들어 진공과 같은 제어된 환경 내에서 유지된다. 즉, 공통 제조 플랫폼 상에서, 프로세싱 모듈 및 측정 모듈은 제어된 환경에서 동작하고, 공작물 전달 모듈은 공작물을, 제어된 환경을 벗어나지 않고, 프로세싱 시퀀스 내의 복수의 프로세싱 모듈과 하나 이상의 측정 모듈 사이에서 전달한다.
본원에서 사용된 바와 같이, "능동 차단"이라는 용어는 일반적으로, 공작물 속성에 관한 데이터를 획득하기 위해서 그리고 그에 의해서 불일치 또는 결함 그리고 불일치 또는 결함을 교정 또는 개선하기 위한 제어의 교정 양태를 검출하기 위해서 다양한 제조 프로세스에 대해서 실시간으로 측정/계측 데이터를 캡쳐하도록 구현되는 제어 시스템을 지칭한다. 능동 차단 제어 시스템은, 프로세싱 시퀀스 및/또는 프로세스 단계를 실시하는 모듈의 동작을 능동적으로 변경하는 것에 의해서 반도체 제조 프로세스에서 다양한 불일치를 교정 및 개선하기 위해서, 데이터를 이용한다. 따라서, 능동 차단 제어 시스템은 또한 프로세스를 통해서 공작물을 이동시키기 위해서 사용되는 하나 이상의 전달 모듈(예를 들어, 410)과 인터페이스한다. 능동 차단 제어 시스템(이하에서 더 설명되는 바와 같은, 도 6의 622 및 도 7a 내지 도 7d의 722)은 데이터 수집 및 데이터 분석 및 불균일의 검출을 제조 프로세스와 함께 조율하고, 추가적으로, 검출되는 불일치 또는 결함을 해결하기 위해서 다수의 프로세싱 모듈의 작용을 지시한다. 능동 차단 제어 시스템은, 본원에서 능동 차단 구성요소로서 함께 지칭되는 딥 러닝 프로그램 또는 자율 학습 구성요소와 같은, 특별히 설계된 프로그램의 세트를 동작시키는, 본원에서 설명되는 바와 같은 하나 이상의 컴퓨터 또는 컴퓨팅 장치에 의해서 일반적으로 구현된다. 이해할 수 있는 바와 같이, 능동 차단 제어 시스템은, 여러 측정 모듈로부터의 데이터 수집 및 후속 분석을 조율하기 위해서 다수의 프로그램/구성요소를 포함할 수 있다. 능동 차단 제어 시스템은, 여러 측정된 불일치/결함을 해결하여 불일치/결함을 교정 또는 개선하기 위해서, 공통 제조 플랫폼 내의 다수의 프로세싱 모듈과 인터페이스한다. 능동 차단 제어 시스템은 그에 의해서 프로세싱 모듈 및 프로세싱 시퀀스 중 하나 이상을 제어하여, 목표 조건 또는 미리 결정된 문턱값으로 지칭될 수 있는, 본 발명의 바람직한 결과를 달성할 것이다.
능동 차단 제어 시스템은 또한, 불일치/결함이 검출된 때, 공작물을 상류 및/또는 하류 프로세싱 모듈로 이동시키기 위해서, 전달 모듈을 제어한다. 즉, 어떠한 것이 검출되는지에 따라, 본 발명의 시스템은 프로세싱 시퀀스를 따라서 공작물을 추가적으로 이동시킬 수 있거나, 검출된 불일치 또는 결함을 교정하거나 달리 해결하기 위해서 공작물을 교정 모듈로 또는 상류 프로세싱 모듈로 지향시킬 수 있다. 따라서, 피드포워드 및 피드백 메커니즘이 전달 모듈을 통해서 제공되어, 본 발명의 능동 차단을 제공한다. 또한, 프로세싱 시퀀스가 추후의 공작물을 위해서 상류 또는 하류에서 영향을 받을 수 있다.
본 발명의 능동 차단 특징은, 웨이퍼 내에서 작업-대-작업(run-to-run), 웨이퍼-대-웨이퍼를 이용하여 제조 프로세스의 성능, 수율, 처리량, 및 유연성을, 그리고 수집된 측정/계측 데이터를 이용하여 실시간 프로세스 제어를 개선한다. 공작물/기판/웨이퍼를 제어된 프로세싱 환경으로부터 제거하지 않으면서, 측정된 데이터가 프로세싱 중에 실시간으로 수집된다. 본 발명의 일 특징에 따라, 공통 제조 플랫폼에서, 기판이 예를 들어 진공과 같은 제어된 환경에서 유지되는 동안, 측정 데이터가 캡쳐될 수 있다. 즉, 공작물 전달 모듈(들)은, 제어된 환경을 벗어나지 않고, 복수의 프로세싱 모듈과 측정 모듈 사이에서 공작물을 전달하도록 구성된다. 능동 차단 제어는, 유입 공작물 및 모듈 또는 툴 상태 특성 모두를 기초로 각각의 공작물을 위한 최적의 레시피를 자동적으로 결정하기 위한, 피드-포워드 및 피드백 메커니즘과 함께 개발된 다변량의(multivariate), 모델-기반의 시스템을 제공할 수 있다. 능동 차단 제어 시스템은 제조 측정 데이터, 프로세스 모델 및 복잡한 제어 알고리즘을 이용하여, 최종 소자 목표를 향상시키는 중간 프로세스 목표의 동적인 미세-조정을 제공한다. 차단 시스템은, 전술한 것과 유사한 빌딩 블록(building block), 개념, 및 알고리즘을 이용하는 공통 제조 플랫폼 상에서, 단일 챔버, 프로세스 툴, 다수-툴, 프로세스 모듈 및 다수-프로세스 모듈에 걸친 비례 축소 제어 해결책을 가능하게 한다.
도 6은 공통 제조 플랫폼(600)에서 본 발명의 실시형태를 구현하기 위한 다른 시스템의 개략도이다. 플랫폼(600)은, 본 발명의 실시형태에 따른 능동 차단 제어 시스템(622)의 제어 하에서 통합된 공작물 프로세싱 및 공작물 측정/계측을 실시하기 위한 복수의 프로세싱 모듈/시스템을 포함한다. 도 6은, 하나 이상의 공작물 측정 모듈이 하나 이상의 전달 모듈을 통해서 하나 이상의 공작물 프로세싱 모듈과 함께 커플링되는, 본 발명의 실시형태를 도시한다. 그러한 방식으로, 본 발명의 특징에 따라, 공작물의 검사가 이루어질 수 있고, 그에 따라, 공작물이 공통 제조 플랫폼 내에서 유지되는 동안, 공작물의 속성과 연관된, 예를 들어 공작물의 재료 특성 및 공작물 상에 형성된 다양한 얇은 필름, 층 및 특징부와 관련된 측정 데이터를 제공할 수 있다. 본원에서 설명된 바와 같이, 측정 및 분석은, 에칭 또는 침착 단계와 같은, 프로세싱 단계의 완료 직후에 이루어질 수 있고, 수집된 측정 데이터는 분석될 수 있고 이어서 공통 제조 플랫폼에서 이용될 수 있고, 그에 따라, 재원을 벗어나거나 또는 불일치하거나, 또는 공작물 설계 매개변수에 대한 결함을 나타내는 임의의 측정 또는 특징부를 해결할 수 있다. 공작물은 교정 작용을 실시하기 위해서 공통 제조 플랫폼으로부터 제거될 필요가 없고, 그 대신, 제어된 환경 하에서 유지될 수 있다.
도 6을 참조하면, 공통 제조 플랫폼(600)이 도식적으로 도시되어 있다. 플랫폼(600)은 하나 이상의 공작물을 제조 플랫폼 내로 도입하기 위한 전방-단부 모듈(602)을 포함한다. 알려진 바와 같이, 전방-단부 모듈(FEM)은 공작물을 유지하는 하나 이상의 카세트를 포함할 수 있다. 전방-단부 모듈은 대기압에서, 그러나 청정 환경을 제공하기 위해서 불활성 가스로 퍼지된 곳에서 유지될 수 있다. 이어서, 하나 이상의 공작물이, 예를 들어 본원에서 설명된 바와 같은 하나 이상의 로드 록 챔버(미도시)를 통해서, 전달 모듈(610) 내로 전달될 수 있다. 도 6의 전달 모듈은, 공작물로부터 데이터를 캡쳐하기 위해서 통합된 측정 툴 또는 검사 시스템을 포함하는, 전달 측정 모듈(TMM)이다. 희망 시퀀스를 통한 공작물의 이동을 제공하기 위해서, 다수의 TMM들(610)이 인터페이스될 수 있다. 전달 측정 모듈(610)은 복수의 프로세싱 모듈과 커플링된다. 그러한 프로세싱 모듈은 여러 가지 상이한 프로세싱 단계들 또는 기능들을 제공할 수 있고, 하나 이상의 에칭 모듈(630), 하나 이상의 필름-형성 모듈(620), 하나 이상의 세정 모듈(640), 및 하나 이상의 측정 모듈(612a, 612b, 612c, 612d)을 포함할 수 있다. 본원에서 더 개시된 바와 같은 본 발명의 실시형태에 따라, 각각의 프로세싱 단계 이전에 또는 이후에, 전달 모듈(610)을 통해서 측정 모듈에 접근할 수 있다. 일 실시형태에서, 측정 모듈(예를 들어, 612c, 612d)이 전달 모듈(610)의 외부에 위치되고 여러 프로세싱 모듈과 유사하게 공작물을 삽입하고 수용하기 위해서 접근되며, 본원에서, 공통 제조 플랫폼(600)의 제어된 환경 내에 상주하는 계측 모듈로서 지칭될 수 있다. 대안적으로, 모듈(612a, 612b)과 같은, 측정 모듈 또는 적어도 그 일부가 각각의 전달 모듈 내에 위치될 수 있다. 보다 구체적으로, 측정 모듈(612a, 612b)의 전부 또는 일부가 전달 모듈(610) 내에 위치되어, 전달 프로세스 중에 공작물이 측정을 위해서 배치될 수 있는, 측정 영역을 그 안에 형성할 수 있다. 측정 영역은 전달 모듈(610)의 지정된 영역 내에 위치되고, 공작물의 배치를 위해서 전달 모듈의 전달 메커니즘에 의해서 접근될 수 있다. 주목한 바와 같이, 이는, 전달 모듈이 본질적으로 본원에서 설명된 바와 같은 전달 측정 모듈(TMM)이 되게 한다.
일반적으로, 전달 모듈은 전달 로봇을 수용하는 챔버를 내부에 형성하고, 전달 로봇은 공작물을, 진공 하에서, 다양한 게이트 밸브 및 접근 또는 전달 포트를 통해서 다양한 프로세싱 모듈 또는 측정 모듈 내로 이동시킬 수 있다. 측정 모듈을 공통 제조 플랫폼(600) 상에서 유지하는 것에 의해서, 측정 모듈은, 재원을 벗어난 또는 특정 공작물을 위한 공작물 설계 계획과 달리 불일치하는 임의의 공작물을 해결하기 위해서 또는 검출 가능한 결함을 해결하기 위해서 작업 중에 이용될 필요한 측정된 분석 데이터를 제공하기 위해서, 예를 들어 프로세싱 단계들 중 하나 이상의 사이에서 용이하게 접근된다. 그러한 방식으로, 실시간 데이터가 제공되고, 그에 따라 제조자가 시스템 내의 문제를 조기에 인식할 수 있게 하고, 그에 따라 개선 작용이, 캡쳐된 데이터 및 검출된 불일치 또는 결함에 따라서, 이후의 프로세싱 단계, 이전의 프로세싱 단계, 및/또는 미래의 프로세싱 단계와 같은, 현재의 프로세싱 시퀀스에서 취해질 수 있다. 그러한 방식으로, 생산성 및 효율이 증가될 수 있고, 프로세스 모니터링 고정비(overhead)가 감소될 수 있고, 불량 처리되거나 토출된 공작물 형태의 폐기되는 제품이 감소될 수 있다. 이들 모두는 제조자 또는 소자 제조업자에게 상당한 비용 절감을 제공한다.
주목한 바와 같이, 능동 차단 제어 시스템(622)을 포함하는 본 발명의 일 실시형태에서, 공작물의 속성과 관련된 측정된 데이터를 제공하기 위해서, 하나 이상의 측정 모듈은 프로세싱 모듈을 갖는 공통 제조 플랫폼 상에서 호스팅된다. 데이터는, 불일치를 검출하기 위해서 그리고 불일치가 검출된 때 공작물의 교정 프로세싱을 실시하기 위해서 능동 차단 제어 시스템(622)에 의해서 이용된다. 교정 프로세싱은, 불일치가 검출되는 때, 프로세스 시퀀스 내에서 상류 및/또는 하류에서 실시된다.
도 7a를 참조하면, ASD 방법을 실시하는데 적합한 예시적인 공통 제조 플랫폼(700)이 도시되어 있다. 공통 제조 플랫폼(700)은, 집적 회로 및 다른 소자의 제조를 위한 반도체 기판의 프로세싱을 위한 다수의 모듈 및 프로세싱 툴을 포함한다. 공통 제조 플랫폼(700)은, 프로세싱 모듈과 함께 공통 제조 플랫폼(700) 내에 포함되는 하나 이상의 계측/측정 모듈을 포함한다. 예를 들어, 플랫폼(700)은, 도시된 바와 같이 전달 모듈에 커플링된 복수의 프로세싱 모듈을 포함할 수 있다. 일부 실시형태에서, 측정 모듈 또는 툴이 또한, 적어도 부분적으로, 전달 모듈 내측에 위치된다. 따라서, 공작물이 프로세스될 수 있고, 이어서 능동 차단 제어 시스템에 의해서 추가적으로 프로세스되는 공작물의 속성과 연관된 다양한 제조 데이터를 수집하기 위해서 측정 모듈로 즉각적으로 전달될 수 있다. 능동 차단 제어 시스템은 프로세싱 및 측정 모듈로부터 데이터를 수집하고, 공작물의 선택적인 이동 및 복수의 프로세싱 모듈 중 하나 이상의 제어를 통해서 공통 제조 플랫폼 상에서 실행되는 프로세스 시퀀스를 제어한다. 또한, 플랫폼(700)의 프로세싱 시스템은, 공통 제조 플랫폼(700)의 제어된 환경을 벗어나지 않고, 공작물을 전달 모듈의 챔버 내측에서 그리고 다양한 프로세싱 모듈과 측정/계측 모듈 사이에서 전달할 수 있다. 능동 차단 제어 시스템은, 하나 이상의 측정 모듈로부터 획득된 공작물 측정으로부터 도출된 정보를 이용하여 다양한 프로세싱 모듈을 통한 순차적인 프로세스 흐름을 제어한다. 또한, 능동 차단 제어 시스템은 프로세싱 모듈 현장 측정 및 데이터를 통합하여, 플랫폼(700)을 통한 순차적인 프로세스 흐름을 제어한다. 제어된 환경에서 획득된 기판 상에서의 측정 데이터가, 본 발명에 따른 프로세스 흐름 제어 및 프로세스의 개선을 위해서, 단독적으로 또는 현장 프로세싱 모듈 측정 데이터와 조합되어 이용될 수 있다.
다시 도 7a를 참조하면, 공통 제조 플랫폼(700)은 공작물을 제어된 환경 내로 도입하기 위한 전방-단부 모듈(702)을 포함한다. 예시적인 플랫폼(700)은, 공작물 전달 모듈(710)의 주변부 주위에 구성된, 복수의 프로세싱 모듈(720a 내지 720d) 및 하나 이상의 측정/계측 모듈(716)을 포함한다. 공통 제조 플랫폼(700)은, 전방-단부 모듈(702)에 커플링된, 카세트 모듈(704) 및 로드 록 챔버(708)를 포함한다. 전방-단부 모듈(702)은 일반적으로 대기압에서 유지되나, 불활성 가스를 이용한 퍼지에 의해서 청정 환경이 제공될 수 있다. 로드 록 챔버(708)는 중앙의 공작물 전달 모듈(710)에 커플링되고, 플랫폼(700)의 제어된 환경 내에서의 프로세싱을 위해 공작물을 전방-단부 모듈(702)로부터 공작물 전달 모듈(710)로 전달하기 위해서 이용될 수 있다.
공작물 전달 모듈(710)은 매우 낮은 기본 압력(예를 들어, 5 x 10-8 Torr, 또는 그 미만)에서 유지될 수 있거나, 불활성 가스로 계속적으로 퍼지될 수 있다. 본 발명에 따라, 측정/계측 모듈(716)은 대기압 하에서 동작될 수 있거나 진공 조건 하에서 동작될 수 있다. 일 실시형태에 따라, 측정 모듈(716)은 진공 조건에서 유지되고, 웨이퍼는 플랫폼(700) 내에서 프로세스되고 진공을 벗어나지 않고 측정된다. 본원에서 더 개시된 바와 같이, 계측 모듈은, 하나 이상의 재료 특성 또는, 공작물의 속성 및/또는 공작물에 침착된 얇은 필름 및 층 또는 공작물 상에 형성된 소자의 속성을 측정할 수 있는, 하나 이상의 검사 시스템 또는 분석 툴을 포함할 수 있다. 본원에서 사용된 바와 같이, "속성"이라는 용어는, 프로세싱 시퀀스의 프로세싱 품질을 반영하는, 공작물, 공작물 상의 층, 공작물 상의 특징부 또는 소자 등의 측정 가능한 특징 또는 특성을 나타내기 위해서 사용된다. 이어서, 속성과 연관된 측정된 데이터를 이용하여, 능동 차단 제어 시스템을 통해서 측정된 데이터를 다른 현장 프로세싱 데이터와 함께 분석하는 것에 의해서 프로세스 시퀀스를 조정한다. 예를 들어, 측정된 속성 데이터는, 교정 프로세싱을 제공하기 위해서, 공작물 상의 불일치 또는 결함을 반영한다.
도 7a는 본질적으로 단일 측정 모듈(716)을 도시한다. 그러나, 특정 공통 제조 플랫폼(700)은, 공작물 전달 모듈(710)과 같은, 하나 이상의 공작물 전달 시스템 주위에 통합된 복수의 그러한 측정 모듈을 포함할 수 있다. 그러한 측정 모듈(716)은, 프로세싱 모듈과 같이 전달 모듈(710)을 통해서 접근되는 독립형 모듈일 수 있다. 그러한 독립형 모듈은 일반적으로 검사 시스템을 포함할 것이고, 검사 시스템은 모듈의 측정 영역 내에 배치되는 공작물과 결합되도록 그리고 공작물의 속성과 연관된 데이터를 측정하도록 구성된다.
본 발명의 대안적인 실시형태에서, 측정 모듈은 전달 모듈(710)에 의해서 형성된 전달 챔버의 내부 공간의 지정된 영역 내에 위치되는 측정 영역 내에서 구현될 수 있다. 또한 추가적으로, 측정 모듈이 포함될 수 있고, 측정 모듈의 적어도 일부가 공작물 전달 모듈의 내부 공간 내에 배치되고, 측정 모듈 또는 측정 모듈의 특정 검사 시스템의 다른 구성요소는 공작물 전달 모듈의 외부에 포함되고 개구 또는 창을 통해서 내부 공간의 지정 영역 내로 인터페이스되며, 그러한 내부 공간은 공작물이 내부에 위치되거나 공작물이 통과하게 되는 측정 영역을 형성한다.
본 발명의 시스템 및 플랫폼의 측정 모듈은, 공작물의 속성과 연관된 데이터를 측정하기 위해서 동작될 수 있는 하나 이상의 검사 시스템을 포함한다. 그러한 데이터는, 프로세싱 시퀀스의 품질 및 공작물 상에 형성되는 층 및 특징부 및 소자의 품질을 반영하는 하나 이상의 속성과 연관될 수 있다. 이어서, 공작물 또는 공작물 층/특징부 상의 다양한 불일치 및/또는 결함을 검출하기 위해서, 수집된 측정 데이터가, 프로세싱 모듈 데이터와 함께, 능동 차단 제어 시스템에 의해서 분석된다. 이어서, 시스템은, 불일치 또는 결함을 개선/교정하고 전체적인 프로세스를 개선하기 위해서, 예를 들어 프로세스 시퀀스 내의 상류 또는 하류 프로세싱 모듈에서, 공작물의 교정 프로세싱을 제공한다.
본 발명의 실시형태에 따라, 측정 모듈 또는 그 검사 시스템에 의해서 얻어진 측정 및 생성된 데이터는 공작물의 하나 이상의 속성과 연관된다. 예를 들어, 측정된 속성은, 예를 들어: 공작물 상의 제조된 전자 소자와 연관된, 층 두께, 층 등각성, 층 커버리지, 공작물 상의 층의 층 프로파일, 연부 배치 위치, 특정 특징부에 대한 연부 배치 오류(EPE), 임계 치수(CD), 블록 임계 치수(CD), 그리드 임계 치수(CD), 라인 폭 조도(LWR), 라인 연부 조도(LER), 블록 LWR, 그리드 LWR, 선택적 침착 프로세스(들)과 관련된 특성, 선택적 에칭 프로세스(들)과 관련된 특성, 물리적 특성, 광학적 특성, 전기적 특성, 굴절률, 저항, 전류, 전압, 온도, 질량, 속도, 가속도, 또는 그 일부 조합 중 하나 이상을 포함할 수 있다. 본 발명을 위한 측정 데이터를 생성하기 위한 측정된 속성의 목록은 제한되지 않으며, 공작물의 프로세싱 및 소자 제조를 위해서 이용될 수 있는 다른 속성 데이터를 포함할 수 있다.
본원에서 더 설명되는 바와 같이, 속성 데이터를 제공하기 위해서 이용되는 측정 모듈 및/또는 검사 시스템은, 본 발명의 측정 및 계측을 제공하기 위한, 많은 수의 측정을 위한 툴 및 방법을 구현할 수 있다. 측정 모듈 및/또는 검사 시스템은 광학적 방법 또는 비-광학적 방법을 포함할 수 있다. 광학적 방법은 고해상도 광학 이미징 및 현미경(예를 들어, 명시야, 암시야, 간섭성/비간섭성/부분적 간섭성, 편광, Nomarski 등), 초분광(다중-스펙트럼) 이미징, 간섭 측정(예를 들어, 위상 이동, 위상 변조, 간섭차 대조, 헤테로 다인, 푸리에 변환, 주파수 변조 등), 분광법(예를 들어, 광학적 방출, 광 흡수, 다양한 파장 범위, 다양한 스펙트럼 해상도 등), 푸리에 변환 적외선 분광법(FTIR) 반사 측정법, 산란 측정법, 분광 타원 측정법, 편광 측정법, 굴절계 등을 포함할 수 있다. 비 광학 방법은 전자 방법(예를 들어, RF, 마이크로파 등), 음향적 방법, 광-음향적 방법, 질량 분광법, 잔류 가스 분석기, 주사 전자 현미경(SEM), 투과 전자 현미경(TEM), 원자력 현미경(AFM), 에너지 분산 x-레이 분광기(EDS), x-레이 광-방출 분광기(XPS) 등을 포함할 수 있다. 예를 들어, 공작물의 속성과 연관된 데이터를 측정하기 위해서 이용되는 검사 시스템은 이하의 기술 또는 장치 중 하나 이상을 이용할 수 있다: 광학적 얇은 필름 측정, 예를 들어 반사 측정, 간섭 측정, 산란 측정, 프로파일 측정, 타원 측정; X-레이 측정, 예를 들어 X-레이 광-방출 분광 측정(XPS), X-레이 형광(XRF), X-레이 회절(XRD), X-레이 반사 측정(XRR); 이온 산란 측정, 예를 들어 이온 산란 분광법, 저에너지 이온 산란(LEIS) 분광법, 오거 전자 분광법, 2차 이온 질량 분광법, 반사 흡수 IR 분광법, 전자 빔 검사, 입자 검사, 입자 계수 장치 및 검사, 광학적 검사, 도펀트 농도 계측, 필름 비저항 계측, 예를 들어 4-점 탐침, 와전류 측정; 마이크로-저울, 가속도계 측정, 전압 탐침, 전류 탐침, 열 측정용 온도 탐침 또는 스트레인 게이지. 본 발명을 위한 측정 데이터를 생성하기 위한 측정 기술 또는 장치의 목록은 제한되지 않으며, 본 발명에 따라 공작물을 프로세싱하고 소자를 제조하는데 유용한 데이터를 획득하기 위해서 이용될 수 있는 다른 기술 또는 장치를 포함할 수 있다.
측정 모듈 및/또는 검사 시스템은, 제품 공작물, 또는 비-제품 기판 즉, 모니터링 기판을 포함하는, 프로세싱 시스템을 통과한 여러 기판 또는 공작물 구조물에서 측정을 할 수 있다. 제품 공작물에서, 측정은, 특정 소자 영역 상에서 또는 임의의 영역 상에서, 소자-유사 구조물 및 소자-비유사 구조물 모두인, 지정된 목표 구조물 상에서 실시될 수 있다. 측정은 또한, 피치 구조물, 영역 구조물, 밀도 구조물 등을 포함할 수 있는, 공작물 상에 생성된 테스트 구조물 상에서 실시될 수 있다.
도 7a를 다시 참조하면, 반도체 또는 규소(Si) 공작물과 같은 기판을 프로세스하도록 구성된 복수의 프로세싱 모듈(720a 내지 720d)이 전달 챔버(710)에 커플링된다. Si 공작물은, 예를 들어, 150 mm, 200 mm, 300 mm, 450 mm, 또는 450 mm 초과의 직경을 가질 수 있다. 다양한 프로세싱 모듈 및 측정 모듈 전부가, 예를 들어 밸브(G)를 갖는 적절한 게이트 접근 포트를 통해서 공작물 전달 모듈(710)과 인터페이스한다. 본원에서 개시된 본 발명의 일 실시형태에 따라, 제1 프로세싱 모듈(720a)은 공작물 상에서 처리 프로세스를 실시할 수 있고, 제2 프로세싱 모듈(720b)은 자가-조립 단일층(SAM)을 공작물 상에 형성할 수 있다. 제3 프로세싱 모듈(720c)은 적절한 선택적 침착 프로세스에 의해서 필름을 공작물 상에 침착시킬 수 있고, 제4 프로세싱 모듈(720d)은 공작물을 선택적으로 에칭 또는 세정할 수 있다.
전달 모듈(710)은 공작물을 프로세싱 모듈(720a 내지 720d) 중 임의의 모듈 사이에서 그리고 이어서, 특정 프로세싱 단계 전에 또는 이후에, 계측 모듈(716) 내로 전달하도록 구성된다. 도 7a는, 인접한 프로세싱 챔버들/툴 구성요소들 사이의 접근 포트들에서 격리를 제공하는 게이트 밸브(G)를 더 도시한다. 도 7a의 실시형태에 도시된 바와 같이, 프로세싱 모듈(720a 내지 720d) 및 계측 모듈(716)은 게이트 밸브(G)에 의해서 전달 챔버(710)에 직접적으로 커플링될 수 있고, 그러한 직접적인 커플링은 본 발명에 따른 기판 처리량을 크게 개선할 수 있다.
공통 제조 플랫폼(700)은, 본원에서 개시된 바와 같은 통합 프로세싱 및 측정/계측 프로세스 중에, 도 7a에 도시된 여러 프로세싱 모듈 및 연관된 프로세싱 챔버/툴을 제어하기 위해서 커플링될 수 있는 하나 이상의 제어기 또는 제어 시스템(722)을 포함한다. 제어기/제어 시스템(722)은 또한 하나 이상의 부가적인 제어기/컴퓨터/데이터베이스(미도시)에 커플링될 수 있다. 제어 시스템(722)은 네트워크를 통해서 부가적인 제어기/컴퓨터 또는 서버로부터 설정 및/또는 구성 정보를 획득할 수 있다. 제어 시스템(722)을 이용하여, 임의의 또는 모든 프로세싱 모듈 및 프로세싱 툴을 구성하고 작동시키며, 여러 측정 모듈로부터의 데이터 및 프로세싱 모듈로부터의 현장 데이터를 수집하여 본 발명의 능동 차단을 제공한다. 제어기(722)는, 임의의 또는 모든 프로세싱 모듈 및 툴 구성요소로부터의 데이터를 수집, 제공, 프로세스, 저장, 및 디스플레이한다. 제어 시스템(722)은, 본원에서 더 설명되는 바와 같이, 측정된 데이터 및 현장 프로세싱 데이터를 분석하기 위한, 그리고 본 발명의 능동 차단을 제공하기 위한 알고리즘, 예를 들어 딥 러닝 네트워크, 기계 학습 알고리즘, 자율 학습 알고리즘 및 다른 알고리즘을 구현하기 위한, 많은 수의 상이한 프로그램 및 애플리케이션 및 프로세싱 엔진을 포함할 수 있다.
본원에서 더 설명되는 바와 같이, 능동 차단 제어 시스템(722)은, 마이크로프로세서, 적절한 메모리, 및 디지털 I/O 포트를 갖는 하나 이상의 컴퓨터 장치로 구현될 수 있고, 통신하고, 플랫폼(700)의 여러 모듈에 대한 입력을 활성화하고, 플랫폼(700) 상에서 작동되는 기판 프로세싱 시스템과 정보를 교환하기에 충분한 제어 신호 및 전압을 생성할 수 있다. 제어 시스템(722)은, 플랫폼을 작동시키기 위해서, 플랫폼(700)의 프로세싱 시스템으로부터의 출력뿐만 아니라 플랫폼의 다양한 측정 모듈로부터의 측정 데이터를 모니터링한다. 예를 들어, 제어 시스템(722)의 메모리 내에 저장된 프로그램을 이용하여, 희망하는 통합된 공작물 프로세싱을 실시하기 위해서 프로세스 레시피 또는 시퀀스에 따라 여러 프로세싱 시스템 및 전달 시스템에 대한 입력을 활성화시킬 수 있다.
제어 시스템(722)은 또한 측정된 데이터뿐만 아니라 프로세싱 모듈에 의해서 출력된 현장 프로세싱 데이터를 이용하여, 공작물 내의 불일치 또는 결함을 검출하고 교정 프로세싱을 제공한다. 본원에서 설명된 바와 같이, 제어 시스템(722)은, 메모리 내의 프로그램에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서에 응답하여 본 발명의 마이크로프로세서 기반의 프로세싱 단계의 일부 또는 전부를 실시하는 범용 컴퓨터 시스템으로서 구현될 수 있다. 그러한 명령어는, 하드 디스크 또는 분리 가능한 매체 드라이브와 같은, 다른 컴퓨터 판독 가능 매체로부터 제어 시스템 메모리 내로 판독될 수 있다. 다수-프로세싱 기구 내의 하나 이상의 프로세서가 또한, 메모리에 포함된 명령어의 시퀀스를 실행하기 위한 제어 시스템 마이크로프로세서 요소로서 이용될 수 있다. 대안적인 실시형태에서, 본 발명을 구현하기 위해서, 고정-배선형(hard-wired) 회로소자가 소프트웨어 명령어 대신에 또는 그와 조합되어 이용될 수 있다. 따라서, 실시형태는, 본원에서 설명된 바와 같은 본 발명의 계측 드라이버 프로세스를 실행하기 위한 하드웨어 회로소자 및 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
능동 차단 제어 시스템(722)은 플랫폼(700)에 대해서 가까이에 위치될 수 있거나, 플랫폼(700)에 대해서 원거리에 위치될 수 있다. 예를 들어, 제어기(722)는, 직접적인 연결, 인트라넷 연결, 인터넷 연결 및 무선 연결 중 적어도 하나를 이용하여 플랫폼(700)과 데이터를 교환할 수 있다. 제어 시스템(722)은, 예를 들어, 고객측(예를 들어, 소자 제조자 등)에서 인트라넷에 커플링될 수 있거나, 예를 들어 공급자측(예를 들어, 장비 제조자)에서 인트라넷에 커플링될 수 있다. 또한, 예를 들어, 제어 시스템(722)은 적절한 유선 또는 무선 연결을 통해서 다른 시스템 또는 제어부에 커플링될 수 있다. 또한, 직접적인 유선 연결 또는 무선 연결, 예를 들어, 인트라넷 연결, 및/또는 인터넷 연결 중 적어도 하나를 통해서 데이터를 교환하기 위해서, 다른 컴퓨터(즉, 제어기, 서버 등)가, 예를 들어, 제어 시스템(722)에 접속할 수 있다. 또한 당업자가 이해할 수 있는 바와 같이, 제어 시스템(722)은 적절한 유선 또는 무선 연결을 통해서 공통 제조 플랫폼(700)의 모듈과 데이터를 교환할 것이다. 프로세싱 모듈은, 프로세싱 챔버 및 툴 그리고 모듈의 하위 시스템의 제어를 위한 입력 데이터를 취하고 프로세싱 시퀀스 중에 프로세스 매개변수 및 지표(metrics)와 관련된 현장 출력 데이터를 제공하는, 그 자체의 개별적인 제어 시스템(미도시)을 가질 수 있다.
특히 도 7a 및 도 7b를 참조하면, 그리고 일 실시형태에 따라, 측정 데이터는, 전달 모듈(710)에 커플링된 플랫폼(700) 상의 별도의 모듈인 측정/계측 모듈(716)에서 얻어질 수 있다. 일반적으로, 전달 모듈(710)은, 공작물을 핸들링하고 챔버의 내부 공간을 통해서 그리고 프로세싱 시퀀스에 따라 프로세싱 모듈의 내외로 이동시키는 하나 이상의 전달 메커니즘 또는 로봇(714)을 포함하는 챔버를 갖는다.
더 구체적으로, 전달 메커니즘(714)은, 제어된 환경을 형성할 수 있고 공작물을 내부 공간 및 환경을 통해서 그리고 선택적으로 복수의 프로세싱 모듈(720a 내지 720d) 및 측정 모듈(716)의 내외로 또는 측정 검사 시스템이 데이터를 측정하기 위해 내부 공간의 지정된 영역 내의 측정 영역 내외로 이동시키도록 구성되는, 전달 모듈(710)의 내부 공간(713)의 내측에 배치된다. 본 발명의 하나의 특징에 따라, 전달 모듈(710)의 내부 공간(713) 및 프로세싱 모듈(720a 내지 720d) 및 측정 모듈(716)이 공통 제조 플랫폼(700) 상에서 함께 커플링되기 때문에, 제어된 환경은, 일반적으로 측정 및 프로세싱 시퀀스의 대부분 또는 전부를 통해서, 공작물을 위해서 유지될 수 있다. 그러한 제어된 환경은 전달 모듈 또는 측정 모듈 내의 진공 환경 또는 불활성 가스 대기를 포함할 수 있다.
전달 모듈(710)은, 적절한 게이트(G)를 각각 가지는 복수의 접근 포트 또는 측면 포트를 포함하고, 이를 통해서 공작물이 복수의 프로세싱 모듈(720a 내지 720d)로 그리고 그로부터 이동된다. 플랫폼(700) 상에서 효율적인 처리량을 위한 필수적인 프로세싱 시퀀스를 제공하기 위해서, 복수의 프로세싱 모듈(720a 내지 720d)은, 하나 이상의 에칭 모듈 및 하나 이상의 필름-형성 또는 침착 모듈을 포함하는, 공통 플랫폼 상의 다양한 공작물 프로세싱 단계를 핸들링하는 모듈을 포함한다. 측정 모듈(716)은, 도 7a에 도시된 바와 같이, 적절한 게이트(G)를 통해서 측면 또는 접근 포트 중 하나에서 또한 전달 모듈(710)과 커플링된다. 다른 실시형태에서, 측정 모듈은 전달 모듈의 상단부에 형성된 포트에서 전달 모듈과 커플링된다. 본원에서 설명된 바와 같은 또한 추가적인 실시형태에서, 전달 모듈은 또한 측정 모듈로서 작용하고, 측정 데이터를 캡쳐하기 위한 측정 모듈의 적어도 일부가 전달 모듈의 내부 공간 내에 포함되거나 배치된다. 도 7c 및 도 7d에 도시된 바와 같이, 그러한 실시형태에서 전달 측정 모듈(TMM)은 전달 모듈의 내부 공간의 지정 영역 내에 위치된 측정 영역을 포함한다.
능동 차단 제어 시스템(722)은, 기판이 프로세싱 모듈 중 하나 이상과 측정/계측 모듈(716) 사이에서 프로세싱 시퀀스에 따라 이동할 때, 일반적으로 작업 중에 공작물 측정 데이터를 수집한다. 데이터가 캡쳐되고 이어서 분석되고 프로세스되며, 그에 따라, 본원에서 설명된 바와 같이, 불일치 또는 결함을 검출하고 교정 프로세싱을 제공한다. 능동 차단 제어 시스템(722)은 시퀀스의 프로세싱 단계의 필요한 제어를 제공하고, 그에 따라, 검출된 불일치/결함을 교정하기 위해서, 실시되는 다양한 제조 프로세싱 단계에 대한 조정을 제어한다. 조정은, 선행하는 프로세스 단계 및 프로세싱 모듈에 대해서 이루어질 수 있거나, 캡쳐된 측정 데이터의 상류 및/또는 뒤따르는 프로세스 단계에서 이루어지거나, 시퀀스 내의 측정 데이터의 하류에서 이루어진다. 대안적으로, 적합한 교정 작용 또는 교정 프로세싱은, 추가적인 시간 및 구제될 수 없는 공작물 상에서의 재료의 낭비를 방지하기 위해서, 플랫폼(700)으로부터의 공작물의 사출을 포함할 수 있다.
도 7b를 참조하면, 공통 제조 플랫폼(700) 상에서 실행되는 프로세싱 시퀀스와 관련하여 실시간으로 공작물에서 측정하기 위한 검사 시스템(730)을 포함하는 하나의 예시적인 측정 모듈(716)이 도시되어 있다.
검사 시스템(730)은, 본원에서 설명된 바와 같이, 공작물의 속성과 연관된 데이터를 측정한다. 검사 시스템(730)은, 측정 신호(734)를 공작물(736)을 향해서 지향시키는 하나 이상의 신호 공급원(732)을 포함한다. 입사 신호(734)는 공작물(736)의 표면으로부터 반사되거나 산란되며, 산란된 신호(735)는 검출기(740)에 의해서 캡쳐된다. 검출기(740)는 측정 데이터(750)를 생성하고, 그러한 측정 데이터는 이어서 본원에서 설명된 바와 같은 능동 차단 제어 시스템(722)으로 지향될 수 있다. 일 실시형태에서, 공작물(736)은, 측정 신호(734)가 공작물(736) 상의 다양한 적절한 위치로 지향될 수 있도록, 도 7b의 화살표로 표시된 바와 같이 좌우로, 그리고 위아래로 병진 운동될 수 있고 회전될 수 있는 측정 플랫폼(738) 상의 전달 메커니즘(714)에 의해서 배치된다.
즉, 도 7b의 실시형태에서, 측정 모듈은, 측정 모듈(716) 내에 배치된 공작물(736)을 지지하기 위한 별도의 지지 메커니즘(738)을 포함한다. 검사 시스템은, 지지 메커니즘 상에서 지지되는 공작물의 속성과 연관된 데이터를 측정하기 위해서 지지 메커니즘(738)과 결합된다. 그러한 시나리오에서, 측정 모듈(716) 내의 지지 메커니즘(738)은 일반적으로, 공작물(736)을 달리 이동시키고 지지 메커니즘 상에 배치하는 전달 메커니즘으로부터 분리된다.
별도의 지지 메커니즘은, 예를 들어 수직 및/또는 수평 이동을 통해서, 공작물(736)을 병진운동시키고, 또한 본원에서 설명된 바와 같은 공작물(736)의 속성과 연관된 데이터를 측정하기 위한 적어도 2개의 자유도를 제공하기 위해서 공작물(736)을 회전시킬 수 있다. 지지 메커니즘은 또한 공작물 온도를 제어하기 위한 온도 제어 요소를 내부에 포함할 수 있다. 그에 따라, 도 7b의 실시형태에서, 지지 메커니즘은, 공작물(736)이 전달 메커니즘에 의해서 위에 배치된 후에, 데이터의 측정에 필요한 공작물(736)의 지지 및 이동을 제공한다. 대안적인 실시형태에서, 전달 메커니즘은, 공작물(736) 상의 속성과 연관된 데이터를 측정하기 위한 검사 시스템(730)과의 결합을 위해서 공작물(736)을 지지하고 이동시키는 기능을 제공할 수 있다.
이어서, 캡쳐된 측정 데이터(750)는 제어 시스템(722)으로 지향될 수 있고, 측정된 공작물을 위한 특정 작용을 결정하기 위해서 추가적으로 평가 및 분석될 수 있다. 측정된 매개변수가 희망하는 설계 및 제조 프로세스의 재원 이내에 있다는 것을 측정 데이터가 나타내고/내거나 작용 가능한 검출된 결함이 없는 경우에, 공작물은 플랫폼(700) 내의 프로세스 흐름을 통해서 정상적으로 진행될 수 있다. 대안적으로, 공작물이 교정 또는 개선할 수 없다는 것을 측정된 데이터(750)가 나타내는 경우에, 공작물이 추가적인 프로세싱으로부터 사출될 수 있다. 대안적으로, 본 발명의 실시형태에 따라, 능동 차단 제어 시스템(722)은 데이터를 분석할 수 있고, 현재의 공작물을 교정하기 위해서, 그리고 또한 플랫폼(700) 상에서 후속하여 프로세스되는 다른 공작물에서의 교정 작용의 필요성을 방지하기 위해서, 해당 공작물을 위해서 취해지는 또는 전체적인 프로세스 흐름의 다양한 프로세스 단계에서 이루어지는 하나 이상의 교정 단계로서 교정 프로세싱을 제공할 수 있다. 구체적으로, 도 7b를 참조하면, 능동 차단 제어 시스템(722)은 프로세스 흐름에 대한 교정을 제공하기 위해서 하나 이상의 프로세싱 단계 및 프로세싱 구성요소를 포함할 수 있다. 첫 번째로, 블록(754)에 의해서 도시된 바와 같이, 필요한 측정 데이터(750)가 캡쳐될 수 있고 미리-프로세스될 수 있다. 다음에, 블록(756)에 의해서 표시된 바와 같이, 모델링 및 데이터 분석이 캡쳐된 데이터뿐만 아니라 프로세싱 모듈 및 프로세스 단계 중 하나 이상과 연관된 임의의 현장 프로세싱 데이터에 대해서 이루어진다. 모델링 및 분석은, 딥 러닝 프로그램 및 자율 학습 프로그램 그리고 구성요소를 포함하는, 인공 지능을 이용할 수 있다. 다음에, 분석은 교정 프로세스 제어를 제공할 수 있고, 여기에서, 공작물 제조를 위한 전체적인 설계와 관련된 재원을 벗어나는 층 및 특징부 내의 인지된 또는 검출된 불일치 또는 결함을 교정 또는 개선하도록, 프로세싱 단계 및 프로세싱 모듈 중 하나 이상이 제어된다. 프로세싱 단계 또는 프로세싱 모듈 중 하나 이상에 블록(758)의 교정 프로세스 제어가 제공될 수 있고, 이는, 측정 데이터(750)의 캡쳐 이전의 시간(상류)에 위치되는 하나 이상의 프로세싱 단계에 적용될 수 있거나, 바람직한 설계에 따른 전체적인 기판 제조에서 측정 데이터(750)의 캡쳐 이후(하류)의 프로세스 단계 중 하나 이상에 적용될 수 있다. 블록(754, 756 및 758)에 의해서 표시된 바와 같은 능동 차단 제어 시스템(722) 및 그 프로세스가, 제어 시스템(722)의 하나 이상의 컴퓨터 및/또는 그 시스템의 구성요소에 의해서 작동되는 소프트웨어 내에 포함될 수 있다.
본 발명의 실시형태에 따라, 측정 데이터를 획득하기 위한 검사 시스템은, 측정되는 속성 또는 측정의 유형에 따라, 접촉 측정 또는 계측 또는 비접촉 측정 또는 계측을 실시하는 것에 의해서, 공작물과 결합된다. 접촉 및 비접촉 측정 모두의 조합이 이용될 수 있다. 검사 시스템의 위치에 따라, 검사 시스템의 일부가, 부분적으로 또는 전체적으로, 모듈의 내부 공간 또는 챔버의 내측에 배치될 수 있다. 도 7a의 실시형태에서, 본원에서 개시된 바와 같이, 지정된 측정 모듈(716)이 전체적으로 검사 시스템 내에 포함될 수 있다. 대안적으로, 측정 모듈의 일부가 챔버의 내부 공간 내에, 예를 들어 공작물 전달 모듈의 내부 공간 내에 배치될 수 있고, 측정 모듈의 다른 부분은 챔버의 외측에 위치된다. 그러한 실시형태가 예를 들어 도 7d에 도시되어 있고, 여기에서 전달 측정 모듈은, 전달 챔버 내부 공간의 지정 영역 내에 위치된 측정 영역을 이용하여 예시되어 있고, 검사 시스템은 공작물 상의 속성과 연관된 데이터를 측정하기 위해서 측정 영역 내에 배치된 공작물과 결합되도록 구성된다.
공작물(736)을 유지하는 지지 메커니즘(738) 또는 전달 메커니즘(714)이 병진운동되고 회전되어, 공작물(736) 상의 여러 영역의 측정을 제공할 수 있다. 그러한 방식으로, 측정 데이터가 전체 공작물의 다양한 부분 또는 단편에서 캡쳐될 수 있다. 따라서, 연속적인 측정 또는 지점별(point-by-point) 측정이 가능하고, 그에 의해서 전체적인 측정 시간 및 프로세싱 시간을 단축한다.
예를 들어, 검사 시스템은 1 평방 센티미터 이상의 공작물의 부분에 걸쳐 데이터를 측정한다. 대안적으로, 검사 시스템은, 공작물의 작업 표면의 90% 이상의, 공작물의 상당한 부분을 측정하거나 이미지화한다. 주목한 바와 같이, 검사 시스템은 공작물의 작업 표면 상의 복수의 구분된 위치들에서 측정을 실시할 수 있거나, 공작물의 일부에 걸쳐 연속적인 시퀀스의 측정을 실시할 수 있다. 예를 들어, 검사 시스템은 공작물을 가로질러 또는 부분적으로 가로질러 연장되는 경로를 따라 측정을 실시할 수 있다. 그러한 경로는 라인, 라인의 시퀀스, 호, 원형 곡선, 나선 곡선, 아르키메데스 나선, 로그 나선, 황금 나선(golden spiral), 또는 그 일부 조합을 포함할 수 있다. 또한, 몇 개의 검사 시스템이 있을 수 있고, 여기에서 공급원/검출기 쌍(732, 740)이 상이한 검사 시스템으로부터의 상이한 검사 신호를 각각 나타낼 수 있고, 상이한 형태의 신호일 수 있다. 예를 들어, 검사 시스템에 따라, 하나의 공급원/검출기 쌍(732, 740)이 광학적 신호를 이용할 수 있는 한편, 다른 공급원/검출기 쌍(732, 740)은 전자기적 신호를 이용할 수 있다.
검사 시스템(들)은, 본원에서 설명된 바와 같이, 공작물이 측정 모듈 내에 있거나 전달 측정 모듈의 지정된 영역 내에 있는 동안, 공작물 상의 속성에 관한 다수의 측정을 실시할 수 있다. 측정은 시간적으로 동시에 이루어질 수 있다. 즉, 상이한 검사 시스템들이 동시에 측정을 할 수 있다. 대안적으로, 여러 검사 시스템들이 상이한 시간들에 동작할 수 있다. 예를 들어, 공작물을 하나의 유형의 측정 또는 검사 시스템을 위한 하나의 위치에서 이동 또는 배치하고, 이어서 공작물을 동일하거나 상이한 유형의 검사 시스템에 의한 다른 측정을 위해서 이동시키거나 배치할 필요가 있을 수 있다.
검사 시스템(들)은 비접촉 측정 및 계측을 제공하기 위한 비접촉 시스템일 수 있다. 대안적으로, 측정 모듈 또는 전달 측정 모듈의 하나 이상의 검사 시스템이, 측정을 하기 위해서 공작물의 표면으로 이동되고 그에 배치될 수 있는 접촉 센서를 이용할 수 있다. 본 발명에 따라 제공되는 검사 시스템은, 공작물의 속성과 연관된 측정 데이터를 수집하기 위해서, 접촉 검사 시스템 및 비접촉 검사 시스템의 조합을 포함할 수 있다.
전술한 바와 같이, 지지 메커니즘 또는 공작물 전달 메커니즘이 공작물을 이동시켜 검사 시스템과 결합시키고 공작물의 상이한 영역들에서 측정을 하는 동안, 측정 모듈 내에서 또는 전달 측정 모듈 내에서 구현된 바와 같은 검사 시스템이 정지적일 수 있다. 대안적으로, 검사 시스템(730), 또는 그 일부 부분이 공작물 지지 메커니즘(738), 공작물 전달 메커니즘(714) 및 모듈에 대해서 이동될 수 있다. 검사 시스템은 공작물의 영역으로부터 측정 데이터를 획득하기 위해서 정지적인 공작물에 대해서 병진운동 및/또는 회전되도록 구성될 수 있다.
본 발명의 다른 실시형태에서, 검사 시스템은 공작물 지지 메커니즘 내에 또는 그 부분 내에 내재될 수 있다. 검사 시스템(730)은 지지 메커니즘(738) 상에 장착 또는 지지될 수 있다. 이어서, 공작물이 지지 메커니즘 상에 배치될 때, 공작물은 검사 시스템에 의한 결합을 위한 적절한 위치 내에 있을 것이다. 예를 들어, 공작물의 질량 측정 또는 온도 측정과 연관된 측정 데이터를 제공하기 위해서, 배치된 공작물 아래에 놓이거나 달리 근접하여 놓이도록, 검사 시스템(730)이 지지 메커니즘 내에 내재될 수 있다.
도 7c는, 측정 영역을 형성하기 위해서 지정 영역을 이용하는 본 발명의 일 실시형태에 따른 전달 모듈(710')을 포함하는 공통 제조 플랫폼(700')을 도시하고, 여기에서 측정 데이터는 이동 중에 공작물로부터 수집될 수 있다. 그러한 방식으로, 본원에서 주목한 바와 같이, 공작물은, 진공 환경과 같은 제어된 환경 내에서 유지되는 동안, 프로세스되고 측정될 수 있다. 프로세스가 어떻게 진행되는지를 결정하기 위해서 그리고 임의의 불일치 또는 결함을 검출하기 위해서, 공작물이 플랫폼(700')의 환경을 벗어날 필요가 없다. 따라서, 도 7ca에 도시된 바와 같은 실시형태는, 하나 이상의 프로세싱 모듈과 함께 또는 공통 제조 플랫폼의 일부로서 이용될 수 있는 전달 측정 모듈(TMM)을 형성한다. 또한, 다수의 전달 측정 모듈들이 협력하여 더 큰 공통 제조 플랫폼을 형성하도록 이용될 수 있고 함께 인터페이스될 수 있다.
전달 측정 모듈(TMM) 내에 통합된 검사 시스템은 본원에서 설명된 바와 같은 다른 검사 시스템 내에서 동작하고 그러한 다른 검사 시스템과 유사하다. 도 7d에 도시된 바와 같은 그러한 검사 시스템은, 예를 들어, 특정 검사 시스템만을 도시한다. 그러나, 전술한 것과 같은 다른 검사 시스템 및 특징이 또한 도 7c에 도시된 전달 메커니즘 모듈에 적용될 수 있다. 따라서, 일부 공통되는 기준 번호들이 본원에서 전술한 바와 같이 도 7c 및 도 7d에서 이용된다.
플랫폼(700')은, 측정/계측 데이터를 제공하는 공작물 전달 모듈(710')을 포함한다. 전달 측정 모듈(TMM)(710')은, 예를 들어 전달 챔버(713)의 내부 공간 내의 핸들링 로봇(714) 형태의, 공작물 전달 메커니즘을 포함한다. 전달 메커니즘(714)은 플랫폼(700) 내에서와 같이 동작될 수 있고, 그에 따라 하나 이상의 공작물을 전달 모듈(710')을 통해서 그리고, 공통 제조 플랫폼 내의 전달 모듈(710')에 커플링된 여러 프로세싱 모듈들 사이에서 이동시킬 수 있다. 본 발명의 하나의 특징에 따라, 전달 챔버(713)는, 측정을 위해서 사용되는 지정 영역을 포함하는 내부 공간을 형성한다. TMM(710')의 측정 영역(715)은 지정 영역 내에 위치된다. 측정 영역/영역(715)은 측정을 위해서 하나 이상의 검사 시스템(730)에 근접한다.
더 구체적으로, 측정 영역(715)은, 프로세스 시퀀스를 통해서 그리고 여러 프로세싱 모듈의 내외로 공작물을 이동시키는 전달 측정 모듈의 일차적인 목적을 방해하지 않도록, 전달 챔버(713) 내에 배치된다. 측정 영역은 측정을 위한 공작물의 배치를 위한 하나 이상의 위치를 형성한다. 이를 위해서, 전달 챔버(713)의 측정 영역 내에 배치되는 공작물과 결합되도록 하나 이상의 검사 시스템이 구성된다. 이어서, 검사 시스템은 본 발명에 따라 공작물 상의 속성과 연관된 데이터를 측정하기 위해서 동작될 수 있다. 본원에서 개시된 검사 시스템과 관련하여 주목한 바와 같이, 검사 시스템에 의한 측정 데이터의 수집 중에 공작물을 지지하기 위해서, 지지 메커니즘이 측정 영역(715) 내에 위치될 수 있다. 대안적으로, 전달 메커니즘(714)이, 전달 챔버의 측정 영역(715) 내의 공작물의 배치 및 지지를 제공할 수 있다. 본 발명의 실시형태에 따라, 공작물은, 해당 측정 영역과 연관된 하나 이상의 검사 시스템으로부터의 측정 데이터를 획득하기 위해서, 프로세싱 시퀀스 중에 측정 영역(715) 내로 또는 통해서 이동될 수 있다. 예시를 위해서 단일 측정 영역이 도 7c에 도시되어 있지만, 다수의 측정 영역(715)이 TMM(710') 내로 통합될 수 있다.
도 7d를 참조하면, TMM 모듈(710')은 측정 영역(715) 내에 위치된 하나 이상의 검사 시스템(730)을 포함하고, 프로세싱 시퀀스 중에 실시간 측정 및 측정 데이터를 획득할 수 있는 능력을 제공한다. 일 실시형태에서, TMM(710') 내의 측정 영역(715)은, 챔버(713) 내의 측정을 위해서 메커니즘(714)으로부터 공작물을 수용하는 지지 메커니즘(738)을 포함한다. 공작물이 프로세싱 모듈들 사이에서 이동될 때, 측정 데이터가 캡쳐된다. 전술한 바와 같이, 대안적으로, 전달 메커니즘 또는 로봇(714)이 실질적으로, 공작물을 TMM(710') 내의 검사 시스템(730)에 대해서 이동시키기 위한 지지 메커니즘으로서 작용할 수 있다. 또한 추가적으로, TMM(710') 내의 검사 시스템(730)이 또한 정지적인 공작물을 포함할 수 있고, 여기에서 검사 시스템(730) 자체가 이동한다. 유사하게, 검사 시스템(730)은 지지 메커니즘의 일부로서 포함될 수 있거나 지지 메커니즘에 내재될 수 있다.
측정 모듈 또는 검사 시스템(730)이, 측정을 위해서, TMM(710') 내에 전체적으로 포함될 수 있다. 다른 실시형태에서, 도 7d에 도시된 바와 같이 내부 공간의 지정 영역 내에서 측정 영역을 형성하기 위해서, 측정 모듈 또는 검사 시스템의 적어도 일부가 TMM(710')의 내부 공간의 내측에 배치될 수 있는 한편, 다른 부분은 TMM(710')의 외부에 있을 수 있다. 더 구체적으로, 측정 영역(715)이 형성되고, 전달 챔버(713)의 내부 공간의 지정 영역 내에 위치된다. 검사 시스템(730)의 신호 공급원 및 신호 검출기 요소가 전달 챔버 내부 공간(713)의 외부에 위치될 수 있는 한편, 공작물(736)을 지지하기 위한 공작물 지지 메커니즘(738) 및 전달 메커니즘(714)이 전달 챔버(713) 내에 포함된다. 이를 위해서, 검사 신호(734)는 적절한 접근 포트(742)를 통과하고, 그러한 접근 포트는, 측정 영역(715) 내에 배치된 공작물(736)과의 결합을 위한 검사 시스템(730)으로부터 내부 공간(713) 내로의 검사 신호(734)의 통과에 대해서 유효하게 투과성이다. 주목한 바와 같이, 검사 신호(734)는 전자기 신호, 광학적 신호, 입자 빔, 대전 입자 빔, 또는 그러한 신호의 일부 조합을 포함할 수 있다. 접근 포트(742)는, 특정 검사 시스템 및 검사 신호의 공급원과 함께 동작하도록 적절히 형성될 수 있다. 예를 들어, 접근 포트(742)는, 입사 검사 신호가 공작물(736)과 결합할 수 있게 하기 위한 접근 포트를 형성하는, 창, 개구부, 밸브, 셔터, 및 아이리스(iris), 또는 상이한 구조물들의 일부 조합을 포함할 수 있다. 이를 위해서, 검사 시스템(730)의 적어도 일부가 일반적으로 전달 챔버(713)의 상단 표면 위에 위치될 수 있다.
본 발명의 하나 이상의 실시형태에 관한 설명으로 본 발명을 예시하였지만, 그리고 실시형태를 상당히 구체적으로 설명하였지만, 첨부된 청구항의 범위를 그러한 구체적인 내용으로 한정하거나 어떠한 방식으로도 제한하고자 하는 의도는 없다. 추가적인 장점 및 변형예가 당업자에 의해서 용이하게 도출될 수 있을 것이다. 따라서, 더 넓은 양태에서의 본 발명은 구체적인 세부 사항, 대표적인 장치 및 방법, 그리고 도시되고 설명된 예시적인 예로 제한되지 않는다. 따라서, 전반적인 본 발명의 개념의 범위를 벗어나지 않으면서, 그러한 세부 사항으로부터 변경이 이루어질 수 있다.

Claims (41)

  1. 하나 이상의 필름-형성 모듈, 하나 이상의 에칭 모듈, 및 하나 이상의 전달 모듈을 포함하는 복수의 프로세싱 모듈을 호스팅하는 공통 제조 플랫폼 상에서 실행되는, 통합된 프로세싱 단계들의 시퀀스를 이용하는, 반도체 공작물 상에서의 선택적인 침착 방법으로서, 상기 통합된 프로세싱 단계들의 시퀀스는,
    공작물을 공통 제조 플랫폼 내로 수용하는 단계로서, 상기 공작물은 제1 재료의 목표 표면 및 상기 제1 재료와 상이한 제2 재료의 비-목표 표면을 가지는, 단계;
    상기 하나 이상의 필름-형성 모듈 중 하나 내에서 부가 재료를 상기 공작물에 침착시키는 단계로서, 상기 비-목표 표면보다 빠른 침착 속도로 상기 목표 표면 상에 형성되는 부가 재료의 층을 초래하는 상기 비-목표 표면에 대한 선택비를 갖는, 단계;
    상기 부가 재료의 침착이 완료된 후에, 상기 하나 이상의 전달 모듈 중 하나의 전달 모듈에 의해, 상기 하나 이상의 필름-형성 모듈 중 하나의 필름-형성 모듈로부터 상기 하나 이상의 에칭 모듈 중 하나의 에칭 모듈로 상기 공작물을 전달하는 단계;
    원치 않는 부가 재료를 비-목표 표면으로부터 제거하기 위해서 상기 하나 이상의 에칭 모듈 중 상기 하나의 에칭 모듈에서 상기 공작물을 에칭하는 단계; 및
    상기 목표 표면 상의 상기 부가 재료의 층의 두께가 목표 두께보다 작은 경우에 상기 공작물의 침착 및 에칭을 반복하는 단계를 포함하고,
    상기 통합된 프로세싱 단계들의 시퀀스는 상기 공통 제조 플랫폼 내의 제어된 환경에서 그리고 상기 제어된 환경을 벗어나지 않고 실행되며, 상기 제어된 환경이 유지되는 상기 하나 이상의 전달 모듈을 이용하여, 상기 공작물을 상기 제어된 환경에서 유지하면서, 상기 공작물을 상기 복수의 프로세싱 모듈들 사이에서 전달하는, 방법.
  2. 제1항에 있어서,
    상기 하나 이상의 전달 모듈은 상기 하나 이상의 전달 모듈 중 적어도 하나의 전달 모듈의 지정 영역 내에 위치된 공작물 측정 영역을 더 포함하고, 검사하는 단계가, 상기 공작물을 상기 공작물 측정 영역 내로 통과시키는 것 그리고 상기 공작물의 하나 이상의 속성과 관련된 측정 데이터를 획득하는 것에 의해서, 상기 복수의 프로세싱 모듈들 사이의 상기 공작물의 전달 중 적어도 하나 중에 실시되는, 방법.
  3. 제2항에 있어서,
    상기 공작물 상의 부가 재료의 결함, 두께, 균일성, 및 선택비 중 적어도 하나를 결정하기 위해서 상기 목표 표면 및 상기 비-목표 표면 중 적어도 하나의 표면 상의 상기 부가 재료를 검사하는 단계;
    상기 검사가, 상기 부가 재료의 결함, 균일성, 및 선택비 중 적어도 하나가 목표 문턱값을 만족시키지 않는다는 것을 나타낼 때, (i) 상기 목표 표면을 에칭하는 것, (ii) 상기 비-목표 표면을 에칭하는 것, (iii) 추가적인 부가 재료를 상기 공작물 상에 침착시키는 것, (iv) 상기 공작물을 열처리하는 것, (v) 상기 공작물을 플라즈마 처리하는 것, 또는 그 중 둘 이상의 임의의 조합에 의해서, 교정 작업을 상기 공작물 상에서 실시하는 단계를 더 포함하는, 방법.
  4. 제3항에 있어서,
    상기 하나 이상의 속성은, 상기 부가 재료를 침착시키는 단계 이전의 상기 목표 표면의 속성, 상기 부가 재료의 침착 전의 상기 비-목표 표면의 속성, 상기 부가 재료의 침착 후의 상기 부가 재료의 층의 속성, 상기 부가 재료의 침착 후의 상기 비-목표 표면의 속성, 상기 공작물의 에칭 후의 상기 부가 재료의 층의 속성, 또는 상기 공작물의 에칭 후의 상기 비-목표 표면의 속성을 포함하는, 방법.
  5. 제4항에 있어서,
    상기 하나 이상의 속성이 상기 목표 표면 상의 공극의 양, 상기 비-목표 표면 상의 부가 재료의 양, 선택비의 손실, 상기 부가 재료의 프로파일, 상기 공작물의 다른 영역 상의 부가 재료의 양에 대한 상기 공작물의 하나의 영역 상의 부가 재료의 양, 또는 그 중 둘 이상의 조합을 포함하는, 방법.
  6. 제1항에 있어서,
    상기 목표 표면의 표면 말단 또는 상기 비-목표 표면의 표면 말단, 또는 그 조합을 변경하기 위해서, 상기 부가 재료를 침착시키는 단계 전에 상기 공작물을 전처리하는 단계를 더 포함하고, 상기 공통 제조 플랫폼 상에서 호스팅된 상기 복수의 프로세싱 모듈은, 상기 제어된 환경에서 전처리를 실시하기 위한 하나 이상의 전처리 모듈을 포함하는, 방법.
  7. 제1항에 있어서,
    상기 공통 제조 플랫폼은 하나 이상의 계측 모듈을 포함하고, 검사하는 단계가, 상기 공작물을 상기 계측 모듈 내로 전달하는 것 그리고 상기 공작물의 하나 이상의 속성과 관련된 측정 데이터를 획득하는 것에 의해서, 상기 제어된 환경을 벗어나지 않고 실시되고, 상기 측정 데이터는, 상기 통합된 프로세싱 단계들의 시퀀스 중의 프로세싱 단계 중 하나 이상의 사이에서 또는 그 이후에 획득되는, 방법.
  8. 제3항에 있어서,
    상기 제1 재료는 제1 유전체 재료이고, 상기 제2 재료는 금속이며, 상기 부가 재료는 제2 유전체 재료이며, 상기 통합된 프로세싱 단계들의 시퀀스는,
    적어도 부분적으로, 상기 제1 유전체 재료보다 상기 금속에서 더 큰 반응 속도를 갖는 자가-조립 단일층을 기초로, 상기 목표 표면에 상대적으로 상기 비-목표 표면 상에 자가-조립 단일층을 선택적으로 형성하는 단계를 더 포함하고,
    상기 비-목표 표면을 노출시키기 위해서 상기 공작물을 에칭하는 단계는, 자가-조립 단일층 및 제2 유전체 재료의 핵이 존재하는 경우에 그러한 핵을 상기 비-목표 표면으로부터 제거하는 단계를 포함하고, 상기 반복하는 단계는, 상기 목표 두께에 도달할 때까지, 상기 선택적으로 형성하는 단계, 침착시키는 단계, 에칭하는 단계, 및 상기 공작물을 검사하는 단계를 반복하는 단계를 포함하는, 방법.
  9. 제8항에 있어서,
    상기 검사하는 단계는, 상기 하나 이상의 전달 모듈 중 적어도 하나의 지정 영역 내에 위치된 공작물 측정 영역 내의 또는 상기 공통 제조 플랫폼 상에서 호스팅된 계측 모듈 내의 상기 공작물의 하나 이상의 속성과 관련된 측정 데이터를 획득하는 단계를 더 포함하고, 상기 교정 작업은, 상기 부가 재료의 결함, 균일성 및 선택비 중 적어도 하나와 관련된 하나 이상의 속성에서의 불일치를 제거, 최소화, 또는 보상할 수 있는, 방법.
  10. 제9항에 있어서,
    상기 교정 작업은,
    상기 불일치가, 적어도 부분적으로, 상기 자가-조립 단일층에 의한 상기 비-목표 표면의 불완전한 커버리지, 또는 미리 결정된 노출 영역 문턱값보다 큰 상기 비-목표 표면의 노출 영역의 양을 기초로 할 때, 상기 자가-조립 단일층을 제거하는 단계;
    상기 불일치가, 적어도 부분적으로, 미리 결정된 단차-높이 문턱값보다 짧은, 상기 목표 표면과 상기 비-목표 표면 사이의 단차-높이 거리, 또는 상기 미리 결정된 노출된 영역 문턱값보다 작은 상기 비-목표 표면의 노출된 영역의 양을 기초로 할 때, 상기 부가 재료의 층의 적어도 일부를 제거하는 단계;
    상기 불일치가, 적어도 부분적으로, 미리 결정된 두께 문턱값보다 얇은, 상기 목표 표면 위에 놓인 상기 부가 재료의 두께를 기초로 할 때, 추가적인 부가 재료를 상기 공작물에 부가하는 단계;
    상기 불일치가, 적어도 부분적으로, 미리 결정된 잔류 두께 문턱값보다 두꺼운, 상기 비-목표 표면 상의 잔류 부가 재료 또는 상기 비-목표 표면 상의 잔류 자가-조립 단일층을 기초로 할 때, 상기 공작물을 에칭하는 단계; 또는
    상기 불일치 공작물 속성이, 적어도 부분적으로, 미리 결정된 반사도 문턱값보다 작은, 상기 공작물로부터의 반사도를 기초로 할 때, 상기 공작물을 열처리 또는 플라즈마 처리하는 단계 중 하나 이상을 포함하는, 방법.
  11. 제8항에 있어서,
    상기 제1 및 제2 유전체 재료가 산화물이고, 상기 제2 유전체 재료를 침착시키는 단계는, 상기 목표 표면을 형성하는 산화물 패턴의 수직 높이를 증가시키는, 방법.
  12. 제11항에 있어서,
    상기 목표 표면 및 상기 비-목표 표면이 평면형 표면을 형성하고, 상기 제2 유전체 재료를 상기 목표 표면 상에 침착시키는 단계가 상기 평면형 표면 위에 상승된 산화물 패턴을 형성하는, 방법.
  13. 제11항에 있어서,
    상기 목표 표면은, 상기 비-목표 표면을 형성하는 금속 라인들 사이에 형성된 유전체 트렌치 패턴의 노출된 하단 표면을 포함하고, 상기 목표 표면 상에 상기 제2 유전체 재료를 침착시키는 단계는 상기 유전체 트렌치 패턴을 적어도 부분적으로 충진하기 위한 바닥으로부터 채워지는 산화물 성장 과정이고, 상기 공작물을 에칭하는 단계는 상기 유전체 트렌치 패턴에 인접한 상기 금속 라인의 상부 평면형 표면으로부터 자가-조립 단일층 및, 제2 유전체 재료의 핵이 존재하는 경우에 그러한 핵을 제거하는, 방법.
  14. 제8항에 있어서,
    상기 비-목표 표면의 금속에 대한 상기 목표 표면의 상기 제2 유전체 재료 대 상기 제1 유전체 재료의 선택비가 적어도 10:1인, 방법.
  15. 제3항에 있어서,
    상기 제1 재료는 제1 금속이고, 상기 제2 재료는 유전체 재료이며, 상기 부가 재료는 제2 금속이며, 상기 통합된 프로세싱 단계들의 시퀀스는, 상기 부가 재료를 침착시키는 단계 전에,
    금속 산화물을 제거하기 위해서 상기 목표 표면을 에칭하는 단계;
    상기 비-목표 표면의 유전체 영역보다 상기 목표 표면의 더 많은 금속 영역이 노출되도록, 상기 제1 금속의 목표 표면에 대한 상기 유전체 재료의 비-목표 표면 상에 장벽 층을 선택적으로 형성하는 단계로서, 상기 제2 금속의 부가 재료를 상기 공작물 상에 침착시키는 단계는, 적어도 부분적으로, 상기 장벽 층의 선택적인 형성을 기초로, 상기 목표 표면의 금속 영역과 상기 비-목표 표면의 유전체 영역 사이의 단차-높이 거리를 변화시키는, 단계를 더 포함하고,
    상기 반복하는 단계는, 상기 목표 두께에 도달할 때까지, 적어도 선택적으로 형성하는 단계, 침착시키는 단계, 공작물을 에칭하는 단계, 및 검사하는 단계를 반복하는 단계를 포함하는, 방법.
  16. 제15항에 있어서,
    상기 검사하는 단계는, 상기 하나 이상의 전달 모듈 중 적어도 하나의 지정 영역 내에 위치된 공작물 측정 영역 내의 또는 상기 공통 제조 플랫폼 상에서 호스팅된 계측 모듈 내의 상기 공작물의 하나 이상의 속성과 관련된 측정 데이터를 획득하는 단계를 포함하고, 상기 교정 작업은, 상기 부가 재료의 결함, 균일성 및 선택비 중 적어도 하나와 관련된 하나 이상의 속성에서의 불일치를 제거, 최소화, 또는 보상할 수 있는, 방법.
  17. 제16항에 있어서,
    상기 교정 작업은,
    상기 불일치가, 적어도 부분적으로, 상기 장벽 층에 의한 상기 비-목표 표면의 불완전한 커버리지, 또는 미리 결정된 노출 영역 문턱값보다 큰 상기 비-목표 표면의 노출 영역의 양을 기초로 할 때, 상기 장벽 층을 제거하는 단계;
    상기 불일치가, 적어도 부분적으로, 미리 결정된 단차-높이 문턱값보다 짧은, 상기 목표 표면과 상기 비-목표 표면 사이의 단차-높이 거리, 또는 상기 미리 결정된 노출된 영역 문턱값보다 작은 상기 비-목표 표면의 노출된 영역의 양을 기초로 할 때, 상기 부가 재료의 층의 적어도 일부를 제거하는 단계;
    상기 불일치가, 적어도 부분적으로, 미리 결정된 두께 문턱값보다 얇은, 상기 목표 표면 위에 놓인 상기 부가 재료의 두께를 기초로 할 때, 추가적인 부가 재료를 상기 공작물에 부가하는 단계;
    상기 불일치가, 적어도 부분적으로, 미리 결정된 잔류 두께 문턱값보다 두꺼운, 상기 비-목표 표면 상의 잔류 부가 재료 또는 상기 비-목표 표면 상의 잔류 장벽 층을 기초로 할 때, 상기 공작물을 에칭하는 단계; 또는
    상기 불일치 공작물 속성이, 적어도 부분적으로, 미리 결정된 반사도 문턱값보다 작은, 상기 공작물로부터의 반사도를 기초로 할 때, 상기 공작물을 열처리 또는 플라즈마 처리하는 단계 중 하나 이상을 포함하는, 방법.
  18. 제15항에 있어서,
    상기 목표 표면 및 상기 비-목표 표면이 평면형 표면을 형성하고, 상기 제2 금속을 상기 목표 표면 상에 침착시키는 단계가 상기 평면형 표면 위에 상승된 금속 패턴을 형성하는, 방법.
  19. 제15항에 있어서,
    상기 목표 표면은, 상기 비-목표 표면을 형성하는 중간층 유전체 내에 형성된 함몰된 금속 특징부 패턴의 노출된 하단 표면이고, 상기 제2 금속을 상기 목표 표면 상에 침착시키는 단계는, 상기 함몰된 금속 특징부 패턴을 적어도 부분적으로 충진하기 위한 바닥으로부터 채워지는 금속 침착이고, 상기 공작물을 에칭하는 단계는 상기 함몰된 금속 특징부 패턴에 인접한 상기 중간층 유전체의 상부 평면형 표면으로부터 제2 금속의 핵을 제거하는 단계를 포함하는, 방법.
  20. 제15항에 있어서,
    상기 비-목표 표면에 대한 상기 목표 표면의 상기 제2 금속 대 상기 제1 금속의 선택비가 적어도 10:1인, 방법.
  21. 제15항에 있어서,
    상기 장벽 층을 선택적으로 형성하는 단계는 자가-조립 단일층을 침착시키는 단계 또는 표면 말단 그룹을 부가하기 위해서 표면 층을 처리하는 단계를 포함하는, 방법.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
KR1020207029982A 2018-03-20 2019-03-18 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법 KR102580108B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201862784155P 2018-12-21 2018-12-21
US62/784,155 2018-12-21
US201962787607P 2019-01-02 2019-01-02
US201962787608P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04
PCT/US2019/022711 WO2019182955A1 (en) 2018-03-20 2019-03-18 Platform and method of operating for integrated end-to-end area-selective deposition process

Publications (2)

Publication Number Publication Date
KR20200124313A KR20200124313A (ko) 2020-11-02
KR102580108B1 true KR102580108B1 (ko) 2023-09-18

Family

ID=67984267

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207029982A KR102580108B1 (ko) 2018-03-20 2019-03-18 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법

Country Status (4)

Country Link
US (2) US11302588B2 (ko)
KR (1) KR102580108B1 (ko)
TW (2) TW202006784A (ko)
WO (1) WO2019182955A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302588B2 (en) * 2018-03-20 2022-04-12 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
WO2019182961A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
KR20240047447A (ko) * 2021-08-25 2024-04-12 제미나티오, 인코포레이티드 반도체 패터닝의 보조 피처 배치
WO2023028245A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. Self-aligned build-up processing
CN114025487B (zh) * 2021-10-22 2024-03-08 广州兴森快捷电路科技有限公司 Pcb的制作方法、pcb的制作系统、电子设备及存储介质
TWI824680B (zh) * 2022-08-25 2023-12-01 美商杰米納帝歐股份有限公司 自對準堆積方法
CN116544146B (zh) * 2023-05-22 2024-04-09 浙江固驰电子有限公司 功率半导体器件真空烧结设备及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US20180012752A1 (en) * 2016-07-11 2018-01-11 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126482A1 (en) 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080199504A1 (en) 2007-02-15 2008-08-21 Syed Faiyaz Ahmed Hossainy Dynamers for therapeutic agent delivery applications
US9822460B2 (en) * 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
KR20180070505A (ko) * 2015-10-14 2018-06-26 엑소제네시스 코포레이션 가스 클러스터 이온빔 기술에 기반한 중성빔 처리 방법을 이용한 초미세 에칭 방법
US10329683B2 (en) * 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US10211318B2 (en) * 2016-11-29 2019-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US11302588B2 (en) * 2018-03-20 2022-04-12 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US20180012752A1 (en) * 2016-07-11 2018-01-11 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment

Also Published As

Publication number Publication date
US11302588B2 (en) 2022-04-12
US20190295845A1 (en) 2019-09-26
TW202006784A (zh) 2020-02-01
WO2019182955A1 (en) 2019-09-26
TW201947638A (zh) 2019-12-16
KR20200124313A (ko) 2020-11-02
US20190295903A1 (en) 2019-09-26
US11152268B2 (en) 2021-10-19

Similar Documents

Publication Publication Date Title
KR102580108B1 (ko) 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
JP7395094B2 (ja) プラットフォーム、及び統合的エンドツーエンド自己整合マルチパターニングプロセスの操作方法
US10861744B2 (en) Platform and method of operating for integrated end-to-end CMP-less interconnect process
US10784175B2 (en) Platform and method of operating for integrated end-to-end gate contact process
KR101124186B1 (ko) 유전체 에칭 효율 개선을 위해 통합된 계측을 이용하는방법 및 장치
US20070134821A1 (en) Cluster tool for advanced front-end processing
KR101127431B1 (ko) 통합형 계측 툴을 이용한 챔버 안정성 모니터링
WO1999031483A1 (en) Spectrometric method for analysis of film thickness and composition on a patterned sample
KR20090035578A (ko) 향상된 전단부 처리를 위한 클러스터 기기
WO2008157154A1 (en) Method and apparatus for optimizing a gate channel
WO2008157156A1 (en) Method and apparatus for creating a gate optimization evaluation library
TW201630099A (zh) 使用精密塗佈的整合基板缺陷偵測
US20190393105A1 (en) Protective coating on photoresist for photoresist metrology
US20120045721A1 (en) Method for forming a self-aligned double pattern
US20120045722A1 (en) Technique to form a self-aligned double pattern
TWI835781B (zh) 用於整合型頭尾相接式自對準多重圖案化製程之操作方法
WO2021138132A1 (en) High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
CN116583938A (zh) 多步骤半导体制造工艺中的机器学习

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant