KR20090035578A - 향상된 전단부 처리를 위한 클러스터 기기 - Google Patents

향상된 전단부 처리를 위한 클러스터 기기 Download PDF

Info

Publication number
KR20090035578A
KR20090035578A KR1020097002228A KR20097002228A KR20090035578A KR 20090035578 A KR20090035578 A KR 20090035578A KR 1020097002228 A KR1020097002228 A KR 1020097002228A KR 20097002228 A KR20097002228 A KR 20097002228A KR 20090035578 A KR20090035578 A KR 20090035578A
Authority
KR
South Korea
Prior art keywords
substrate
chamber
processing
processing apparatus
support
Prior art date
Application number
KR1020097002228A
Other languages
English (en)
Inventor
란드히르 타쿠르
아르카디 사모일로브
페르-오베 한슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/460,864 external-priority patent/US20070134821A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090035578A publication Critical patent/KR20090035578A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 다수-챔버 처리 시스템을 이용하여 기판들을 처리하기 위한 장치 및 방법을 제공하는 것으로서, 상기 장치 및 방법은 기판을 처리하도록 그리고 기판상에서 형성되는 처리의 결과를 분석하도록 구성된다. 본 발명의 일 측면에서, 하나 이상의 분석 단계 및/또는 예비세정 단계를 이용하여 소자 수득에서의 큐 시간의 영향을 감소시킨다. 본 발명의 일 측면에서, 시스템 제어부 및 하나 이상의 분석 챔버를 이용하여 처리 챔버 레시피 및 처리 시퀀스를 모니터링하고 제어하여 형성 소자내의 결함으로 인한 파기 기판 문제 및 소자 성능 변동성 문제를 감소시킨다. 본 발명의 실시예들 역시 다양한 용도에서 이용되는 반도체 소자들을 반복가능하게 그리고 신뢰가능하게 형성하기 위한 방법 및 시스템을 제공한다.

Description

향상된 전단부 처리를 위한 클러스터 기기 {CLUSTER TOOL FOR ADVANCED FRONT-END PROCESSING}
본원발명의 실시예들은 일반적으로 기판 처리 모듈, 기판 준비 챔버 및/또는 처리 검증(process verification) 및 분석 챔버 모두를 포함하는 처리 절차를 수행하도록 구성된 집적 처리 시스템(integrated processing system)에 관한 것이다.
반도체 소자를 형성하는 처리는, 일반적으로 멀티-챔버 처리 시스템(예를 들어, 클러스터 툴(cluster tool)) 내에서 이루어지며, 이는 제어된 처리 환경에서 기판(예를 들어, 반도체 웨이퍼)을 처리하는 능력을 갖는다. 전형적인 제어된 처리 환경은, 메인 프레임(main frame)에 연결된 멀티 진공 처리 챔버와 로드록 사이에서 기판을 이송하는 기판 이송 로봇을 하우징하는 메인 프레임을 가지는 시스템을 포함할 것이다. 제어된 처리 환경은 다수의 장점을 갖는데, 이송 동안 그리고 다양한 기판 처리 단계가 완료되는 동안 기판 표면의 오염을 최소화하는 것이다. 따라서, 제어된 환경에서의 처리는 생성될 수 있는 많은 결점을 감소시키고 소자 수득(device yield)을 증진시킨다.
기판 제조 처리의 효과는 종종 2개의 관련되고 중요한 인자에 의해 측정되는데, 이는 소자 수득 및 소유 비용(CoO; cost of ownership)이다. 이러한 인자들 은, 전자 소자를 제조하는 비용에 직접 영향을 주며 따라서 시장에서 소자 제조자의 경쟁력에 영향을 주기 때문에 중요하다. CoO는, 다수의 인자에 의해서 영향을 받기는 하지만, 소자 처리 절차 동안에 형성되는 소자의 생산량과 기판 처리량, 또는 단순히 단위 시간당 기판의 개수에 가장 큰 영향을 받는다. 처리 시퀀스는 일반적으로 소자 제조 단계, 처리 레시피 단계(process recipe steps) 순서로서 규정되며 클러스터 기기 내의 하나 또는 그 이상의 처리 챔버에서 완료된다. 처리 시퀀스는 일반적으로 다양한 기판(또는 웨이퍼) 제조 처리 단계를 포함한다.
산업상 반도체 소자의 크기를 감소하고 소자 처리 속도를 개선하며 소자에 의한 열 생성을 줄이는 것에 대한 요구는, 산업상 허용하는 크기를 감소시키는 다양성으로서 처리하도록 하였다. 반도체 소자의 줄어든 크기 및 높아지는 소자 성능 요건으로 인하여, 소자 제조 처리 균등성 및 반복가능성의 허용 가능한 변동성(variablility) 범위가 많이 감소하였다. 소자 성능 변동성 및 반복성에 영향을 주는 인자는 "큐 시간(queue time; 대기 시간)"으로 공지된다. 일반적으로, 큐 시간은, 제조된 소자의 성능에 부정적인 영향을 미치는 것을 방지하기 위해서, 제 1 처리가 기판에 대해서 완료된 후에 기판에 대한 제 2 처리가 완료될 때까지 기판이 대기중이나 기타 오염물질에 노출될 수 있는 허용 시간으로 규정된다. 만약, 기판이 허용 가능한 큐 시간과 비슷한 시간 동안 또는 그 보다 길게 대기 또는 다른 오염 물질에 노출된다면, 소자 성능은 제 1 층 및 제 2 층 사이의 경계면의 오염에 의해서 영향을 받을 수 있다. 따라서, 기판을 대기중이나 다른 오염물질 공급원에 노출시키는 단계를 포함하는 처리 시퀀스의 경우에, 기판이 이러한 공급원에 노출 되는 시간은 소자 성능의 변동 가능성을 제거하기 위해서 최소화되거나 제어되어야 한다. 그에 따라, 유용한 전자 소자 제조 처리는 균등하고 반복 가능한 처리 결과를 제공하여야 하고, 오염물질의 영향을 최소화하여야 하며, 그리고 기판 처리 시퀀스에서 사용가능한 것으로 간주되는 희망 처리량을 충족시켜야 할 것이다.
반도체 소자 제조업자들은 잘못처리된 기판들, 소자 결함들 또는 형성된 소자들의 성능 편차로 인한 기판 스크랩에 의해서 유발되는 CoO 문제를 감소시키기 위해서 상당한 시간으로 투자하고 있다. 통상적으로, 잘못처리된 기판들, 소자 결함들 및/또는 편차가 큰(varying) 소자 성능은 처리 시퀀스에서의 처리 챔버의 하나 이상의 처리 편차(drift), 시스템 또는 처리 챔버들 내부에서 발견되는 오염물질, 또는 기판의 층들 또는 기판의 시작 조건(들)의 편차에 의해서 유발된다. 처리 결과가 처리 윈도우(window) 내에 오는지를 확인하기 위해서 이용되는 종래의 방법들은 하나 이상의 오프-라인 분석 기술을 주로 이용한다. 오프-라인 테스팅 및 분석 기술은 처리 시퀀스 및 처리 분위기로부터 하나 이상의 기판을 주기적으로 또는 주로 일정하게 추출하여 테스팅 분위기로 전달할 것을 필요로 한다. 그에 따라, 기판의 이송 및 검사 동안에 제조 흐름이 실질적으로 방해를 받게 된다. 결과적으로, 종래의 계측(metrology) 검사 방법은 칩 제조와 관련한 총 비용을 크게 높일 수 있다. 또한, 처리량에 미치는 부정적인 영향으로 인해서, 그러한 검사 방법이 주기적인 샘플링을 통해서만 실시되기 때문에, 많은 오염된 기판들이 검사 없이 처리될 수 있고, 이는 결함을 가지는 소자들의 제조를 초래할 수 있다. 기판들이 해당 배치(batch)로부터 재분배되는 경우에, 오염물질 제공원을 추적하기가 곤란하 기 때문에, 문제가 보다 복잡해질 수 있다. 그에 따라, 통합형 계측 및 처리 검사 시스템이 요구되고 있으며, 그러한 시스템은 필름 응력(stress), 필름 조성, 입자, 처리 결함 등을 포함할 수 있는 선택된 주요 소자 특성에 대해서 기판을 검사할 수 있어야 할 것이며, 문제점들을 교정하여 후속하여 처리되는 기판들에 대해서 문제점이 발생하지 않도록 처리 조건들을 실시간(on-the-fly)으로 조정할 수 있도록 허용할 수 있어야 할 것이다. 바람직하게, 그러한 검사는 기판 처리 전에, 그 중간에, 그리고 그 후에 실시될 수 있을 것이며, 그에 따라 기판의 실시간 예비-처리(pre-processing) 및 사후(post)-처리 조건을 결정할 수 있게 된다.
따라서, 희망하는 소자 성능 목표를 달성할 수 있고 시스템 처리량을 증가시키며 그에 따라 처리 시퀀스 CoO를 감소시킬 수 있도록 기판 처리가 가능한 시스템, 방법 및 장치가 요구되고 있다.
개략적으로 설명하면, 본 발명은 로봇이 내부에 배치된 이송 영역을 형성하는 하나 이상의 벽, 상기 이송 영역 내에 배치되고 기판 표면의 특성을 측정하도록 구성되는 제 1 지지 챔버, 그리고 상기 이송 영역과 소통하는 기판 처리 챔버를 포함하는 기판 처리 장치를 제공한다.
본 발명의 실시예들은 로봇이 내부에 배치된 이송 영역을 형성하는 하나 이상의 벽, 상기 이송 영역과 소통하는 하나 이상의 기판 처리 챔버, 상기 로봇과 소통하고 기판의 소정 영역의 특성을 측정하도록 구성되는 지지 챔버, 상기 이송 영역과 소통하는 기판 처리 챔버, 그리고 상기 기판 처리 챔버 내에서 처리 단계를 실시하기에 앞서서 기판의 표면에 대한 준비작업(prepare)을 하도록 구성되는 예비세정 챔버를 포함하는 기판 처리 장치를 추가로 제공한다.
본 발명의 실시예들은 클러스터 툴 내에서 반도체 소자를 형성하는 방법을 추가로 제공하며, 그러한 방법은 소자 형성 처리를 이용하여 기판 처리 챔버 내에서 기판의 표면 상에 소자 피쳐(feature)를 형성하는 단계, 지지 챔버 내에 기판을 위치시키고 기판의 표면 상의 소정 영역의 특성을 측정하는 단계, 측정된 특성과 시스템 제어부 내에 저장된 값들을 비교하는 단계, 그리고 시스템 제어부에 저장된 값들과 측정된 특성의 비교를 기초로 하여 소자 형성 처리 중에 처리 파라미터를 변경하는 단계를 포함한다.
본 발명의 실시예들은 클러스터 툴 내에서 반도체 소자를 형성하는 방법을 추가로 제공하며, 그러한 방법은 소자 형성 처리를 이용하여 기판 처리 챔버 내에서 기판의 표면 상에 소자 피쳐를 형성하는 단계, 클러스터 툴의 이송 영역 내에 배치되는 로봇을 이용하여 상기 이송 영역내에 기판을 위치시키는 단계, 상기 이송 영역 내에 위치된 기판 표면의 특성을 측정하는 단계, 측정된 특성과 시스템 제어부 내에 저장된 값들을 비교하는 단계, 그리고 시스템 제어부에 저장된 값들과 측정된 특성의 비교를 기초로 하여 소자 형성 처리 중에 처리 파라미터를 변경하는 단계를 포함한다.
본 발명의 전술한 특징들, 특별한 기술 및 간단한 요약을 위해, 실시예를 참조한 도면이 참조된다. 그러나 첨부된 도면들은 본 발명의 전형적인 실시예를 도시할 뿐이며 본 발명의 청구범위를 제한하지 않음을 주지하여야 하며, 본 발명의 범위는 균등한 다른 실시예들에 영향을 미친다.
도 1은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위한 전형적인 종래 기술의 처리 시스템의 평면도이다.
도 2는 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 처리 챔버 및 계측 챔버를 포함하는 처리 시스템의 평면도이다.
도 3은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 처리 챔버 및 계측 챔버를 포함하는 처리 시스템의 평면도이다.
도 4는 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 처리 챔버 및 계측 챔버를 포함하는 처리 시스템의 평면도이다.
도 5는 본 발명이 바람직하게 사용될 수 있는 처리 시퀀스를 도시한 도면으로서, 일련의 처리 레시피 단계 및 기판 이송 단계를 포함하는 처리 시퀀스를 도시한 흐름도이다.
도 6은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 지지 챔버의 단면도이다.
도 7은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 지지 챔버의 단면도이다.
도 8은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 이송 챔버 및 지지 챔버의 단면도이다.
도 9는 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 이 송 챔버 및 지지 챔버의 단면도이다.
도 10은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 이송 챔버 및 지지 챔버의 단면도이다.
도 11은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 이송 챔버 및 지지 챔버의 단면도이다.
도 12는 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 예비세정 챔버의 단면도이다.
도 13은 본 발명이 바람직하게 사용될 수 있는 처리 시퀀스를 도시한 도면으로서, 일련의 처리 레시피 단계 및 기판 이송 단계를 포함하는 처리 시퀀스를 도시한 흐름도이다.
도 14는 본 발명이 바람직하게 사용될 수 있는 처리 시퀀스를 도시한 도면으로서, 일련의 처리 레시피 단계 및 기판 이송 단계를 포함하는 처리 시퀀스를 도시한 흐름도이다.
도 15는 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 처리 챔버들, 예비처리 챔버들 및 계측 챔버를 포함하는 처리 시스템의 평면도이다.
도 16은 본 발명이 바람직하게 사용될 수 있는 처리 시퀀스를 도시한 도면으로서, 일련의 처리 레시피 단계 및 기판 이송 단계를 포함하는 처리 시퀀스를 도시한 흐름도이다.
도 17은 본 발명이 바람직하게 사용될 수 있는 반도체 처리를 위해 구성된 기판 처리 챔버의 단면도이다.
본 발명은 일반적으로 기판을 처리하고 기판상에서 실행된 프로세스의 결과를 분석하도록 구성된 다중 챔버 처리 시스템(예를 들어, 클러스터 툴)을 이용하는 기판의 처리 방법 및 장치를 제공한다. 본 발명의 일 양태에서, 장치 생산량에 대해 큐 시간이 미치는 영향을 감소시키기 위하여 하나 또는 그보다 많은 분석 단계 및/또는 예비 세정(precleaning) 단계가 활용된다. 본원 발명의 일 양태에서, 시스템 제어기 및 하나 또는 그보다 많은 분석 챔버는 프로세스 챔버 처리법 및/또는 프로세스 순서를 감시 및 제어하여, 형성된 장치 내의 결함 및 장치 성능 변동성 문제로 인한 기판 스크랩(substrate scrap)을 감소시키도록 활용된다. 또한, 본 발명의 실시예는 일반적으로 다양한 용도에서 사용되는 반도체 소자를 반복 가능하고 신뢰할 수 있도록 형성하는 방법 및 시스템을 제공한다. 본 발명은 미국 캘리포니아 산타클라라에 소재한 Applied Materials, Inc.의 FEP 사업부로부터 입수 가능한 Centura에 관하여 하기에 예시적으로 설명된다.
본 발명의 실시예는 다중의 단일한 기판 처리 챔버 및/또는 다중의 배치형 처리 챔버에서 기판을 처리할 수 있는 클러스터 툴 구성에서 유리하게 사용될 수 있다. 클러스터 툴은 다중 챔버를 포함하는 모듈형 시스템이며, 다중 챔버는 전자 소자를 형성하는데 사용되는 여러 가지 처리 단계를 실행한다. 도 1에 도시된 바와 같이, 클러스터 툴(100)은 복수의 처리 위치(114A-114F)를 포함하며, 이 위치들에서 처리 챔버(미도시)는 중앙 이송 챔버(110)에 장착될 수 있으며, 중앙 이송 챔 버는 처리 챔버들 사이에서 기판을 왕복 운동시키도록 구성되는 로봇(113)을 수용한다. 이송 챔버(110)의 내부 영역(예를 들면, 도 8의 이송 영역(110C))은 통상적으로 진공 상태로 유지되며, 기판을 한 챔버에서 다른 챔버 및/또는 클러스터 툴의 전방 단부에 위치된 로드록 챔버로 왕복 운동시키는 중간 영역을 제공한다. 진공 상태는, 통상적으로 러프 펌프(rough pump), 루츠 송풍기(Roots Blower), 통상적인 터보펌프, 통상적인 극저온 펌프(cryo-pump), 또는 이들의 조합과 같은 하나 또는 그보다 많은 진공 펌프(미도시)의 사용에 의해 얻어진다. 대안적으로, 이송 챔버(110)의 내부 영역은 비활성 분위기일 수 있으며, 비활성 분위기는 내부 영역으로 비활성 가스를 계속적으로 전달함으로써 대기압에서 또는 대기압에 가까이 유지된다. 도 1은 본 발명을 이롭게 하는데 사용될 수 있는 전자 소자 처리를 위한 통상적인 클러스터 툴(100)의 평면도이다. 3개의 이러한 플랫폼은 미국 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 모두 입수 가능한 Centura, Endura 및 Producer 시스템이다. 이러한 단계적 진공 기판 처리 시스템의 일 실시예는 Tepman 등에 의해 1993년 2월 16일에 공개된 "Staged-Vacuum Substrate Processing System and Method" 명칭의 미국 특허번호 제5,186,718호에 개시되어 있으며, 이는 본 발명에서 참조된다. 챔버의 정확한 배열 및 조합은 조립 처리의 특정 단계들을 수행하도록 변경될 수 있다.
도 2는 기판 처리 챔버(201, 202, 203, 204)가 이송 챔버(110) 상의 위치(114A, 114B, 114C, 114D)에 각각 장착되는 클러스터 툴의 일 실시예를 도시한다. 본 발명의 양태에 따르면, 클러스터 툴(100)은 일반적으로 복수의 챔버와 로 봇을 포함하며, 바람직하게 클러스터 툴(100)에서 실행되는 순서 및 다양한 처리 방법을 제어 및 실행하도록 프로그램된 시스템 제어기(102)를 구비한다. 위치(114A-F)에 장착되는 각각의 프로세스 챔버를 선택적으로 격리시키도록 이송 챔버(110)에 복수의 슬릿 밸브(미도시)가 추가되어, 각각의 챔버는 처리 순서중에 진공 프로세스를 실행하도록 분리되어 비워질 수 있다. 본 발명의 일부 실시예에서, 시스템의 복잡성 또는 비용을 감소시키도록 위치(114A-F)가 모두 처리 챔버에 의해 사용되는 것은 아니다.
본 발명의 일 양태에서, 하나 또는 그보다 많은 기판 처리 챔버(201-204)는 통상적인 에피택셜(EPI) 증착 챔버일 수 있으며, 이 챔버는 기판 처리 순서에서 하나 또는 그보다 많은 단계중에 기판상에 실리콘(Si), 실리콘 게르마늄(SiGe), 실리콘 카본(SiC)과 같은 하나 또는 그보다 많은 물질을 함유하는 에피택셜 층을 형성하는데 사용될 수 있다. EPI 프로세스는 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.로부터 입수 가능한 Applied Centura EPI를 사용하여 실행될 수 있다. 본 발명의 일 양태에서, 하나 또는 그보다 많은 기판 처리 챔버(201-204)는 기판 처리 순서에서 하나 또는 그보다 많은 단계중에 기판을 어닐링하는데 사용될 수 있는 RTP 챔버일 수 있다. RTP 프로세스는 RTP 챔버(예를 들면, Vantage RadOx RTP, Vantage RadiancePlus RTP) 및 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.로부터 상업적으로 입수 가능한 관련 처리 하드웨어를 사용하여 실행될 수 있다.
본 발명의 다른 양태에서, 하나 또는 그보다 많은 기판 처리 챔버(201-204) 는 통상적인 화학기상증착(CVD) 챔버일 수 있으며, 이 챔버는 금속(예를 들면, 티타늄, 구리, 탄탈), 반도체(예를 들면, 실리콘, 실리콘 게르마늄, 실리콘 카본, 게르마늄), 또는 유전층(예를 들면, Blok™, 이산화규소, SiN, HfOx, SiCN)을 증착하도록 구성된다. 이러한 CVD 처리 챔버의 예시는 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.에서 상업적으로 입수 가능한, DXZ™ 챔버, Ultima HDP-CVD™ 챔버 및 PRECISION 5000® 챔버를 포함할 수 있다. 본 발명의 다른 양태에서, 하나 또는 그보다 많은 기판 처리 챔버(201-204)는 통상적인 물리기상증착(PVD) 챔버일 수 있다. 이러한 PVD 챔버의 예시는 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.에서 상업적으로 입수 가능한, Endura™ PVD 처리 챔버일 수 있다. 본 발명의 다른 양태에서, 하나 또는 그보다 많은 기판 처리 챔버(201-204)는 디커플드 플라즈마 질화(DPN) 챔버일 수 있다. 이러한 DPN 챔버의 예시는 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.에서 상업적으로 입수 가능한 Centura™ DPN 챔버를 포함한다. 디커플드 플라즈마 질화 프로세스를 실행하는데 사용될 수 있는 처리 챔버의 일례는 US 20040242021로 공개되고 2004년 4월 6일자로 제출되었으며 본 출원인에게 양도된 미국 특허출원번호 제10/819,392호에서 설명되며, 이는 전체로서 본 명세서에서 참조된다. 본 발명의 다른 양태에서, 하나 또는 그보다 많은 기판 처리 챔버(201-204)는 금속 식각 챔버 또는 유전체 식각 챔버일 수 있다. 이러한 금속 및 유전체 식각 챔버의 예시는 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.에서 상업적으로 입수 가능한 Centura™ AdvantEdge 금속 식각 챔버 및 Centura™ eMAX 챔버를 포함한다.
도 2를 참조로 전술한 바와 같이, 위치(114A-D) 중 하나에 장착된 처리 챔버(201-204)는 PVD, CVD(예를 들면, 유전체 CVD, MCVD, MOCVD, EPI), ALD, 디커플드 플라즈마 질화(DPN), 급속 열 처리(RTP), 또는 건식 식각 프로세스와 같은 임의의 개수의 프로세스를 실행하여, 기판의 표면상에 다양한 소자 피처를 형성할 수 있다. 다양한 소자 피처는 층간 유전층(interlayer dielectric layers), 게이트 유전체 층, 폴리실리콘 게이트의 형성, 바이어스 및 트렌치 형성, 단차 평탄화, 및 접촉부(contact) 증착 또는 레벨 상호연결에 의한 증착을 포함할 수 있지만 이에 제한되지는 않는다. 다른 실시예에서, 위치(114E-F)는 탈가스, 배향, 냉각 등을 위해 구성되는 서비스 챔버(service chamber)를 포함한다. 일 실시예에서, 처리 순서는 고 유전상수(high-K) 커패시터 구조를 형성하도록 구성되며, 이때 처리 챔버(201-204)는 DPN 챔버, 폴리 실리콘을 증착할 수 있는 CVD 챔버 및/또는 티타늄, 텅스텐, 탄탈, 플래티늄, 또는 루테늄을 증착할 수 있는 MCVD 챔버일 수 있다. 다른 실시예에서, 처리 순서는 게이트 스택(gate stack)을 형성하도록 구성되며, 이때 처리 챔버(201-204)는 DPN 챔버, 유전체 물질을 증착할 수 있는 CVD 챔버, 폴리 실리콘을 증착할 수 있는 CVD 챔버, RTP 챔버 및/또는 MCVD 챔버일 수 있다.
도 2를 참조하면, (본 명세서에서 팩토리 인터페이스 또는 FI로 또한 지칭되는) 선택적인 전방 단부 분위기(104)가 한 쌍의 로드록 챔버(106)와 선택적으로 소통하여 위치되는 것으로 도시된다. 전방 단부 분위기(104)의 이송 영역(104B) 내에 배치된 팩토리 인터페이스 로봇(108A-B)은 선형, 회전 및 수직 운동을 할 수 있 어서 전방 단부 분위기(104) 상에 장착되는 복수의 포드(105)와 로드록 챔버(106) 사이에서 기판을 왕복 운동시킨다. 전방 단부 분위기(104)는 복수의 포드(105) 내에 안착된 카세트(미도시)로부터 대기압 세정 분위기/엔클로저를 통해 프로세스 챔버와 같이 어떤 원하는 위치로 기판을 이송하는데 일반적으로 사용된다. 전방 단부 분위기(104)의 이송 영역(104B)에서 볼 수 있는 세정 분위기는, 예를 들면 고성능 미립자 공기(HEPA) 필터를 통해 공기를 통과시키는 것과 같이, 공기 여과 프로세스의 사용에 의해 일반적으로 제공된다. 전방 단부 분위기 또는 전방 단부 팩토리 인터페이스는 미국 캘리포니아 산타클라라에 소재한 Applied Materials Inc.로부터 상업적으로 입수 가능하다.
로봇(113)은 로드록 챔버(106A 또는 106B)로부터 위치(114A-F)에 장착된 여러 가지 처리 챔버 중 하나로 기판을 이송하도록 이송 챔버(110) 내에서 중심에 배치된다. 로봇(113)은 일반적으로 블레이드 조립체(113A), 로봇 구동 조립체(113C)에 부착되는 아암 조립체(113B)를 포함한다. 로봇(113)은 시스템 제어기(102)로부터 보내진 명령을 사용하여 여러 가지 처리 챔버로 기판("W")을 이송하도록 구성된다. 본 발명으로부터 이득을 얻도록 구성될 수 있는 로봇 조립체는 1994년 8월 30일자로 제출되고 명칭이 "Two-axis magnetically coupled robot"이며 본 출원인에게 양도된 US특허 제5,469,035호; 1994년 8월 11일자로 제출되고 명칭이 "Robot Assembly"인 US 특허 제5,447,409호; 및 2000년 4월 14일자로 제출되고 명칭이 "Robot For Handling Semiconductor Substrates"인 US 특허 제6,379,095호"에서 설명되며, 이들은 본 명세서에서 전체로서 참조된다.
로드록 챔버(106)(예를 들면, 로드록 챔버(106A 및 106B))는 이송 챔버(110)와 전방 단부 분위기(104) 사이에 제 1 진공 인터페이스를 제공한다. 일 실시예에서, 2개의 로드록 챔버(106A 및 106B)가 제공되어 전방 단부 분위기(104) 및 이송 챔버(110)와 택일적으로 소통함으로써 처리량을 증가시킨다. 따라서, 하나의 로드록 챔버(106)는 이송 챔버(110)와 소통하고, 제 2 로드록 챔버(106)는 전방 단부 분위기(104)와 소통할 수 있다. 일 실시예에서, 로드록 챔버(106)는 배치형 로드록이며, 배치형 로드록은 팩토리 인터페이스로부터 둘 또는 그보다 많은 기판을 수용하며, 챔버가 밀봉된 후 이송 챔버(110)로 기판을 이송할 만큼 충분히 낮은 진공 레벨로 진공화되는 동안 기판을 유지시킬 수 있다. 바람직하게, 배치형 로드록은 한번에 25 내지 50개의 기판을 유지할 수 있다.
시스템 제어기(102)는 일반적으로 전체 시스템의 제어 및 자동화를 용이하게 하도록 설계되며, 통상적으로 중앙 처리 유닛(CPU)(미도시), 메모리(미도시), 및 지원 회로(또는 I/O)(미도시)를 포함한다. CPU는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있으며, 이러한 프로세서는 여러 가지 시스템 기능, 챔버 프로세스 및 지원 하드웨어(예를 들면, 검출기, 로봇, 모터, 가스 소오스 하드웨어 등)를 제어하는 산업용 설정에 사용되며, 시스템 및 챔버 프로세스(예를 들면, 챔버 온도, 프로세스 시퀀스 처리량, 챔버 프로세스 시간, I/O 신호 등)를 감시한다. 메모리는 CPU에 연결되며, 램(random access memory; RAM), 롬(read only memory; ROM), 플로디 디스크, 하드 디스크 또는 다른 형태의 로컬 또는 원격 디지털 저장소와 같은, 하나 또는 그보다 많은 용이하게 입수 가능한 메모리일 수 있다. 소프트웨어 명령 및 데이터는 CPU에 명령하기 위해 메모리 내에 코드화되어 저장될 수 있다. 또한, 지원 회로는 통상적인 방법으로 프로세서를 지원하기 위해 CPU에 연결된다. 지원 회로는 캐쉬(cache), 전력 공급부, 시계 회로, 입력/출력 회로, 서브 스템 등을 포함할 수 있다. 시스템 제어기(102)에 의해 판독 가능한 프로그램(또는 컴퓨터 지시)는 어떠한 작업이 기판상에서 실행 가능한지를 결정한다. 바람직하게 프로그램은 시스템 제어기(102)에 의해 판독 가능한 소프트웨어이며, 이 소프트웨어는 여러 가지 챔버 프로세스 처리 단계 및 처리 순서 업무의 감시, 제어 및 실행에 관한 업무를 실행하는 코드를 포함한다.
지지 챔버 구성
일 실시예에서, 클러스터 툴(100)은 시스템 제어기(102), 복수의 기판 처리 챔버(201-204) 및 하나 또는 그보다 많은 지지 챔버(211)를 포함한다. 일반적으로, 지지 챔버는 계측 챔버(metrology chamber), 처리 챔버, 또는 사후-처리 챔버일 수 있다. 지지 챔버의 추가는 많은 이유로 클러스터 툴(100)에 추가될 수 있으며, 이러한 이유는 소자 수율을 향상시키고, 기판 사이의 프로세스 반복성을 향상시키며, 프로세스 결과를 분석하고, 기판들 간의 큐 시간 편차의 영향을 감소시키는 것을 포함하지만, 이에 제한되는 것은 아니다.
도 2에 도시된 바와 같이, 일 양태에서, 2개의 지지 챔버(211)가 이송 챔버(110) 내의 위치(214A 또는 214B)에 장착된다. 하나 또는 그보다 많은 지지 챔버(211)를 갖는 이송 챔버(110) 내의 미사용 공간을 충전하는 것은 지지 챔버 구성 요소를 추가하는데 요구되는 추가의 하드웨어 개수를 감소시킴으로써 CoO 및 시스템 비용을 감소시키는 것을 도와서, 지지 챔버(211)와 클러스터 툴 프로세스 챔버 사이에서 기판을 이송하는데 요구되는 총 시간을 감소시키고, 클러스터 툴 풋 프린트를 감소시킬 것이다.
도 3은 클러스터 툴(100)의 다른 구성을 도시하며, 이 클러스터 툴에서 지지 챔버(211)는 전방 단부 분위기(104)에 부여되는 위치(214C 또는 214D) 및/또는 위치(114E)에 장착된 바와 같이, 클러스터 툴(100)의 다른 영역에 배치된다. 하나 또는 그보다 많은 위치(114A-114F), 위치(214A-D), 또는 하나 또는 그보다 많은 클러스터 툴 로봇 장치에 의해 접근 가능한 임의의 다른 편리한 위치에 지지 챔버(211)를 장착하는 것이 바람직할 수 있음에 주의하여야 한다.
지지 챔버(211)의 사용을 포함하는 전형적인 클러스터 툴 구성에서 실행되는 처리 순서의 일례가 도 4 및 도 5에 도시된다. 도 4는 도 5에 도시된 처리 단계에 따른 클러스터 툴(100)을 통한 기판("W")의 움직임을 도시한다. 도 4에서 A1 내지A8로 분류된 각각의 화살표는 클러스터 툴(100) 내에서의 기판의 움직임 또는 이송 경로를 도시한다. 이러한 구성에서, 기판은 위치(105A)에 배치된 포드로부터 제거되며 이송 경로(A1)를 따라 로드록 챔버(106A)로 이송된다. 시스템 제어기(102)는 그 후 로드록 챔버(106A)에 로드록 챔버(106A)를 폐쇄하고 원하는 기저 압력으로 펌핑하도록 명령하여서, 기판은 이미 진공 펌핑된 상태(vacuum pumped down state)에 있는 이송 챔버(110)로 이송될 수 있다. 그 후, 기판은 준비/분석 단계(302)가 기판상에서 실행되는 경로(A2)를 따라 이송된다. 준비/분석 단계(302)는 기판 검 사/분석 및/또는 미립자 제거를 포함하지만 이에 제한되지는 않는 하나 또는 그보다 많은 준비 단계를 포함할 수 있다. 준비/분석 단계(302)를 완료한 후에, 기판은 도 4에 도시된 바와 같이 이송 경로(A3)를 따라, 기판 프로세스 단계(304)가 기판상에 실행되는 위치(114A)의 처리 챔버로 이송된다. 기판 프로세스 단계(304)를 실행한 후에, 기판은 도 4 및 도 5에 도시된 바와 같이 각각의 기판 프로세스 단계(306 내지 308)가 실행되는 이송 경로(A4-A5)를 따라 기판 처리 챔버(202, 203)로 연속적으로 이송된다. 다른 실시예에서, 기판 프로세스 단계(304)는 사전 세정 처리 단계(하기에 논의됨)이다. 일 실시예에서, 기판 프로세스 단계(306, 308)는 하기의 프로세스 그룹 중 하나로부터 선택될 수 있다: 산화물 식각, 금속 식각, EPI, RTP, DPN, PVD, CVD(예를 들면, CVD 폴리실리콘, TEOS 등), 또는 다른 적합한 기판 처리 단계. 기판은 그 후 기판상에 관련 사후-처리/분석 단계(310)가 실행되는 경로(A6)를 따라 이송된다. 사후-처리/분석 단계(310)는 기판 검사/분석 및/또는 미립자 제거 단계를 포함하지만 이에 제한되지는 않는 하나 또는 그보다 많은 준비 단계를 포함할 수 있다. 사후-처리/분석 단계(310)를 완료한 후에, 기판은 이송 경로(A7)를 따라 로드록 챔버(106A)로 이송된다. 로드록은 그 후 배기되며, 기판은 그 후 로드록으로부터 제거되어 이송 경로(A8)를 따라 포드 위치(105A)에 배치된다.
프로세스 순서의 다른 실시예는 처리 순서에서 하나 이상의 다른 처리 단계들 사이에 지지 챔버(211)가 배치되는 시나리오(scenarios)를 포함할 수도 있다. 다른 실시예에서, 준비/분석 단계(302) 또는 사후-처리/분석 단계(310) 후에 기판 상에서 완료되는 단 하나의 처리 단계가 존재한다.
미립자/오염 제거 지지 챔버(들)
일 실시예에서, 지지 챔버(211)는 준비/분석 단계(302) 및/또는 사후-처리/분석 단계(310) 중에 기판의 표면상의 오염의 양 또는 미립자의 개수를 감소시키도록 구성되어서, 소자 수율 및 기판 스크랩이 원하는 처리 순서를 사용하여 형성되는 소자에 대해 개선될 수 있다. 일반적으로, 미립자/오염 감소 챔버, 이하 미립자 감소 챔버는 기판의 표면상의 다른 오염물 및 미립자에 충분한 에너지를 가하도록 하나 또는 그보다 많은 기판의 표면을 자외선(UV) 복사선에 노출시켜서, 입자 및 오염물을 기판의 표면으로부터 제거하고(예를 들면, 브라운 운동), 노출된 표면에 대한 오염물 접합 특성을 변화시키거나 오염물이 증발하게 한다. 작동시, 약 5 내지 약 25 mWatts/㎠의 전력 밀도에서 약 120 내지 약 430 나노미터(nm)의 파장의 UV 복사선, 또는 UV 광은 미립자/오염 감소 챔버에 포함된 복사 소오스로부터 기판의 표면으로 전달될 수 있다. 복사 소오스로부터의 복사선은 크세논, 아르곤, 크립톤, 질소, 크세논 클로라이드(xenon chloride), 크립톤 플루오라이드(krypton fluoride), 아르곤 플루오라이드(argon fluoride)와 같은 요소를 포함하는 램프에 의해 공급될 수 있다. UV 광을 방출하는 복사 소오스의 사용은 기판 표면상에서 발견되는 유기 오염물의 유해한 영향을 제거 또는 감소시키는데 특히 유용할 수 있다. UV 파장을 방출하도록 구성된 통상적인 복사 소오스는 통상적인 UV 램프(예를 들면, 수은 증기 램프) 또는 다른 유사한 장치일 수 있다. 상이한 파장으로 UV 광 을 방출하는 UV 방출 복사 소오스들의 조합이 사용될 수도 있다.
도 6은 일종의 지지 챔버(211)의 횡단면도를 도시하며, 이 챔버는 하나 또는 그보다 많은 기판의 표면이 자외선(UV) 복사선에 노출되는 미립자 감소 챔버(700)이다. 미립자 감소 챔버(700)는 위치(114A-114F)(도 2) 또는 위치(214A-214E)(도 3)와 같이, 클러스터 툴에서 임의의 이용 가능한 위치에 장착될 수 있다. 일반적으로, 미립자 감소 챔버(700)는 엔클로저(701), 복사 소오스(711) 및 기판 지지부(704)를 포함할 것이다. 엔클로저(701)는 일반적으로 챔버 본체(702), 챔버 덮개(703) 및 투명한 영역(705)을 포함한다. 일 양태에서, 엔클로저(701)는 처리 영역(710)을 밀봉하는 하나 또는 그보다 많은 시일(706)을 포함하여, 진공 펌프(736)에 의해 처리중에 진공 상태로 펌핑될 수 있다. 일 양태에서, 처리 영역(710)은 펌핑되어 가스 전달 소오스(735) 및 진공 펌프(736)의 사용에 의해 약 10-6 Torr 내지약 700 Torr의 압력에서 유지된다. 일 실시예에서, 처리 영역(710)은 가스 전달 소오스(735)로부터 처리 영역(710)으로 비활성 가스를 계속 전달함으로써 대기압에서 또는 대기압에 가까이 유지된다. 투명한 영역(705)은 세라믹, 유리 또는 복사 소오스(711)로부터 방출되는 복사선에 대해 광학적으로 투명한 다른 물질로 제조될 수 있어서, 기판("W")이 복사 소오스(711)로부터 방출된 대부분의 에너지를 수용할 수 있다. 일 양태에서, 미립자 감소 챔버(700)는 기판 지지부(704)에 대해 기판("W")을 상승시키고 하강시키도록 구성되는 리프트 조립체(720)를 포함할 수 있어서, 로봇 장치(미도시)가 리프트 조립체(720) 상에서 기판을 잡아서 내릴 수 있 다.
일 실시예에서, 기판 지지부(704)는 오염물에 대한 에너지를 증가시킴으로써 기판의 표면으로부터 미립자를 제거하는 효율을 추가로 증가시키기 위해 미립자 제거 단계중에 기판을 가열하도록 구성되어, 기판의 표면으로부터 오염물을 이동하게 하거나 미립자 감소 프로세스 중에 오염물을 증발시키게 한다. 이 구성에서, 기판 지지부(704)는 가열 요소(722)의 사용에 의해 가열될 수 있으며, 가열 요소는 기판 지지 표면(707)이 희망 온도로 가열될 수 있도록 외부 전력 공급/제어기(미도시) 및 기판 지지부(704) 내에 삽입된다. 일 실시예에서, 기판 지지부(704)는 통상적인 적외선 램프의 사용에 의해 희망 온도로 가열된다. 일 양태에서, 기판 지지부(704)는 약 250℃ 내지 약 850℃, 보다 바람직하게는 약 350℃ 내지 약 650℃의 온도로 가열된다. 일 양태에서, 처리 순서에서 사전 처리 단계중에 기판에 가해지는 열로 인해, 기판이 약 250℃ 내지 약 550℃의 온도에 여전히 있는 동안 기판 지지부(704) 및 미립자 감소 챔버(700)로 기판을 전달하는 것이 바람직할 수 있다.
계측 챔버 구성
일 실시예에서, 지지 챔버(211)는 계측 챔버이고 이 계측 챔버는 준비/분석 단계(302) 및/또는 사후 처리/분석 단계(310)를 수행하도록 이루어지고 이에 의해 처리 시퀀스에서 처리 단계를 수행하기 이전에 또는 이후에 기판의 특성을 분석한다. 일반적으로 계측 챔버에서 측정될 수 있는 기판의 특성은 기판 표면 상에 증착된 하나 이상의 층의 내재 또는 외재 응력(intrinsic or extrinsic stress), 하 나 이상의 증착층의 필름 조성, 기판 표면 상의 입자의 숫자, 및 기판 표면 상에서 발견된 하나 이상의 층의 두께를 포함하나, 이에 제한되는 것은 아니다. 이후 계측 챔버로부터 수집된 데이터는 시스템 제어기(102)에 의해 이용되고 이로써 하나 이상의 처리 단계에서 하나 이상의 처리 변수를 조정하며 이에 의해 이후에 처리되는 기판 상에 바람직한 처리 결과를 만든다. 기판 표면 상에서 발견되는 입자를 측정하고 분석하도록 이루어질 수 있는 계측 챔버 하드웨어 및 제어 알고리즘의 예는 여기서 그 전체가 참조로 인용된 미국 특허출원 제 6,630,995, 6,654,698, 6,952,491, 및 6,693,708호에서 찾을 수 있다.
필름 분석 챔버
일 실시예에서, 지지 챔버(211)는 계측 챔버이고 이 계측 챔버는 종래의 광학 측정 기술을 이용하여 기판 표면 상에 증착된 필름의 조성 및 두께를 측정하도록 이루어진다. 일반적인 조성 및 두께 측정 기술은 종래의 타원계(ellipsometry) 기술, 반사측정기(reflectometry) 기술, 또는 x-ray 광전자 분광기(x-ray photoelectron spectroscopy; XPS) 기술을 포함한다. 이러한 기술을 이용하여 기판의 표면 상에서 원하는 영역에서 측정된 조성 및 두께 결과는 이후 시스템 제어기(102)로 다시 주입되고 이에 의해 처리 시퀀스에서 상류 또는 하류 처리 단계 중 하나에 대해 조정이 이루어질 수 있다.
따라서, 기판 조성 및 두께 결과는 시스템 제어기(102)에 의해 저장되고 분석될 수 있으며 이에 의해 하나 이상의 처리 변수가 변경될 수 있고 이에 의해 이 후에 처리되는 기판 상에서 얻어진 처리 결과를 향상시키고 및/또는 지지 챔버(211)의 하류에서 수행되는 처리의 처리 파라미터를 조정함에 의해 이미 처리된 기판에서의 결함을 교정하도록 변경될 수 있다. 일례에서, 조성 또는 두께 분석은 EPI 층이 기판 표면 상에 증착된 이후 수행되고 이에 의해 처리 변수(예를 들어 RF 전력, 처리 압력, 가스 유동 속도, 필름 두께, 증착 속도)가 조정될 수 있고 이에 의해 이후의 EPI 증착 처리에서 바람직하지 않은 처리 결과를 교정하도록 조정될 수 있다.
타원계는 얇은 표면층 및 다중층 구조의 필름 두께, 계면 거칠기(interface roughness), 및 조성을 결정하기 위한 비침입성(non-invasive) 광학 기술이다. 이 방법은 샘플 표면으로부터 반사시 광의 편광 상태의 변화를 측정하고, 이에 의해 종래의 타원계 파라미터(예를 들어 진폭 변화(ψ), 상 시프트(Δ))를 결정한다. 이후 이러한 광학 파라미터는 시스템 제어기(102) 내에서 컴퓨터 모델 또는 저장된 데이터와 매치될 수 있고 이에 의해 기판 표면 상의 영역에서 샘플의 조성 및 구조를 결정한다.
반사측정기는 광학 복사의 총 외부 반사의 효과를 이용하여 얇은 층을 조사하기 위한 분석적 기술이다. 반사율 분석 기술에서, 샘플로부터의 광학 복사의 반사가 상이한 각도로 측정되고 이에 의해 두께 및 밀도, 표면 거칠기가 결정될 수 있다. 이러한 반사측정기는 이후 시스템 제어기(102) 내의 컴퓨터 모델 또는 저장된 데이터와 매치될 수 있고 이에 의해 기판 표면 상의 영역에서 샘플의 구조 및 조성을 결정한다.
X-ray 광전자 분광기(XPS) 툴은 물질 내에 존재하는 원소 조성, 화학적 상태 및 전자적 상태를 측정하는데 이용될 수 있다. XPS 스펙트럼은 X-ray 빔을 물질에 조사함에 의해 얻어지고, 종래의 측정 기술을 이용하여 분석되는 물질로부터 빠져나가는 전자의 숫자 및 운동 에너지를 동시에 측정한다. 이후 이러한 XPS 결과는 시스템 제어기(102) 내의 컴퓨터 모델 또는 저장된 데이터에 매치될 수 있고 이에 의해 기판 표면 상의 영역에서 샘플의 구조 및 조성을 결정한다.
일 실시예에서, 패턴 인식 시스템은 지지 챔버(211)에서 수행되는 하나 이상의 분석 단계와 함께 이용되고 이에 의해 기판 표면 상의 선택된 영역의 상태에 관한 분석 및 피드백을 제공한다. 일반적으로, 패턴 인식 시스템은 광학 검사 기술을 이용하고, 이 기술은 기판 표면을 스캔하며 제어기 내에 저장된 데이터와 스캔으로부터 받은 데이터를 비교하고 이에 의해 제어기는 기판 표면 상의 어디에서 측정이 이루어질지를 결정할 수 있다. 일 실시예에서, 패턴 인식 시스템은 제어기(예를 들어 제어기(102)(도 2)), 종래의 CCD 카메라 및 CCD 카메라에 대해 그 위에 위치한 기판을 이동시키도록 이루어진 스테이지를 포함한다. 처리 동안, 제어기의 메모리 내에 저장된 데이터는 CCD 카메라로부터 받은 데이터와 비교되고, 이는 기판 표면 위를 통과하여 기판 표면 상의 원하는 테스트 영역이 찾아지고 이후 계측 챔버의 구성요소에 의해 분석될 수 있다.
기판 보우 응력(Bow Stress) 측정 분석 챔버
다른 실시예에서, 지지 챔버(211)는 종래의 기판 보우 측정 기술을 이용하여 기판 표면 상의 증착 필름 내에 함유된 응력 또는 변형을 측정하도록 이루어진다. 측정 영역 및/또는 하나 이상의 물질 특성 내에 함유된 물질의 유형을 측정하거나 미리 알고 있거나, 또는 하나의 파라미터(예를 들어 응력 또는 변형)를 측정함에 의해 기판 영역 내에 포함된 응력 및 변형을 계산하는 것이 일반적으로 가능하다. 처리 시퀀스 동안 기판의 보우 또는 보우의 변화를 측정하는 종래의 응력 또는 변형 측정 툴은 처리 시퀀스에서 하나 이상의 처리 단계를 수행한 이후 기판에서 응력 또는 변형을 측정하도록 구성되고 이후 시스템 제어기(102)로 결과를 피드백하여 시스템 제어기(102)가 처리 시퀀스에서 하나 이상의 처리 단계에서 취해질 필요가 있는 작용이 무엇인지 결정할 수 있다. 기판 응력을 측정하도록 이루어질 수 있는 종래의 응력 측정 툴은 KLA-Tencor corporation, Nanometrics, Inc.또는 Therma-Wave, Inc.로부터 구입 가능할 수 있다.
일례에서, 종래의 증착 처리 단계에서 형성되었던 EPI 층의 응력 또는 변형을 측정하고 이 데이터를 시스템 제어기(102)로 피드백하는 것이 바람직할 수 있으며, 이 시스템 제어기는 이후에 처리되는 기판 상에서 얻어진 처리 결과를 어떻게 향상시킬지를 결정할 수 있거나 또는 기판에서 응력 또는 변형의 측정으로부터 언급된 문제점을 해결하도록 하류 처리로 어떻게 조정할지를 결정할 수 있다. 시스템 제어기(102)는 기판 보우 결과를 이용하여 하나 이상의 처리 변수(예를 들어 RF 전력, 처리 압력, 필름 두께, 증착 속도)를 조정하고 이후의 기판 표면 상에서의 처리 결과를 향상시킨다.
XRD 계측 챔버
일 실시예에서, 클러스터 툴(100)에 통합된 계측 챔버는 x-ray 회절(XRD) 기술을 이용하고, 이에 의해 필름 두께, 필름 조성 및 필름 응력 또는 변형을 측정한다. 일반적인 XRD 기술은 브래그의 법칙(Bragg's Law)을 이용하여 방출된 x-ray 조사로 기판 표면 상의 하나 이상의 영역을 노출시킬 때 생성된 회절 패턴을 분석하고 해석하는 것을 돕는다. 일반적으로, XRD 챔버는 x-ray 소오스, 하나 이상의 복사 탐지기, 기판 지지대, 및 기판에 대해 x-ray 소오스 또는 x-ray 소오스에 대한 기판 지지대를 관절식으로 연결(articulate)할 수 있는 액츄에이터를 포함하고, 이에 의해 회절 패턴이 생성되고 분석될 수 있다. XRD 유형 계측 챔버로부터 얻어진 결과는 하나 이상의 처리 시퀀스 처리 단계를 수행하기 이전에 또는 이후에 기판 표면 상의 필름의 다양한 특징을 측정하는데 이용될 수 있다. 시스템 제어기(102)의 이용에 의해, XRD 챔버로부터 받은 결과는 다양한 처리 단계에서 처리 변수를 조정하는데 이용될 수 있고 이에 의해 처리 시퀀스로부터 얻어진 결과를 향상시킨다. 일례에서, 이전 증착 처리 단계에서 형성되었던 EPI 층의 응력을 측정하는 것이 바람직할 수 있다. 따라서, 시스템 제어기(102)를 이용하여, XRD 결과는 EPI 처리 변수(예를 들어 RF 전력, 처리 압력, 필름 두께, 증착 속도) 중 하나 이상을 조정하는데 이용될 수 있고, 이에 의해 처리 결과를 향상시킨다. XRD 챔버와 같은 처리 시퀀스의 상이한 스테이지에서 필름의 다수의 상이한 특징들(예를 들어 응력, 필름 조성, 두께)을 특징짓는 능력을 갖는 계측 챔버는 시스템 비용을 감소시키고, 시스템 풋프린트를 감소시키며, 클러스터 툴의 신뢰성을 향상시키고, 분 석을 수행하는 개별적인 계측 챔버를 이용하는 구성과 대비한 챔버들 사이의 기판을 전달하는데 필요한 오버헤드 시간(overhead time)을 감소시키는데 유용하다.
도 7은 처리 시퀀스(예를 들어 아래에서 설명된 처리 시퀀스(300) 및 처리 시퀀스(301A-301B))에서 처리 단계를 수행하기 이전에 또는 이후에 기판의 특성을 분석하는데 이용될 수 있는 계측 챔버(750) 또는 지지 챔버(211)의 유형의 측단면도를 도시한다. 계측 챔버(750)는 위치(114A-114F)(도 2) 또는 위치(214A-214E)(도 3)와 같은 클러스터 툴에서 이용 가능한 위치에 장착될 수 있다. 일반적으로, 계측 챔버(750)는 엔클로저(761), 측정 어셈블리(811) 및 기판 지지대(754)를 포함할 것이다. 기판 지지대(754)는 기판 지지면(757)을 갖는다. 엔클로저(761)는 일반적으로 챔버 바디(752), 챔버 덮개(753) 및 투명한 영역(755)을 포함한다. 일 태양에서, 엔클로저(751)는 처리 영역(770)을 밀봉하기 위한 하나 이상의 시일(seal; 756)을 포함하고, 이에 의해 진공 펌프(미도시)에 의해 처리 동안 진공 조건으로 펌프 다운될(pumped down) 수 있다. 일 태양에서, 처리 영역(770)은 약 10-6 Torr 내지 약 700 Torr이 압력으로 펌프 다운된다. 투명한 영역(755)은 세라믹, 유리 또는 측정 어셈블리(811) 내에 포함된 소오스(813)로부터 방출된 복사에 대해 광학적으로 투명한 다른 물질로 만들어질 수 있다. 일 실시예에서, 소오스(813)로부터 방출된 복사는 투명 영역(755)을 통과하여 기판 표면을 때리고, 여기서 반사되어 이후 투명 영역(755)을 다시 통과하고, 여기서 측정 어셈블리(811)에 포함된 센서(812)에 의해 수집된다. 일 태양에서, 계측 챔버(750)는 기 판 지지대(754)에 대해 기판 "W"을 높이고 낮추도록 이루어진 승강 어셈블리(720)를 포함하고, 이에 의해 로봇 장치(미도시)가 클러스터 툴 내에서 계측 챔버(750) 및 다른 처리 챔버 사이에서 기판을 이송할 수 있다.
통합된 지지 챔버
도 8은 이송 챔버(110)의 측단면도이고, 이 이송 챔버는 계측 처리, 예비 처리 단계 또는 사후 처리 단계를 수행하도록 이루어질 수 있는 지지 챔버(211) 내에 포함된 지지 챔버 어셈블리(800)를 포함한다. 일 실시예에서, 도 8에서 도시된 것처럼, 지지 챔버 어셈블리(800)는 예비/분석 단계(302) 및/또는 사후 처리/분석 단계(310) 동안 기판 표면 상의 입자의 숫자를 감소시키도록 구성된다. 지지 챔버 어셈블리(800)는 챔버 바디(702) 및 챔버 덮개(703)과 같은 엔클로저(701) 구성요소를 제외하고 상기에서 언급된 입자 감소 챔버(700)에서 발견되는 모든 구성요소를 일반적으로 포함하고, 챔버 바디 및 챔버 덮개는 각각 이송 챔버 베이스(110B) 및 이송 챔버 덮개(110A)로 교체된다.
일 실시예에서, 기판 지지대(704) 및 승강 어셈블리(720)는 이송 영역(110C) 내에 위치하고 이송 챔버(110)의 이송 챔버 베이스(110B)로 이송하도록 장착되며 따라서 하나 이상의 처리 챔버에 인접하여 위치한다(예를 들어 처리 챔버(201)는 도 8에서 도시됨). 이 구성에서, 복사선 소오스(711)는 이송 챔버 덮개(110A)에 장착된 지지대(808)에 부착되고 이에 의해 복사선 소오스(711)로부터 방출된 복사선이 투명 영역(705)을 통과하며 기판 지지대(704)의 기판 지지면(707) 상에 위치 한 기판(W)을 때린다. 승강 어셈블리(720) 내에 포함된 액츄에이터(미도시) 및 시스템 제어기(102)는 로봇 블레이드 어셈블리(113A) 및 기판 지지대(704) 사이에서 기판 "W"을 이송하도록 이용될 수 있다. 지지 챔버 어셈블리(800)는 로봇(113)에 의해 완료되는 일반적인 이송 작동 동안 지지 챔버 어셈블리(800)의 구성요소와 로봇(113) 사이의 충돌을 막도록 일반적으로 구성된다.
도 9는 이송 챔버(110)의 일부분 상에 위치한 지지 챔버 어셈블리(800)의 일 실시예의 측단면도이고, 이에 의해 상기에서 논의된 입자 감소 단계가 수행될 수 있으며 기판(W)은 로봇(113)의 로봇 블레이드 어셈블리(113A) 상에 위치한다. 일 실시예에서, 기판(W)은 이송 챔버 덮개(110A) 상에 장착된 복사선 소오스(711) 아래에 위치하고, 이에 의해 복사선 소오스(711)로부터 방출된 복사선은 기판 표면을 때릴 수 있으며 기판은 클러스터 툴(100)을 통해 기판을 이송하는 처리 동안 지지 챔버 어셈블리(800) 아래를 통과한다. 다른 실시예에서, 시스템 제어기(102) 및 로봇(113)은 이송 시퀀스 동안의 원하는 시간 주기 동안 복사선 소오스(711) 아래에서 로봇 블레이드 어셈블리(113A) 및 기판(W)을 위치시키고 지지하도록 이루어지고 이에 의해 입자 제거 처리가 기판 상에서 수행될 수 있다.
도 10은 이송 챔버(110)의 측단면도이고, 이 이송 챔버는 처리 시퀀스에서 처리 단계를 수행하기 이전에 또는 이후에 기판의 특성을 분석하기 위해 준비/분석 단계(302) 및/또는 사후 처리/분석 단계(310)를 수행하도록 이루어진 지지 챔버(211) 내에 포함된 지지 어셈블리(801)를 포함한다. 일 실시예에서, 지지 챔버 어셈블리(801)는 XRD, XPS, 응력 측정 툴, 반사계, 또는 엘립소미터 유형 툴이고, 이는 기판(W)을 소오스(813)로부터 방출된 복사선에 노출시키고 이후 센서(812)에서 신호의 일부를 수신함에 의해 기판이 특성을 측정하도록 구성된다. 이후 지지 챔버 어셈블리(801)에 의해 수신된 결과는 시스템 제어기(102)로 전달되고 이에 의해 시스템 제어기(102)는 처리 시퀀스에서 처리 변수의 하나 이상을 조정할 수 있으며 이로써 시스템에서 얻어진 처리 결과를 향상시킨다.
지지 챔버 어셈블리(801)는 이송 챔버(110)의 이송 챔버 베이스(110B)에 장착되고 이송 영역(110C) 내에 위치한 승강 어셈블리(820) 및 기판 지지대(804)를 일반적으로 포함한다. 일 태양에서, 지지 챔버 어셈블리(801)는 하나 이상의 처리 챔버(예를 들어 처리 챔버(201)는 도 10에서 도시됨)에 인접하여 위치한다. 이 구성에서, 측정 어셈블리(811)는 이송 챔버 덮개(110A)에 부착되고 챔버 덮개(110A)에 밀봉 가능하게 부착된 투명 영역(705)을 통해 기판 지지대(804)의 기판 지지면(807) 상에 위치한 기판(W)의 처리 면(W1)을 볼 수 있다. 시스템 제어기(102) 및 승강 어셈블리(820) 내에 포함된 액츄에이터(미도시)는 로봇 블레이드 어셈블리(113A) 및 기판 지지대(804) 사이에서 기판 "W"을 이송하는데 이용될 수 있다. 지지 챔버 어셈블리(801)는 지지 챔버 어셈블리(801)의 구성요소와 로봇(113)이 로봇(113)에 의해 완료되는 일반적인 이송 작동 동안 서로 충돌하지 아니하도록 일반적으로 설계되고 구성된다.
도 11은 이송 챔버(110) 상에 위치한 지지 챔버 어셈블리(801)의 일 실시예의 측단면도이고, 이에 의해 상기에서 논의된 준비/분석 단계(302) 및/또는 사후- 처리/분석 단계(310)가 수행될 수 있으며 기판(W)은 로봇(113)의 로봇 블레이드 어셈블리(113A) 상에 위치한다. 일 실시예에서, 기판(W)은 기판이 클러스터 툴(100)을 통해 기판을 이송하는 처리 동안 지지 챔버 어셈블리(801) 아래를 통과할 때 소오스(813)로부터 방출된 복사선이 센서(812)에 의해 수신되도록 위치한다. 다른 실시예에서, 시스템 제어기(102) 및 로봇(113)은 로봇 블레이드 어셈블리(113A) 및 기판(W)을 위치시키고 지지하도록 이루어지며 이에 의해 지지 챔버 어셈블리(801)는 기판의 하나 이상의 영역 상의 분석을 수행할 수 있다.
일 실시예에서, 도시되지는 아니했지만, 지지 챔버 어셈블리(800) 및 지지 챔버 어셈블리(801)는 위치(114A-114F)(도 2) 또는 위치(214A-214F)(도 3)와 같은 클러스터 툴에서의 이용 가능한 위치에 장착된 하나의 완전한 어셈블리로 통합된다. 일 실시예에서, 지지 챔버 어셈블리(800) 및/또는 지지 챔버 어셈블리(801)는 하나 이상의 로드락 챔버(106A-106B)(도 2 또는 3)로 통합된다.
큐 시간 문제 및 클러스터 툴 구성
일 실시예에서, 클러스터 툴(100)은 이후의 장치 제작 처리 단계에 대한 기판 상의 표면을 준비하는 하나 이상의 예비 세정 단계를 수행하도록 이루어진 준비 챔버를 포함한다. 예비 세정 단계는 일반적으로 반도체 장치 제작 단계에서 중요한데, 여기서 처리 단계 사이의 시간의 길이 또는 큐 시간은 중요하고 또는 대기 또는 다른 오염 소오스로의 노출 시간의 길이는 제조된 소자의 수율, 제조되는 소자의 반복성, 및 전체 소자 성능에 영향을 미친다. 일례에서, 큐 시간 문제는 카 세트, FOUPs 또는 다른 기판 핸들링 구성요소로부터 일반적으로 배출되는 유기질 형태의 오염 물질에의 노출에 의존하는 시간에 의해 기판의 표면 상에서 발견된 오염물질 양에 의해 만들어진다. 다른 예에서, 큐 시간 문제는 자연(native) 산화물 성장에 의해 발생되고, 이는 접촉 레벨 피쳐 중 하나 이상을 형성하기 이전에 형성되며, 따라서 이는 배치에서 상이한 기판의 형성된 소자 성능에 영향을 미친다. 형성된 반도체 소자 상의 자연 산화물층은 금속 산화물 반도체(MOS) 소자 게이트 산화물 형성 단계와 같은 이후의 처리 단계 바로 이전에 제거된다. 따라서, 예비 단계를 수행하는 것은 클러스터 툴에서 처리된 각각의 기판이 클러스터 툴에서 기판을 처리하기 이전에 동일한 시작 포인트에서 시작하고 따라서 처리 결과를 더욱 반복 가능하게 만든다는 것을 보장한다. 따라서, 예비 단계는 효과적으로 배치에서 맨 처음 기판 및 마지막 기판 사이의 대기 오염 노출 시간 차이의 영향을 효과적으로 제거하고 기판의 다른 배치에 대한 기판의 한 배치 사이의 차이점을 효과적으로 제거한다.
일 실시예에서, 시스템 제어기(102)는 클러스터 툴(100)에서 처리된 기판의 큐 시간을 모니터하고 제어하도록 이루어진다. 기판이 제 1 처리 챔버에서 처리 된 이후 그리고 다음 처리 챔버에서 처리 되기 이전의 큐 시간을 최소화하는 것은 소자 성능 상의 오염 소오스에 대한 노출의 영향을 제거하고 최소화하는 것을 도울 것이다. 이 실시예는 도 2-11과 함께 설명된 다른 실시예 및 검사/분석 및 입자/오염 제거 단계와 함께 이용될 때 특히 유리할 수 있는데, 왜냐하면 분석 및/또는 입자/오염 제거 단계의 이용은 하나 이상의 기판 처리 단계(예를 들어 PVD, CVD, EPI, 건식 에칭) 및 예비세정 처리 단계를 이용하는 처리 시퀀스 내에서 기판 처리 시퀀스의 하나 이상을 추가적으로 최적화하는데 이용될 수 있기 때문이다. 일 태양에서, 분석 및/또는 입자/오염 제거 단계는 예비 세정 처리 방법을 추가적으로 최적화하는데 이용될 수 있다. 본 발명의 일 태양에서, 시스템 제어기(102)는 시스템 처리량을 증가시키고 큐 시간 문제를 감소시키기 위해 처리 방법 단계가 언제 시작하고 언제 종료할지에 관한 타이밍을 제어한다.
여기서 설명된 예비 세정 단계는 습식 화학적 처리 및/또는 플라즈마 변경 처리를 이용하여 기판 표면을 준비할 수 있다. 하나 이상의 예비 단계를 수행하는데 이용될 수 있는 예시적 처리 및 하드웨어의 두 가지 예는 이하에서 설명된다.
플라즈마 예비세정 챔버 구성
일 실시예에서, 도 13에 도시된 처리 단계(301A)의 준비/분석 단계(302B)는 플라즈마 보조 유형(plasma assisted type)의 예비세정 처리 단계를 이용하여, 이 단계 이전에 기판 표면 상에 형성된 자연 산화막과 다른 오염물질들을 제거한다. 기판 표면 상의 자연 산화막들과 다른 오염물질들이 존재하면 장치 수득과 처리 반복 결과(process repeatability results)에 현저한 악영향을 미치므로, 기판 상에서 하나 이상의 단계들의 예비세정 단계들이 행해질 수 있다.
도 13은 클러스터 툴(100)(도 4)에서 예비세정 처리 단계를 수행하는 예시적인 처리 시퀀스(301A)를 나타낸다. 도 13은 준비/분석 단계(302B)가 추가되어 기판 상에서 플라즈마 보조 유형의 예비세정 처리가 행해질 수 있다는 점을 제외하고는 도 5에 도시된 처리 단계(300)와 유사하다. 일 실시예에서 처리 단계(301A)는 준비/분석 단계(302A)를 포함하는데, 준비/분석 단계(302A)는 기판 표면의 특성을 조사하고 분석하거나 후술할 예비세정 유형의 준비/분석 단계(302B)가 뒤따르는 입자 제거 단계를 수행하기 위해 사용된다. 처리 단계(301A)의 일 태양에서 기판 처리 단계(304)와 기판 처리 단계(306)는 산화막 식각(oxide etch), 금속 식각, EPI, RTP, DPN, PVD, CVD(예, CVD 폴리실리콘, TEOS 등) 또는 다른 적절한 반도체 기판 처리 단계를 포함하는 처리들의 그룹의 어느 하나로부터 선택될 수 있다.
일 실시예에서, 준비/분석 단계(302B) 처리(이하 예비처리 단계(preprocessing step))는 식각 단계와 인-시츄(in-situ) 어닐링 단계를 행하도록 구성된 예비세정 챔버(1100)(도 2)에서 행해진다. 기판 표면 상에 형성된 자연 산화막들과 다른 오염물질들을 제거하도록 구성될 수 있는 예비세정 챔버 및 처리에 대한 보다 상세한 설명은 미국 특허 출원으로서 2005년 2월 22일에 출원되어 본 출원인에게 양도된 "FEOL(Front End Of Line) 제조용의 인-시츄 건식 세정 챔버"라는 명칭의 Serial No. 60/547,839를 참조할 수 있는데, 그것은 특허 청구된 발명과 어긋나지 않는 한도에서 본 발명에서 전체적으로 참조된다.
일 실시예에서, 예비세정 챔버(1100)는 예비처리 단계를 수행하기 위하여 하나의 처리 분위기내에서 기판 가열과 냉각 모두를 이용하는 플라즈마 화학 식각 처리(plasma-enhanced chemical etch process)를 수행할 수 있다. 도 12는 예비세정 챔버(1100)의 부분 단면도를 나타낸다. 예비세정 챔버(1100)는 덮개(lid) 어셈블리(1101), 온도가 조절되는 기판 지지 부재(1102), 온도가 조절되는 챔버 바디(1110), 및 처리 구역(processing zone)을 포함하는 진공 챔버이다. 처리 구 역(1120)은 덮개 어셈블리(1101)과 기판 지지 부재(1102) 사이의 영역이다. 일반적으로 기판 지지 부재(1102)는 처리 동안 기판의 온도를 조절하고 지지하도록 구성된다. 덮개 어셈블리(1101)는 처리 구역(1120)의 외부에 있는 플라즈마를 생성하기 위한 플라즈마 공동(cavity)을 정의하는 제 1 및 제 2 전극(1130 및 1131)뿐만 아니라 처리 가스 공급 패널(미도시)을 포함한다. 처리 가스 공급 패널(미도시)은 제 2 전극(1131)을 관통하고 처리 구역(1120) 내로, 플라즈마 공동에 하나 이상의 반응 가스를 제공하는 가스 소오스(1160)에 연결된다. 제 2 전극(1131)은 기판 상에 위치하고 플라즈마 보조 건식 식각 처리가 완료된 후에 기판을 가열하도록 구성된다.
도 12는 예비세정 챔버(1100)를 나타내는 부분 단면도이다. 일 실시예에서, 예비세정 챔버(1100)는 챔버 바디(1110), 덮개 어셈블리(1101), 및 지지 어셈블리(1140)를 포함한다. 덮개 어셈블리(1101)는 챔버 바디(1110)의 상단에 배치되고, 지지 어셈블리(1140)는 적어도 부분적으로 챔버 바디(1110) 내에 배치된다. 챔버 바디(1110)는 그 측벽에 형성된 슬릿 밸브 개구부(1111)(slit valve opening)를 포함하여 예비세정 챔버(1100) 내부로의 접근을 제공한다. 슬릿 밸브 개구부(1111)는 선택적으로 여닫혀서 기판 핸들링 로봇(예, 도 2의 로봇(113))에 의한 챔버 바디(1110) 내부로의 접근을 제공한다.
하나 또는 그 이상의 실시예들에서, 챔버 바디(1110)는 열전달 유체가 흐르도록 형성된 유체 채널(1112)를 포함한다. 열전달 유체는 가열 유체 또는 냉각제일 수 있고, 처리 및 기판 이송 동안 챔버 바디(1110)의 온도를 조절하고자 사용된 다. 챔버 바디(1110)의 온도는 챔버 벽들에 부산물들 또는 원치 않는 가스의 응축을 막기 위해 중요하다. 예시적인 열전달 유체들은 물, 에틸렌 글리콜(ethylene glycol), 또는 그 혼합물을 포함한다. 예시적인 열전달 유체는 또한 질소 가스를 포함할 수 있다.
일반적으로 덮개 어셈블리(1101)는 하나 이상의 예비처리 단계들을 수행하기 위하여 덮개 어셈블리(1101) 내에 하나 이상의 반응 종들(reactive species)을 포함하는 플라즈마를 생성하기 위한 제 1 전극(1130)을 포함한다. 일 실시예에서, 제 1 전극(1130)은 탑 플레이트(1131) 상에서 지지되고, 그로부터 전기적으로 절연된다. 일 실시예에서, 제 2 전극(1131)이 그라운드와 연결되는 동안 제 1 전극(1130)은 전력 소오스(132)와 커플링된다. 따라서, 처리 가스들이 가스 소오스(1160)로부터 탑 플레이트에 형성된 홀들(1133)을 거쳐 처리 구역(1120)(processing zone)으로 전달됨에 따라서, 제 1 전극(1130)과 제 2 전극(1131) 사이의 체적 공간에 하나 이상의 처리 가스들을 포함하는 플라즈마가 생성된다.
가스들을 반응 종들로 활성화할 수 있고 반응 종들의 플라즈마를 유지하는 전력 소오스(1132)가 사용될 수 있다. 예를 들어, 전력 소오스(1132)는 에너지를 무선 주파수(RF), 직류(DC), 또는 마이크로파(MW)의 형태로 처리 구역(1120)에 전달할 수 있다. 이와 달리, 이후 예비세정 챔버(1110)에 전달되는 반응 종들의 플라즈마를 생성하기 위해, 원격 플라즈마 생성기와 같은 원격 활성화 소오스가 사용될 수 있다. 일 실시예에서, 제 2 전극(1131)은 처리 가스들과 예비세정 챔 버(1100) 내에서 수행될 동작들에 따라서 가열될 수 있다. 일 실시예에서, 예를 들어 저항식 가열기(resistance heater)와 같은 가열 요소(1135)가 제 2 전극(1131) 또는 분배 플레이트(distribution plate)에 연결될 수 있다. 제 2 전극(1131) 또는 분배 플레이트에 커플링된 열전쌍(thermocouple)에 의해서 온도의 균일화가 행해질 수 있다.
가스 소오스(110)은 일반적으로 하나 이상의 가스들을 예비세정 챔버(1100)에 제공하고자 사용된다. 사용되는 특정한 가스 또는 가스들은 예비세정 챔버(1100) 내에 수행될 처리 또는 처리들에 따라 달라진다. 예시적인 가스들은 환원제들, 촉매제들, 캐리어들, 퍼지, 클리닝, 또는 임의 혼합물 또는 그 조합들을 포함할 수 있지만, 하나 이상의 전구체들(precursors)에 제한되지 않는다. 일반적으로 예비세정 챔버(1100)에 도입된 하나 이상의 가스들은 덮개 어셈블리(1101)에 유입되어 그 후 제 2 전극(1131)을 거쳐 챔버 바디(1110)로 유입된다. 처리에 따라서 몇 개의 가스들이 예비세정 챔버(1100)에 전달될 수 있고, 예비세정 챔버(1100)에서 또는 가스들이 예비세정 챔버(1100)에 전달되기 전에 혼합될 수 있다. 그 후 챔버 바디(1110) 내의 처리 가스들은 진공 어셈블리(1150)에 의해서 라이너(1113)(liner)에 형성된 펌핑 채널(1115)과 개구(1114)를 거쳐서 배기된다.
지지 부재(1140)는 적어도 부분적으로 챔버 바디(1110) 내에 배치될 수 있다. 지지 부재(1140)는 챔버 바디(1110) 내에서 처리할 기판(여기서는 미도시)을 지지하기 위한 기판 지지 부재(1102)를 포함할 수 있다. 기판 지지 부재(1102)는 챔버 바디(1110)의 바닥면을 관통해 연장되는 승강(lift) 기구(미도시)에 커플링될 수 있다. 승강 기구(미도시)는 승강 기구 주변으로부터의 진공 누출을 막는 벨로우즈(bellows)(미도시)에 의해서 챔버 바디(1110)에 유연하게 밀봉될 수 있다. 승강 기구는 기판 지지 부재(1102)가 챔버 바디(1110) 내에서 처리 위치와 그 보다 낮은 이송 위치 사이에서 수직으로 이동하도록 할 수 있다. 이송 위치는 챔버 바디(1110)의 측벽에 형성된 슬릿 밸브 개구부(1111)(slit valve opening)의 다소 아래이다.
하나 이상의 실시예에서, 기판 지지 부재(1102)는 처리될 기판을 지지하기 위하여 평평한 원형 표면 또는 실질적으로 평평한 원형 표면을 구비한다. 기판 지지 부재(1102)는 바람직하게는 알루미늄으로 이루어진다. 기판 지지 부재(1102)는 챔버 바디(1110) 내에서 수직으로 이동할 수 있어서, 기판 지지 부재(1102)와 덮개 어셈블리(1101) 간의 거리를 조절할 수 있다. 기판 지지 부재(1102)는 승강 핀(미도시)을 수용하기 위하여 기판 지지 부재(1102)를 관통하여 형성된 하나 이상의 보어들(미도시)(bores)을 포함할 수 있다. 각 승강 핀은 일반적으로 세라믹이나 세라믹 함유 물질들로 이루어지고, 기판 핸들링과 이송(tranport)에 이용된다. 하나 이상의 실시예에서, 정전기(electrostatic) 또는 진공 척에 의해서 기판(미도시)이 기판 지지 부재(1102)에 고정될 수 있다. 하나 이상의 실시예에서, 종래의 클램프 링과 같은 기계식 클램프(미도시)에 의해서 기판이 기판 지지 부재(1102) 상에 지지될 수 있다. 바람직하게는 정전기 척에 의해서 기판이 고정될 수 있다.
지지 어셈블리(1140)의 온도는 기판 지지 부재(1102)의 바디 내에 형성된 하나 이상의 유체 채널(1141)을 통해 순환하는 유체에 의해 조절된다. 바람직하게는 유체 채널(1141)는 기판 지지 부재(1102) 둘레에(about) 위치하여 기판 지지 부재(1102)의 기판 수용(receiving) 표면에 균일한 열전달을 제공한다. 유체 채널(1141)에는 열전달 유체가 흐를 수 있어서, 기판 지지 부재(1102)를 가열하거나 냉각시킬 수 있다. 물, 질소, 에틸렌 글리콜, 또는 그 혼합물과 같은 적절한 열전달 유체가 사용될 수 있다. 지지 어셈블리(1140)는 기판 지지 부재(1102)의 지지 표면의 온도를 모니터링하는 내장된(embedded) 열전쌍(미도시)을 더 포함할 수 있다.
작동 중에, 기판 지지 부재(1102)는 처리되는 기판의 온도를 조절하고자 덮개 어셈블리(1101)에 매우 근접하도록 상승할 수 있다. 이로써, 기판은 가열 요소(1135)에 의해 가열되는 덮개 어셈블리(1101) 또는 분배 플레이트로부터 방출된 방열(放熱)에 의해서 가열될 수 있다. 이와 달리, 기판은 기판 지지 부재(1102)가 승강 핀들을 사용하여 가열된 덮개 어셈블리(1101)에 매우 근접하도록 상승할(lift off) 수 있다.
이제 예비세정 챔버 내에서 행해지는 암모니아(NH3)와 삼불화 질소(NF3)(Nitrogen trifluoride) 가스 혼합물을 사용하여 기판의 표면 상에 자연 산화물들을 제거하기 위한 예시적인 건식 식각 처리을 설명할 것이다. 건식 식각 처리은 반도체 기판과 같은 기판을 예비세정 챔버 내에 둠으로써 시작한다. 바람직하게는, 기판은 진공 또는 정전기 척에 의해서 처리 동안 기판 지지 부재(1102)의 지지 어셈블리(1140) 상에 놓여진다. 챔버 바디(1110)은 바람직하게는 50℃와 80 ℃ 사이에서 보다 바람직하게는 약 65℃에서 유지된다. 챔버 바디 내에 위치한 유체 채널들(1112)를 통해 열 전달 매질이 흐름으로써 챔버 바디(1110)의 이 온도가 유지된다. 처리 동안, 기판 지지부(support) 내에 형성된 유체 채널들(1112)를 통해 열 전달 매질 또는 냉각제가 흐름으로써 기판은 15℃와 50℃ 사이와 같은 65℃ 아래로 냉각된다. 다른 실시예에서, 기판은 22℃와 40℃ 사이에서 유지된다. 일반적으로, 기판 지지부는 앞에서 특정한 원하는 기판 온도들에 도달하기 위해 22℃ 아래로 유지된다.
그후에 암모니아와 삼불화 질소 가스들은 예비세정 챔버 내로 도입되어 세정 가스 혼합물을 형성한다. 챔버 내에 도입되는 각 가스량은 변할 수 있고 예를 들어 제거될 산화막의 두께, 세정되는 기판의 형상, 플라즈마의 체적 공동 및 챔버 바디(1110)의 체적 공동에 적절하도록 조정될 수 있다. 일 태양에서, 적어도 삼불화 질소에 대한 암모니아의 몰비(molar ratio)가 1:1인 가스 혼합물을 제공하고자 가스들이 부가된다. 다른 태양에서, 가스 혼합물의 몰비는 적어도 (삼불화 질소에 대하여 암모니아가) 약 3:1이다. 바람직하게는 (삼불화 질소에 대하여 암모니아가) 5:1 내지 30:1의 몰비에서 건식 식각 챔버에 가스들이 도입된다. 보다 바람직하게는 가스 혼합물의 몰비는 (삼불화 질소에 대하여 암모니아가) 약 5:1 내지 약 10:1이다. 또한 가스 혼합물의 몰비는 (삼불화 질소에 대하여 암모니아가) 약 10:1 내지 약 20:1 사이로 떨어질 수 있다.
퍼지 가스와 캐리어 가스가 또한 가스 혼합물에 부가될 수 있다. 예를 들어 아르곤, 헬륨, 수소, 질소, 또는 그 혼합물과 같은 어떤 적절한 퍼지/캐리어 가스 가 사용될 수 있다. 일반적으로 전체적인 가스 혼합물은 암모니아와 삼불화 질소 체적이 약 0.05% 내지 약 20%이다. 나머지가 캐리어 가스이다. 일 실시예에서, 퍼지 또는 캐리어 가스는 반응 가스들보다 먼저 챔버 바디(1110) 내로 도입되어 챔버 바디 내의 압력을 안정시킨다. 챔버 바디 내의 동작 압력은 변할 수 있다. 일반적으로 압력은 약 500 mTorr와 약 30 Torr 사이에서 유지된다. 바람직하게는 압력은 약 1 Torr와 약 10 Torr 사이에서 유지된다. 보다 바람직하게는 챔버 바디 내의 동작 압력은 약 3 Torr와 약 6 Torr 사이에서 유지된다.
약 5 W 내지 약 600 W의 RF 전력이 제 1 전극에 공급되어 프라즈마 공동 내에 가스 혼합물의 플라즈마가 점화된다. 바람직하게는 RF 전력은 100 W보다 작다. 보다 바람직하게는 예를 들어 전력이 100 kHz보다 낮은, 매우 낮은 주파수에서 제공된다. 바람직하게는 주파수는 약 50 kHz 내지 약 90 kHz 사이 범위이다.
플라즈마 에너지는 가스 상태에서 반응성이 매우 큰 플루오르화 암모늄(NH4F) 화합물 및/또는 불화수소 암모늄(NH4F·HF)을 형성하고자 결합하는 암모니아와 삼불화 질소 가스들을 반응 종들(reactive species)로 분리한다. 이후 이 분자들은 제 2 전극(1131)을 통해 흘러서 세정될 기판 표면과 반응한다. 일 실시예에서, 캐리어 가스가 예비세정 챔버 내로 처음 도입되고, 캐리어 가스의 플라즈마가 생성되며, 이후 반응 가스들, 암모니아 및 삼불화 질소가 플라즈마에 부가된다.
이론에 구속되지는 아니할 것이지만, 식각 가스, 플루오르화 암모늄(NH4F) 화합물 및/또는 불화수소 암모늄(NH4F·HF)은 자연 산화물 표면과 반응하여 규소플 루오르화 암모늄((NH4)2SiF6), 암모니아(NH3), 및 물(H20) 생성물들을 형성한다. 암모니아(NH3)와 물(H20)은 동작 조건에서 증기이고 챔버에 부착된 진공 챔버에 의해서 챔버로부터 제거된다. 기판 표면 상에는 뒤에 규소플루오르화 암모늄((NH4)2SiF6)의 얇은 막이 남겨진다.
기판 표면 상에 규소플루오르화 암모늄((NH4)2SiF6)의 얇은 막이 형성되는 플라즈마 처리 단계를 수행한 후에, 기판 지지부는 가열된 제 2 전극에 매우 근접하여 어닐링 위치까지 상승한다. 제 2 전극(1131)으로부터 방사된 열은 규소플루오르화 암모늄((NH4)2SiF6)의 얇은 막을 휘발성의 실리콘 테트라플루오라이드(SiF4), 암모니아(NH3), 및 불화수소(HF) 생성물들로 분리 또는 승화시키기에 충분하다. 이후 이 휘발성 생성물들은 진공 어셈블리(1150)에 의해서 챔버로부터 제거된다. 일반적으로 기판으로부터 얇은 막을 효과적으로 승화시키거나 제거하고자 75℃ 이상의 온도가 사용된다. 바람직하게는 약 115℃와 약 200℃ 사이와 같은 100℃ 이상의 온도가 사용된다.
제 2 전극에 의해 규소플루오르화 암모늄((NH4)2SiF6)의 얇은 막을 휘발성 화합물들로 분리하는 열 에너지가 변환되고 방사된다. 가열 요소(1135)는 제 2 전극(1131)에 직접 커플링되고, 제 2 전극 및 그것과 함께 열접촉하는 구성 요소들을 약 75℃와 약 250℃ 사이의 온도까지 가열하고자 활성화된다. 일 태양에서, 제 2 전극은 약 120℃와 같은 100℃와 150℃ 사이의 온도까지 가열된다.
일단 막이 기판으로부터 제거되면, 챔버는 퍼지되고 비워진다. 이후 기판을 이송 위치까지 하강시키고 기판을 디-척킹(de-chucking)하고 슬릿 밸브 개구부(1111)을 통해 기판을 이송함으로써, 챔버로부터 세정된 기판을 제거한다.
도 13에 나타낸 바와 같이, 준비/분석 단계(302B)를 수행한 후에, 산화막 식각, 금속 식각, EPI, RTP, DPN, PVD, CVD(예, CVD 폴리실리콘, TEOS 등) 또는 다른 적절한 반도체 기판 처리 단계를 포함할 수 있는 처리들의 그룹의 어느 하나로부터 선택된 하나 이상의 기판 처리 단계들을 사용하여 기판을 처리할 수 있다.
습식 세정 유형의 예비세정 챔버 구성들
다른 실시예에서, 처리 시퀸스에서 하나 이상의 기판 장치 제조 처리 단계들을 수행하기에 앞서, 노출된 기판 표면 상에 형성된 자연 산화막과 다른 오염물질들을 습식 세정 유형의 예비세정 처리, 이하 습식 세정 처리를 사용하여 제거한다. 도 14는 하나 이상의 습식 세정 유형의 예비세정 처리 단계들을 수행하여, 수득량과 처리 반복성을 향상시키기 위하여 사용될 수 있는 처리 시퀀스(301B)를 나타낸다.
예비세정 처리 처리는 도 13 및 도 14와 함께 나타낸 바와 같이, 기판의 표면 상에서 행해져서 자연 산화막, 입자들, 및 다른 오염물질들을 제거할 수 있다. 도 14는 도 15에 나타낸 클러스터 툴(101)에 행해질 수 있는 예시적인 처리 시퀀스(301B)를 나타낸다. 도 14는 준비/분석 단계(302A)를 수행하기 전에 준비/분석 단계(302C)가 수행된다는 점을 제외하고는 도 13에 나타낸 처리 시퀀스(301A)와 유 사하다. 일 실시예에서, 준비/분석 단계(302A)는 전술한 입자 제거 단계 또는 기판 준비/분석 단계(예, 도 5의 준비/분석 단계(302A))를 포함한다. 일 실시예에서, 준비/분석 단계(302C)는 후술할 습식 세정 유형의 기판 준비 단계이다. 처리 시퀀스(301B)의 일 실시예에서, 준비/분석 단계(302C)를 수행한 후에 기판들은 산화물 식각, 금속 식각, EPI, RTP, DPN, PVD, CVD(예, BLOk, CVD 폴리실리콘, TEOS 등) 또는 다른 적절한 반도체 기판 처리 단계를 포함할 수 있는 반도체 장치 형성 처리들의 그룹의 하나로부터 선택될 수 있는 기판 처리 단계(304)와 기판 처리 단계(306)를 거친다.
도 15는 처리 영역(120), 연결 모듈(350) 및 전방-단부 분위기(104)를 포함하는 클러스터 툴(101)의 일 실시예의 평면도이다. 일반적으로 처리 영역(120)는, 일반적으로 하나 이상의 처리 챔버들(201 내지 204), 하나 이상의 지지 챔버들(211)(두 개 도시), 이송 챔버(110), 및 로드록 챔버들(106A 및 106B)를 포함하는, 도 2와 함께 전술한 구성 요소들을 포함한다. 로드록 챔버들(106A 및 106B)은 이송 챔버(110) 및 연결 모듈(350)과 소통한다(in communication with). 지지 부재(211)는 위치들(114A 내지 114F), 위치들(214A 내지 214D), 및 연결 모듈(350)의 위치들(354A 및 354B)과 같은 클러스터 툴의 다른 영역들에 위치할 수 있음을 유의해야 한다.
연결 모듈(350)은 일반적으로 전방-단부 분위기(104)를 처리 영역(120)에 연결하는 이송 영역(351)을 구비한다. 연결 모듈(350)은 일반적으로 연결 로봇(330)과 하나 이상의 습식 세정 챔버들(360)을 포함한다. 일 실시예에서, 연결 로 봇(330)은 연결 로봇(330)이 로드록 챔버들(106A 및 106B), 습식 세정 챔버들(360), 및 전방-단부 분위기(104) 내의 지지 스테이지(104A) 간에서 기판들을 이송할 수 있도록 구성된 슬라이드 어셈블리(331)를 구비한다. 연결 모듈(350)의 이송 영역(351)에 배치된 연결 로봇(330)은 전방-단부 분위기(104)에 올려지는 기판들이 로드록 챔버(106)와 전방-단부 분위기(104A) 내의 지지 스테이지(104A) 간에 위치하도록 왕복시키기 위하여 직선, 회전, 및 수직 이동을 할 수 있다. 일반적으로 전방-단부 분위기(104A)는 복수의 포드들(105)(pods)에 위치하는 카세트(미도시)로부터 대기압의 청정 분위기/엔클로저(enclosure)을 거쳐 지지 스테이지(104A)와 같은 소정의 원하는 위치에 기판들을 이송하기 위해서 사용된다.
습식 세정 챔버(360)는 일반적으로 하나 이상의 습식 화학 처리 단계들을 사용하여 노출된 기판 표면 상에 형성된 자연 산화막과 다른 오염물질들을 제거하도록 구성된 챔버이다. 습식 세정 챔버(360)는 어플라이드 머터리얼즈 사(Applied Materials, Inc.)로부터 이용할 수 있는 TEMPESTTM 습식 세정 챔버 또는 EmersonTM 챔버일 수 있다. 예시적인 습식 세정 챔버(360)의 일례가 2001년 6월 25일에 출원되고 본 출원인에게 양도된 미국 특허 출원 Serial No. 09/891,849와 2002년 4월 11일에 출원되어 본 출원인에게 양도된 미국 특허 출원 Serial No. 10/121,635에 보다 자세히 개시되어 있는데, 이들 전체는 본 발명에서 참조된다.
처리 중에, 습식 세정 챔버(360)는 일반적으로 기판의 표면을 세정하도록 구성된다. 일면에서, 습식 세정 챔버는 기판 표면에 노출되는 화합물이 작용 기(Functional Group)로 마무리되게 하는 하나 또는 그 이상의 처리를 수행하도록 구성된다. 기판의 표면 상에 부착 및/또는 형성되는 작용기는 수산화물(OH), 알콕시(OR, 여기서 R = Me, Et, Pr 또는 Bu), 하록실(OX, 여기서 X = F, Cl, Br 또는 I), 할라이드(F, Cl, Br, 또는 I), 산소 라디칼 및 아미노(NR 또는 NR2, 여기서 R = H, Me, Et, Pr 또는 Bu)를 포함한다. 습식 세정 처리는 기판의 표면을 NH3, B2H6, SiH4, SiH6, H2O, HF, HCl, O2, O3, H2O, H2O2, H2, 원자-H, 원자-N, 원자-O, 알콜, 아민, 이들의 플라즈마, 이들의 유도체 또는 이들의 조합물과 같은 시약(reagent)에 노출될 수 있다. 작용기는 기판을 표면 상에 부착되게 하기 위한 순차적인 CVD 또는 원자층 증착(ALD) 단계에 사용되는 유입 전구체를 위한 기본 물질을 제공할 수 있다. 일 실시예에서, 습식 세정 처리는 기판의 표면을 약 1 초 내지 약 2 분의 주기 동안 시약에 노출할 수 있다. 습식 세정 공정은 또한, RCA 용액(SC1/SC2), HF-라스트 솔루션(last-solution), WVG 또는 ISSG 시스템으로부터의 수증기, 과산화물, 산성 용액, 염기성 용액, 이들의 플라즈마, 이들의 유도체 또는 이들의 조합물에 기판 표면을 노출시키는 단계도 포함할 수 있다. 유용한 습식 세정 처리는 본 출원인에게 양도된 미국 특허 번호 6,858,547호 및 "고유전체 재료의 핵생성 개선을 위한 표면 예비 처리"라는 명칭으로 2002년 11월 21일자로 출원되어 공동 계류 중인 미국 출원 번호 10/302,752호, 그리고 공개 US 20030232501호에 설명되어 있으며, 이들 내용들은 전체적으로 본 발명에 참조되었다.
습식 세정 처리의 일 예에서, 네가티브 산화물 층은 약 5 Å 내지 약 7 Å과 같은 약 10 Å 또는 그 미만의 두께를 갖는 화학적 산화물 층을 형성하는 제 2 처리 단계에 기판을 노출시키기 이전에 제거된다. 네가티브 산화물은 HF-라스트 솔루션에 의해 제거될 수 있다. 습식 세정 처리는 어플라이드 머티리얼즈, 인코포레이티드로부터 이용가능한 템페스트(TEMPEST:등록 상표) 습식 세정 시스템 내에서 수행될 수 있다. 다른 예에서, 기판은 약 15 초 동안 WVG 시스템으로부터 유도된 수증기에 노출된다. 종래의 HF-최종 처리 단계는 노출된 실리콘 표면 상에 부동태 층을 형성하기 위한 처리 시퀀스에서 최종 단계로서 통상적으로 약 1% HF 미만을 함유하는 수용액을 사용한다. HF-최종 처리는 고품질의 게이트 산화물 층을 신뢰성있게 형성하는데 유용할 수 있다.
도 14에서 알 수 있는 바와 같이, 준비/분석 단계(302A)를 수행한 이후에, 기판은 산화물 에칭, 금속 에칭, EPI, RTP, DPN, PVD, CVD(예를 들어, CVD 폴리실리콘, TEOS 등), 또는 다른 적합한 반도체 기판 처리 단계들을 포함할 수 있는 그룹 중의 하나로부터 선택된 하나 또는 그 이상의 기판 처리 단계를 사용하여 처리될 수 있다.
UV 세정 처리를 사용하는 처리 개선
반도체 장치의 크기가 45 nm 노드 또는 그 이하와 같이 축소되면서, 네가티브 산화물 성장, 및/또는 유기 오염물에의 노출에 의한 큐 시간(queue time)은 훨씬 큰 문제가 되었다. 형성된 반도체 장치 상의 네가티브 산화물 성장, 또는 오염에 대한 치명적인 영향을 감소시키기 위해, 기판 표면이 소정의 청정도를 유지할 수 있게 증착 단계를 수행하기 이전에 하나 또는 그 이상의 세정 처리가 수행될 수 있다. 클러스터 툴의 하나의 실시예에서, 처리 챔버(201-204) 중의 하나 또는 그 이상, 또는 지지 챔버(211)는 큐 시간에 대한 영향을 줄이도록 기판 표면을 세정하기 위해 하나 또는 그 이상의 UV 파장을 전달하도록 채용되는 복사선 소오스를 포함하며, 이렇게 하여 CVD, PVD, 또는 ALD 형태의 처리와 같은 계속된 증착 처리를 위한 기판을 준비한다. 이러한 구성에 있어서, 클러스터 툴 내의 기판 상에서 수행되는 처리 단계의 시퀀스는 UV 에너지 소오스를 사용하여 기판을 세정하는 단계(그 후의 UV 세정 처리)를 포함할 것이다. 증착 단계 이전에 UV 세정 처리의 추가는 에피택셜(EPI) 층 증착 단계를 수행하기 직전에 수행될 때 특히 유용할 수 있는데, 이는 증착된 EPI 층의 핵생성과 형성된 EPI 층 내의 응력이 처리 초기의 표면 상태에 매우 민감하기 때문이다. 일 실시예에서, 기판 처리 시퀀스는 습식 세정 형태의 기판 준비 단계[도 14에 있어서 준비/분석 단계(302C)] 또는 예비 세정 처리 단계[도 13에 있어서 준비/분석 단계(302B)]와 같은 준비 단계, 및 EPI, CVD, PVD, 또는 ALD 증착 처리와 같은 기판 제조 단계를 수행하기 직전에 기판 표면의 상태를 더욱 신뢰성 있게 제어하고 기판 표면의 청정도를 개선하는 UV 세정 처리 단계를 포함한다. 이와 같이 습식 세정 형태의 기판 준비 단계 또는 예비 세정 처리 단계와 같은 준비 단계가 기판 표면 상에 네가티브 산화물 층이나 커다란 조합물을 제거하는데 사용되는 반면에, UV 세정 단계는 계속된 기판 처리 단계의 완료 직전에 기판 표면을 최종적으로 준비 및/또는 부동태화하는데 사용된다.
일 실시예에서, UV 세정 처리는 다른 종래의 세정 기술에 비해서, 열적 영향 을 감소시키기 위해 세정 및/또는 부동태 처리가 수행되는 온도를 감소시키는데 사용된다. 예를 들어, 소정의 UV 복사선 양을 사용할 때의 처리 중의 기판 온도는 750 ℃ 미만, 통상적으로 700 ℃ 미만일 수 있다. 일면에서, UV 개선 처리는 약 500 ℃ 내지 약 700 ℃ 범위의 온도에서 수행된다. EPI 증착 단계 직전에 공통으로 사용되는 종래의 실리콘 함유 기판 세정 및 부동태 단계는 통상적으로, 약 750 ℃ 내지 약 1000 ℃ 범위의 온도에서 수행된다. 일면에서, UV 복사선의 존재 하에 수소를 함유하는 주변 환경에서 기판을 처리함으로써, 세정 및 부동태 처리가 수행되는 온도 또는 표면을 세정하는데 필요한 시간, 또는 이들 둘의 조합을 감소시키는 것이 가능하다. 일 실시예에서, UV 세정 처리는 에피택셜 성장되고 실리콘 함유 필름의 증착을 위한 세정 및 부동태화 실리콘 함유 기판 표면을 준비하도록 수행된다.
도 6을 참조하면, 일 실시예에서 미립자 감소 챔버(700)가 기판 표면 상에서 세정 처리를 수행하는데에도 채용된다. 일면에서, 미립자 감소 챔버(700)는 엔클로저(701), 복사선 소오스(711), 기판 지지대(704), 가열 요소(722), 진공 펌프(736) 및 처리 영역(710)으로 수소와 같은 환원 가스를 포함하는 세정 가스를 분배하도록 채용되는 가스 분배 소오스(735)를 포함한다. 작동시, 진공 펌프(736)는 기판 표면 세정 및 부동태화 처리 중에 약 0.1 내지 약 80 Torr 범위로 처리 영역(710) 내의 압력을 제어하는데 사용된다. 가열 요소(722) 및 시스템 제어기(102)는 약 550 ℃ 내지 약 750 ℃ 범위, 통상적으로 약 550 ℃ 내지 약 700 ℃ 범위로 처리 중의 기판 온도를 제어하는데 사용된다. 시스템 제어기(102) 및 복사 선 소오스(711)는 약 120 nm 내지 약 430 nm 범위의 하나 또는 그 이상의 파장에서 약 1 mW/㎠ 내지 약 25 mW/㎠ 범위로 UV 복사선 전력 밀도를 제어하는데 사용된다.
일 예에서, UV 세정 처리는 약 180 nm 또는 그 미만의 파장에서 복사선에의 동시 노출과 함께 수소 함유 가스에 의해 세정하도록 기판을 노출시킴으로써 완료된다. UV 세정 처리 중에, 수소 유동률은 약 25 slm 내지 약 50 slm 범위로 유지되는 반면에, 기판 표면의 온도는 약 1 분 내지 약 5 분 범위의 시간 주기 동안 약 500 ℃ 내지 650 ℃ 범위의 온도로 유지된다. 처리 영역 내의 압력은 약 0.1 Torr 내지 약 100 Torr 범위일 수 있으며, 통상적으로 상기 압력은 5 Torr 내지 약 30 Torr 범위 내에 있다. 기판 표면으로 분배되는 UV 복사선의 전력 밀도는 약 2 mW/㎠ 내지 약 25 mW/㎠범위일 수 있다.
일 실시예에서, 도 16에 도시한 바와 같이 UV 세정 처리(302D)는 예비 세정 단계(302B)를 수행한 이후 및 처리 단계(304)를 수행하기 이전에 수행된다. 도 16에 도시된 처리 시퀀스(301C)는 이송 단계(A3') 및 UV 세정 처리(302D)가 UV 세정 처리(302D)를 수행하기 위해 추가된 것을 제외하면 도 13에 도시된 처리 시퀀스와 유사하다. 도 16은 UV 세정 처리가 처리 시퀀스 내에서 수행되는 순서에 한정되지 않는다고 이해해야 하는데, 그 이유는 세정 처리가 본 발명의 기본적인 범주로부터 벗어남이 없이 처리 단계들 중의 어느 하나 이전 또는 이후에 수행될 수 있기 때문이다. 일반적으로, 네가티브 산화물 성장을 방지하기 위한 산소 또는 다른 오염물과의 기판 표면과의 상호작용 또는 다음 기판 처리 단계를 수행하기 이전에 세정된 표면에 대한 손상을 방지 또는 최소화하기 위해 UV 세정 처리(302D)를 수행한 이후 에 진공 또는 불활성 환경 내에 기판을 이송 또는 유지하는데 바람직하다. 그러므로, 산소 또는 다른 오염물의 낮은 부분압을 가지는 클러스터 툴 내에서 UV 세정 처리를 수행하는 것이 일반적으로 바람직하다.
다른 실시예에서, UV 복사선 소오스, 기판 가열기 및 세정 가스 소오스는 UV 세정 처리가 내부에서 수행될 수 있도록 클러스터 툴 내에 장착되는 하나 또는 그 이상의 처리 챔버[예를 들어, 처리 챔버(201-204)] 내에 부착 또는 포함될 수 있다. 이러한 구성에서, UV 세정 처리가 증착 처리를 수행하기 이전에 처리 챔버내에서 수행될 수 있으며, 이에 따라 별도의 이송 단계(A3')(도 16)가 필요하지 않게 된다. 일 실시예에서, UV 복사선 소오스(도시 않음)가 도 12에 도시된 예비 세정 챔버(1100)에 추가되어 기판 표면 상에 수행된 예비 세정 처리의 처리 결과를 개선한다.
일 실시예에서, 하나 또는 그 이상의 계측 단계들(예를 들어, 도 13 내지 도 14에서의 준비/분석 단계(302A))가 UV 세정 처리를 수행한 이후에 기판 상에 수행되어서 정확한 작용이 시스템 제어기에 의해 수행될 수 있도록 기판의 다양한 영역에 대한 상태를 분석함으로써 계속된 기판 상의 UV 세정 처리의 효율을 개선 및/또는 계속된 처리들 중의 하나 또는 그 이상에서 달성되는 처리 결과들을 개선한다. 일반적으로, UV 세정 처리 변수들로는 UV 세정 처리 시간, 기판 표면으로 분배되는 UV 전력 세기, 및/또는 기판 온도가 포함될 수 있다.
다른 실시예에서, 하나 또는 그 이상의 계측 단계들(예를 들어, 도 13 내지 도 14에서의 준비/분석 단계(302A))은 UV 세정 처리가 수행되고 하나 또는 그 이상 의 계속된 기판 처리 단계(예를 들어, PVD, CVD 또는 ALD 증착 단계)들이 기판 표면 상에 수행된 이후에 수행된다. 그러한 경우에, 상기 계측 단계들은 기판 표면 상의 영역 상태를 반복적으로 분석하여 기판 제어기가 처리 시퀀스 내의 처리 단계 중의 하나 또는 그 이상의 단계들에서의 하나 또는 그 이상의 처리 변수들에 대한 조절을 수행함으로써 달성된 처리 결과들을 개선한다. 일반적으로, 처리 변수들은 UV 세정 처리 변수(예를 들어, UV 세정 처리 시간, UV 소오스 전력) 또는 기판 처리 처리 변수(예를 들어, RF 전력, 처리 압력, 가스 유동률, 필름 두께, 증착률, 기판 온도)들 중의 어느 하나를 포함할 수 있다. 일 예에서, XRD 장치는 제 1 기판의 표면 상에 증착되는 필름의 응력을 측정하고 피드백하는데 사용된다. 그러므로, 측정된 응력이 소정 범위를 벗어나면, 시스템 제어기는 예를 들어, UV 세정 처리의 길이를 조절하여 기판 표면 세정도를 개선하며 제 2 기판 상에 형성되는 증착 층 내의 응력을 감소시킨다. 이러한 처리는 증착된 필름 특성(예를 들어, 응력/변형)이 에픽택셜 증착된 실리콘 층과 같은 증착 이전의 기판 표면 상태에 매우 민감한 경우에 사용될 때 중요할 수 있다.
클러스터 툴에서의 계측 단계의 통합은 처리 시퀀스 내의 하나 또는 그 이상의 처리 단계 이후의 바람직한 또는 바람직하지 않은 처리 결과의 신속한 피이드백을 가능하게 하여 기판 스크랩과 장치 변동성을 감소시키는데 도움을 준다. 클러스터 툴 내의 통합된 계측 단계들도 처리 단계들 중의 하나 또는 그 이상에 대한 예비 판정을 위해 클러스터 툴을 통과하는 테스트 웨이퍼 또는 더미 웨이퍼에 대한 시간 소모 필요성을 잠재적으로 제거함으로써 클러스터 툴의 생산성을 개선한다. 또한, 클러스터 툴(예를 들어, 이송 영역(110)) 내부에, 또는 클러스터 툴의 제어된 진공 하의 영역 또는 불활성 환경 하의 영역들과 소통되는 하나 또는 그 이상의 계측 챔버의 사용으로 산소 또는 다른 오염물과 기판 표면의 상호작용을 방지 및/또는 최소화함으로써 계측 단계들이 제어된 진공 또는 불활성 환경에서 벗어나서 수행되는 처리 시퀀스에 비해서 더욱 신속하고 현실적인 계측 결과들을 제공한다. 따라서, 일반적으로 계측 챔버들이 클러스터 툴에 부착되어 계측 챔버들로부터 그리고 그러한 챔버들로의 이송 처리들이 낮은 부분압의 산소 또는 다른 오염물을 가지는 환경 내에서 수행되도록 클러스터 툴을 구성하는 것이 바람직하다.
UV 개선 증착 처리
일 실시예에서, 기판 처리 챔버는 기판 처리 단계(예를 들어, 도 13, 도 14 및 도 16에서의 기판 처리 단계(304-306)) 중의 기판 처리 온도를 감소시키도록 구성되는 UV 복사선 소오스를 포함한다. 기판 처리 온도의 감소 필요성은 피쳐 크기가 45 nm 및 그 이하로 감소됨에 따라 그 중요성이 증대되었다. 처리 온도의 감소 필요성은 형성된 장치의 층들 사이에 있는 재료들의 상호확산에 의해 유발된 장치 수득 문제를 최소화 또는 방지하기 위한 필요성에 의해 야기되었다. 낮은 처리 온도는 기판 준비 단계 및 기판 제조 단계에 요구된다. 기판 처리 온도의 감소는 형성된 장치에 대한 열적 영향에 중요하며, 따라서 형성된 장치의 사용 수명과 장치 수득량을 개선한다. 따라서, 장치 제조 처리 시퀀스 내에 감소된 처리 온도를 포함하는 하나 또는 그 이상의 처리 단계들을 사용하는 것이 바람직하다.
이러한 과제를 달성하기 위해, 처리 챔버 이후의 기판 처리 챔버는 장치 제조 처리를 수행하는 단계 중에 UV 복사선에 기판의 하나 또는 그 이상의 표면들을 노출시킨다. 사용시, UV 복사선 소오스는 열 에너지에 대한 필요성을 감소시키기에 충분한 에너지를 기판의 표면에 분배하도록 채용되어서 증착 또는 에칭 처리가 기판의 표면 상에 발생되게 한다. 일반적으로, 약 5 내지 약 25 mWatts/㎠ 범위의 전력 밀도에서 약 120 내지 약 430 nm 범위의 파장에서 UV 복사선을 기판 표면으로 분배하도록 채용되는 복사선 소오스가 대부분의 종래의 CVD 또는 ALD 처리를 돕는데 유용하다고 여겨진다. UV 복사선 파장 및 분배되는 전력은 주어진 온도, 전구체 및 기판 조합에 대해 조절될 필요가 있다고 이해해야 된다. 복사선 소오스로부터의 복사선은 제논, 아르곤, 크립톤, 질소, 제논 염화물, 크립톤 불화물, 아르곤 불화물과 같은 원소들을 포함하는 램프에 의해 공급될 수 있다. 통상적인 복사선 소오스는 종래의 UV 램프(예를 들어, 수은 증기 램프) 또는 다른 유사한 장치일 수 있다. 상이한 방출 파장을 갖는 UV 복사선 소오스의 조합도 사용될 수 있다. 일 실시예에서, 처리 챔버의 압력은 약 0.1 내지 약 80 Torr 범위이다.
도 16은 도 2 및 도 3에 도시된 클러스터 툴(100) 내의 하나 또는 그 이상의 처리 챔버(201-204)로서 사용될 수 있는 예시적인 처리 챔버(1600)의 개략적인 측면도이다. 도 16에 도시한 바와 같은 일 실시예에서, 증착 처리 챔버는 처리 챔버(1600)의 다수의 기능적 요소들을 포함하고 있는 스테인레스 스틸 하우징 구조물(1601)을 포함한다. 석영 챔버(1630)는 UV 복사선 소오스(1608)가 포함되는 상부 석영 챔버(1605), 및 처리 영역(1617)이 포함되는 하부 석영 챔버(1624)을 포함 한다. 반응성 종들이 처리 영역(1618)으로 제공되며 처리 부산물들이 처리 영역(1618)으로부터 제거된다. 기판(1614)은 받침대(1617) 위에 놓여지며 반응성 종들이 기판(1614)의 표면(1616)에 가해지고 계속해서 기판(1616)으로부터 부산물이 제거된다. 기판(1614)과 처리 영역(1618)의 가열은 적외선 램프(1610)의 사용으로 수행된다. 적외선 램프(1610)로부터의 복사선은 상부 석영 챔버(1605)의 상부 석영 윈도우(1604)와 하부 석영 챔버(1624)의 하부 석영 부분(1603)을 통해 이동한다. 상부 석영 챔버(1605)를 위한 하나 또는 그 이상의 냉각 가스가 입구(1611)를 통해 유입되어 출구(1628)를 통해 배출된다. 일 실시예에서, 처리 챔버가 CVD 또는 ALD 형태의 처리 챔버인 경우에, 하부 석영 챔버(1624)를 위한 전구체뿐만 아니라 희석제, 퍼지 및 배기 가스가 입구(1620)를 통해 유입되어 출구(1638)를 통해 배기된다. 출구(1628,1638)는 동일한 진공 펌프와 소통되거나 별도의 펌프를 사용하여 동일한 압력에서 제어되어, 상부 석영 챔버(1605) 및 하부 석영 챔버(1624) 내의 압력이 균등해진다. 이와 같이 UV 복사선은 반응성 종을 활성화하고 기판(1614)의 표면으로부터 반응물의 흡착 및 처리 부산물의 제거에 도움을 준다. 예시적인 증착 챔버, UV 세정 처리 및 UV 보조 증착 처리를 사용한 EPI 필름의 증착 처리도 2004년 6월 10일자로 출원되어 공동 양도된 미국 특허 출원 번호 10/866,471호에 설명되어 있으며, 상기 출원의 내용은 전체적으로 본 발명에 참조되었다.
일 예에서, 실리콘 질화물(SiN) 필름의 증착은 약 5 내지 약 10 mWatts/㎠ 범위의 전력 밀도에서 약 172 nm 범위 내의 파장에서 UV 복사선이 분배되는 동안에 바람직하게 약 400 ℃에서 디실란(Si2H6)과 암모니아(NH3) 혼합물을 사용하여 처리 챔버(1600) 내에서 수행된다. 통상적으로, 종래의 SiN 증착 처리는 약 650 ℃ 또는 그 이상의 온도를 필요로 한다.
클러스터 툴의 일 실시예에서, 하나 또는 그 이상의 계측 단계(예를 들어, 도 13 및 도 14에서의 준비/분석 단계(302A))는 하나 또는 그 이상의 UV 보조 기판 처리 단계(예를 들어, 증착 단계)를 수행한 이후에 수행된다. 이러한 경우에, 계측 단계들은 기판 표면 상에 증착되는 하나 또는 그 이상의 층에 대한 상태를 신속하게 분석하여 시스템 제어기가 기판 처리 단계의 처리 변수로 조절될 수 있음으로써 기판 표면 상에 층을 형성하는 처리를 개선하는데 사용될 수 있다. 일반적으로, 처리 변수들로는 예를 들어, UV 복사선 세기(예를 들어, 전력), 증착 시간, 처리 압력, 처리 가스의 유동률, RF 전력, 필름 두께, 또는 기판 온도가 포함될 수 있다. 일 예에서, XRD 장치가 제 1 기판의 표면 상에 증착된 필름 내의 응력을 측정하고 피이드백하여 시스템 제어기가 예를 들어, 계속된 증착 처리들 중의 UV 전력을 조절할 수 있음으로써 UV 보조 증착 처리를 사용하여 형성되는 층들 내의 응력과 같은 필름 특성을 개선하는데 사용된다. 이러한 처리는 증착 필름 특성(예를 들어, 응력/변형)들이 증착 처리 중에 열적 환경에 매우 민감한 경우에 사용될 때 중요할 수 있다. 클러스터 툴 내에 계측 처리 단계의 통합은 하나 또는 그 이상의 기판 제조 처리 단계들 이후에 달성되는 바람직한 또는 바람직하지 않은 처리 결과들에 대해 신속한 피드백을 가능하게 하여 잘못 처리된 기판의 수를 감소시킴으로 써 장치 수율을 개선하며 처리 시퀀스 내에서 수행되는 하나 또는 그 이상의 처리들에 대한 예비 판단을 위해 클러스터 툴 내에서 수행되는 처리 시퀀스 내에 포함하되는 하나 또는 그 이상의 처리 단계들을 통한 테스트 웨이퍼에 소요되는 시간 소모를 제거함으로써 클러스터 툴의 생산성을 개선한다.
전술한 설명이 본 발명의 실시예들에 대한 것이지만, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 이후의 청구의 범위에 의해 결정된다.

Claims (35)

  1. 기판 처리 장치로서:
    내부에 로봇이 배치된 이송 영역을 형성하는 하나 이상의 벽;
    상기 이송 영역 내에 배치되고 기판 표면의 특성을 측정하도록 구성되는 제 1 지지 챔버;
    상기 이송 영역과 소통하는 기판 처리 챔버; 그리고
    상기 기판 처리 챔버 내에서 처리 단계를 실시하기에 앞서서 기판의 표면에 대한 준비작업(preparing)을 하도록 구성되는 예비세정 챔버를 포함하는
    기판 처리 장치.
  2. 제 1 항에 있어서,
    상기 이송 영역이 약 10-6 Torr 내지 약 700 Torr의 압력에서 유지되는
    기판 처리 장치.
  3. 제 1 항에 있어서,
    상기 제 1 지지 챔버가 XRD, XPS, 반사계, 또는 타원계(ellipsometer) 기술 을 이용하여 기판 표면의 특성을 측정하도록 구성되는
    기판 처리 장치.
  4. 제 1 항에 있어서,
    상기 기판 처리 챔버가 디커플드 플라즈마 나이트라이드(DPN) 챔버, 급속 열처리(RTP) 챔버, 화학기상증착(CVD) 챔버, 원자층 증착(ALD) 챔버, 또는 물리기상증착(PVD) 챔버인
    기판 처리 장치.
  5. 제 1 항에 있어서,
    기판의 표면으로부터 오염물질을 제거하도록 구성되는 제 2 지지 챔버를 더 포함하고,
    상기 하나 이상의 벽에 배치된 소오스로부터 기판의 표면으로 자외선(UV radiation)을 전달함으로써 상기 오염물질이 제거되는
    기판 처리 장치.
  6. 제 1 항에 있어서,
    상기 제 1 지지 챔버 내에서 측정되는 기판 표면의 특성은 상기 영역내 수용된 재료의 응력, 변형(strain), 두께 및 조성으로 이루어진 그룹으로부터 선택되는 특성인
    기판 처리 장치.
  7. 기판 처리 장치로서:
    로봇이 내부에 배치된 이송 영역을 형성하는 하나 이상의 벽;
    상기 이송 영역과 소통하는 하나 이상의 기판 처리 챔버;
    상기 로봇과 이송가능하게 소통하고, 기판 표면의 특성을 측정하도록 구성되는 지지 챔버; 및
    상기 이송 영역과 소통하는 기판 처리 챔버를 포함하고,
    상기 처리 챔버가:
    상기 처리 챔버의 처리 영역 내에 위치되는 기판 지지부; 및
    상기 기판 지지부 상에 위치된 기판의 표면으로 하나 이상의 자외선 파장의 빛을 전달하도록 구성되는 제 1 복사선 소오스를 포함하는
    기판 처리 장치.
  8. 제 7 항에 있어서,
    상기 이송 영역이 약 10-6 Torr 내지 약 700 Torr의 압력에서 유지되는
    기판 처리 장치.
  9. 제 7 항에 있어서,
    상기 하나 이상의 기판 처리 챔버가 디커플드 플라즈마 나이트라이드(DPN) 챔버, 급속 열처리(RTP) 챔버, 화학기상증착(CVD) 챔버, 또는 원자층 증착(ALD) 챔버인
    기판 처리 장치.
  10. 제 7 항에 있어서,
    상기 지지 챔버가 XRD, XPS, 반사계, 또는 타원계 기술을 이용하여 기판 표면의 특성을 측정하도록 구성되는
    기판 처리 장치.
  11. 제 7 항에 있어서,
    기판의 표면으로부터 오염물질을 제거하도록 구성되는 제 2 지지 챔버를 더 포함하고,
    상기 하나 이상의 벽들 중 하나 이상의 벽에 연결된 제 2 복사선 소오스로부터 기판의 표면으로 자외선을 전달함으로써 상기 오염물질이 제거되는
    기판 처리 장치.
  12. 제 7 항에 있어서,
    제 1 복사선 소오스가 약 1 내지 약 25 mWatts/cm2 의 파워 밀도에서 약 120 nm 내지 약 430 nm 범위의 하나 이상의 파장의 빛을 전달하도록 구성되는
    기판 처리 장치.
  13. 제 7 항에 있어서,
    상기 처리 챔버가 세정 가스를 처리 영역으로 전달하도록 구성되는 가스 소오스를 더 포함하며,
    상기 세정 가스가 수소를 포함하는
    기판 처리 장치.
  14. 제 7 항에 있어서,
    둘 이상의 기판을 수용하도록 구성된 포드;
    상기 로봇과 소통하고, 대기압 미만의 압력으로 배개하도록 구성되는 로드락; 그리고
    상기 포드와 상기 로드락 사이에서 상기 포드 내에 위치되는 둘 이상의 기판들 중 하나를 이송하도록 구성되는 제 2 로봇을 더 포함하는
    기판 처리 장치.
  15. 제 7 항에 있어서,
    상기 지지 챔버 내에서 측정되는 기판 표면의 특성이 상기 영역내 수용된 재료의 응력, 변형(strain), 두께 및 조성으로 이루어진 그룹으로부터 선택되는 특성인
    기판 처리 장치.
  16. 기판 처리 장치로서:
    로봇이 내부에 배치된 이송 영역을 형성하는 하나 이상의 벽;
    상기 로봇과 이송가능하게 소통하고, 기판 표면의 특성을 측정하도록 구성되는 지지 챔버;
    상기 이송 영역과 소통하는 제 1 처리 챔버; 및
    상기 이송 영역과 소통하는 제 2 처리 챔버를 포함하며,
    상기 제 1 처리 챔버가:
    상기 처리 챔버의 처리 영역 내에 위치되는 기판 지지부; 및
    상기 기판 지지부 상에 위치된 기판의 표면으로 하나 이상의 자외선 파장의 빛을 전달하도록 구성되는 제 1 복사선 소오스를 포함하며,
    상기 제 2 처리 챔버가:
    상기 처리 챔버의 처리 영역 내에 위치되는 기판 지지부;
    상기 기판 지지부 상에 위치된 기판의 표면으로 하나 이상의 자외선 파장의 빛을 전달하도록 구성되는 제 2 복사선 소오스; 및
    수소를 포함하는 세정 가스를 상기 처리 영역으로 전달하도록 구성되는 가스 소오스를 포함하는
    기판 처리 장치.
  17. 제 16 항에 있어서,
    상기 이송 영역이 약 10-6 Torr 내지 약 700 Torr의 압력에서 유지되는
    기판 처리 장치.
  18. 제 16 항에 있어서,
    상기 제 1 처리 챔버가 디커플드 플라즈마 나이트라이드(DPN) 챔버, 급속 열처리(RTP) 챔버, 화학기상증착(CVD) 챔버, 또는 원자층 증착(ALD) 챔버인
    기판 처리 장치.
  19. 제 16 항에 있어서,
    상기 지지 챔버가 XRD, XPS, 반사계, 또는 타원계 기술을 이용하여 기판 표면의 특성을 측정하도록 구성되는
    기판 처리 장치.
  20. 제 16 항에 있어서,
    기판의 표면으로부터 오염물질을 제거하도록 구성되는 제 2 지지 챔버를 더 포함하고,
    상기 하나 이상의 벽들 중 하나 이상의 벽에 연결된 제 2 복사선 소오스로부터 기판의 표면으로 자외선을 전달함으로써 상기 오염물질이 제거되는
    기판 처리 장치.
  21. 제 16 항에 있어서,
    제 1 및 제 2 복사선 소오스가 약 1 내지 약 25 mWatts/cm2 의 파워 밀도에서 약 120 nm 내지 약 430 nm 범위의 하나 이상의 파장의 빛을 전달하도록 구성되는
    기판 처리 장치.
  22. 제 16 항에 있어서,
    상기 지지 챔버 내에서 측정되는 기판 표면의 특성은 상기 영역내 수용된 재료의 응력, 변형, 두께 및 조성으로 이루어진 그룹으로부터 선택되는 특성인
    기판 처리 장치.
  23. 클러스터 툴 내에서 반도체 소자를 형성하는 방법으로서:
    기판 처리 챔버 내에서 기판의 표면을 변경(modifying) 처리하는 단계;
    기판 표면의 변경 처리 후에, 기판의 영역의 특성을 측정하는 단계;
    측정된 특성을 시스템 제어부 내에 저장된 값들과 비교하는 단계; 그리고
    시스템 제어부에 저장된 값들과 측정된 특성의 비교를 기초로 하여 기판 표 면의 변경 처리 동안에 처리 변수를 변경하는 단계를 포함하는
    반도체 소자 형성 방법.
  24. 제 23 항에 있어서,
    상기 영역의 특성을 측정하는 단계가 상기 영역내 수용된 재료의 응력, 변형, 두께 및 조성으로 이루어진 그룹으로부터 선택되는 특성을 측정하는 단계를 포함하는
    반도체 소자 형성 방법.
  25. 제 23 항에 있어서,
    상기 기판의 표면을 변경 처리하기에 앞서서 상기 기판의 표면을 예비세정하는 단계를 더 포함하는
    반도체 소자 형성 방법.
  26. 제 23 항에 있어서,
    소자 피쳐를 형성하기에 앞서서 상기 기판의 표면으로부터 오염물질을 제거하는 단계를 더 포함하며,
    상기 오염물질을 제거하는 단계가:
    상기 기판의 표면을 약 120 nm 내지 약 430 nm 범위의 하나 이상의 파장을 가지는 복사선에 노출시키는 단계;
    수소를 포함하는 세정 가스를 상기 기판의 표면으로 제공하는 단계; 및
    상기 기판을 약 750℃ 미만의 온도로 가열하는 단계를 포함하는
    반도체 소자 형성 방법.
  27. 제 23 항에 있어서,
    상기 기판의 표면을 변경 처리하는 단계가 디커플드 플라즈마 나이트라이드(DPN) 처리, 에피택셜-층(EPI) 증착 처리, 급속 열처리(RTP), 화학기상증착(CVD) 처리, 원자층 증착(ALD) 처리, 및 물리기상증착(PVD) 처리로 이루어진 그룹으로부터 선택된 처리를 실시하는 단계를 포함하는
    반도체 소자 형성 방법.
  28. 제 27 항에 있어서,
    상기 기판의 표면을 변경 처리하는 단계는 상기 표면을 변경 처리하는 단계 동안에 상기 기판의 표면을 약 120 nm 내지 약 430 nm 범위의 하나 이상의 파장을 가지는 복사선에 노출시키는 단계를 더 포함하는
    반도체 소자 형성 방법.
  29. 클러스터 툴 내에서 반도체 소자를 형성하는 방법으로서:
    기판 처리 챔버 내에서 기판의 표면을 변경 처리하는 단계;
    클러스터 툴의 이송 영역 내에 배치되는 로봇을 이용하여 상기 이송 영역내에 기판을 위치시키는 단계;
    상기 이송 영역 내에 위치된 기판 표면의 특성을 측정하는 단계;
    측정된 특성과 시스템 제어부 내에 저장된 값들을 비교하는 단계; 그리고
    상기 시스템 제어부에 저장된 값들과 측정된 특성의 비교를 기초로 하여, 상기 기판 표면을 변경하는 처리하는 단계에서의 처리 변수를 조정하는 단계를 포함하는
    반도체 소자 형성 방법.
  30. 제 29 항에 있어서,
    소자 피쳐 형성에 앞서서 상기 기판의 표면을 예비세정하는 단계를 더 포함하는
    반도체 소자 형성 방법.
  31. 제 29 항에 있어서,
    상기 영역의 특성을 측정하는 단계가 상기 영역내 수용된 재료의 응력, 변형, 두께 및 조성으로 이루어진 그룹으로부터 선택되는 특성을 측정하는 단계를 포함하는
    반도체 소자 형성 방법.
  32. 제 29 항에 있어서,
    소자 피쳐를 형성하기에 앞서서 상기 기판의 표면을 복사선 소오스로부터의 자외선(UV)에 노출시킴으로써 상기 기판의 표면으로부터 오염물질을 제거하는 단계를 더 포함하는
    반도체 소자 형성 방법.
  33. 제 29 항에 있어서,
    상기 기판의 표면을 변경 처리하는 단계가 디커플드 플라즈마 나이트라이드(DPN) 처리, 에피택셜-층(EPI) 증착 처리, 급속 열처리(RTP), 화학기상증착(CVD) 처리, 원자층 증착(ALD) 처리, 및 물리기상증착(PVD) 처리로 이루어진 그룹으로부 터 선택된 처리를 실시하는 단계를 포함하는
    반도체 소자 형성 방법.
  34. 제 29 항에 있어서,
    소자 피쳐를 형성하기에 앞서서 상기 기판의 표면으로부터 오염물질을 제거하는 단계를 더 포함하며,
    상기 오염물질을 제거하는 단계가:
    상기 기판의 표면을 약 120 nm 내지 약 430 nm 범위의 하나 이상의 파장을 가지는 복사선에 노출시키는 단계;
    수소를 포함하는 세정 가스를 상기 기판의 표면으로 제공하는 단계; 및
    상기 기판을 약 750℃ 미만의 온도로 가열하는 단계를 포함하는
    반도체 소자 형성 방법.
  35. 제 29 항에 있어서,
    상기 기판의 표면을 변경 처리하는 단계는 상기 표면을 변경 처리하는 단계 동안에 상기 기판의 표면을 약 120 nm 내지 약 430 nm 범위의 하나 이상의 파장을 가지는 복사선에 노출시키는 단계를 더 포함하는
    반도체 소자 형성 방법.
KR1020097002228A 2006-07-03 2007-06-27 향상된 전단부 처리를 위한 클러스터 기기 KR20090035578A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US80651806P 2006-07-03 2006-07-03
US60/806,518 2006-07-03
US11/460,864 US20070134821A1 (en) 2004-11-22 2006-07-28 Cluster tool for advanced front-end processing
US11/460,864 2006-07-28

Publications (1)

Publication Number Publication Date
KR20090035578A true KR20090035578A (ko) 2009-04-09

Family

ID=38895329

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097002228A KR20090035578A (ko) 2006-07-03 2007-06-27 향상된 전단부 처리를 위한 클러스터 기기

Country Status (5)

Country Link
EP (1) EP2041774A2 (ko)
JP (1) JP2009543355A (ko)
KR (1) KR20090035578A (ko)
TW (1) TW200811916A (ko)
WO (1) WO2008005773A2 (ko)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011019215A3 (ko) * 2009-08-13 2011-04-21 Kim Nam Jin 레이어 형성장치
KR101463984B1 (ko) * 2013-02-15 2014-11-26 최대규 플라즈마 처리 시스템
KR20150022006A (ko) * 2012-07-02 2015-03-03 어플라이드 머티어리얼스, 인코포레이티드 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
KR20150041045A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들
KR20160030856A (ko) * 2014-09-11 2016-03-21 램 리써치 코포레이션 최신식 메모리 디바이스들에 대한 유전체 보수
WO2016130328A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
WO2018052479A1 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Integrated system for semiconductor process
CN108538746A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
KR20180135873A (ko) * 2017-04-28 2018-12-21 어플라이드 머티어리얼스, 인코포레이티드 Oled 디바이스들의 제조에서 사용되는 진공 시스템을 세정하기 위한 방법, oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 방법, 및 oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 장치
KR20200123480A (ko) * 2018-03-20 2020-10-29 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
KR102418530B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418534B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법
KR102424853B1 (ko) * 2021-10-12 2022-07-25 주식회사 바코솔루션 반도체 기판 처리 장치
CN114904822A (zh) * 2022-03-31 2022-08-16 上海果纳半导体技术有限公司 机械手清洗装置、清洗方法及半导体设备

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8022372B2 (en) * 2008-02-15 2011-09-20 Veeco Instruments Inc. Apparatus and method for batch non-contact material characterization
US7838431B2 (en) * 2008-06-14 2010-11-23 Applied Materials, Inc. Method for surface treatment of semiconductor substrates
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US9076827B2 (en) * 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8958061B2 (en) 2011-05-31 2015-02-17 Veeco Instruments Inc. Heated wafer carrier profiling
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US10879177B2 (en) 2015-06-19 2020-12-29 Applied Materials, Inc. PVD deposition and anneal of multi-layer metal-dielectric film
WO2017073396A1 (ja) * 2015-10-28 2017-05-04 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
KR20180069038A (ko) * 2015-11-13 2018-06-22 어플라이드 머티어리얼스, 인코포레이티드 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN111033700A (zh) * 2017-09-20 2020-04-17 株式会社国际电气 基板处理装置、半导体器件的制造方法及程序
JP7402399B2 (ja) * 2018-03-20 2023-12-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
JP7295359B2 (ja) * 2018-03-20 2023-06-21 東京エレクトロン株式会社 統合的な計測を伴う基板処理ツール並びに使用方法
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
US20190362989A1 (en) * 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
CN111507076B (zh) * 2019-01-29 2022-07-05 北京新唐思创教育科技有限公司 一种用于教学系统的共案课件制作方法、装置和终端
JP7206961B2 (ja) * 2019-01-30 2023-01-18 日立金属株式会社 半導体製造装置の管理システム及びその方法
KR20220041358A (ko) * 2020-09-25 2022-04-01 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
WO2022186775A1 (en) * 2021-03-02 2022-09-09 Agency For Science, Technology And Research A preparation chamber for cleaning and repair sapphire surface for the epitaxial growth of compound materials
JP7478776B2 (ja) * 2021-07-07 2024-05-07 アプライド マテリアルズ インコーポレイテッド ゲートスタック形成のための統合湿式洗浄
JP7485729B2 (ja) * 2021-07-07 2024-05-16 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長のための統合湿式洗浄
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
CN114000192B (zh) * 2021-10-29 2023-10-13 北京北方华创微电子装备有限公司 半导体工艺设备以及晶圆位置状态的监测方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2729310B2 (ja) * 1988-05-12 1998-03-18 三菱電機株式会社 半導体基板表面に薄膜を形成する装置
JP3107425B2 (ja) * 1991-10-09 2000-11-06 三井化学株式会社 非晶質太陽電池
JPH05275343A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 基板処理装置
JP3297857B2 (ja) * 1995-12-27 2002-07-02 東京エレクトロン株式会社 クラスタツール装置
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
JP2002270596A (ja) * 2001-03-12 2002-09-20 Matsushita Electric Ind Co Ltd 半導体装置の製造装置
JP2003115578A (ja) * 2001-10-05 2003-04-18 Canon Inc 不揮発固体磁気メモリ装置、該不揮発固体磁気メモリ装置の製造方法およびマルチ・チップ・パッケージ
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011019215A3 (ko) * 2009-08-13 2011-04-21 Kim Nam Jin 레이어 형성장치
KR20200119918A (ko) * 2012-07-02 2020-10-20 어플라이드 머티어리얼스, 인코포레이티드 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
KR20150022006A (ko) * 2012-07-02 2015-03-03 어플라이드 머티어리얼스, 인코포레이티드 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
KR20150041045A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들
KR101463984B1 (ko) * 2013-02-15 2014-11-26 최대규 플라즈마 처리 시스템
KR20160030856A (ko) * 2014-09-11 2016-03-21 램 리써치 코포레이션 최신식 메모리 디바이스들에 대한 유전체 보수
WO2016130328A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
WO2018052479A1 (en) * 2016-09-15 2018-03-22 Applied Materials, Inc. Integrated system for semiconductor process
US11164767B2 (en) 2016-09-15 2021-11-02 Applied Materials, Inc. Integrated system for semiconductor process
CN108538747A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
CN108538746A (zh) * 2017-03-03 2018-09-14 应用材料公司 环境可控的传送模块和处理系统
CN108538747B (zh) * 2017-03-03 2024-01-30 应用材料公司 环境可控的传送模块和处理系统
US11673170B2 (en) 2017-04-28 2023-06-13 Applied Materials, Inc. Method for cleaning a vacuum system used in the manufacture of OLED devices, method for vacuum deposition on a substrate to manufacture OLED devices, and apparatus for vacuum deposition on a substrate to manufacture OLED devices
KR20180135873A (ko) * 2017-04-28 2018-12-21 어플라이드 머티어리얼스, 인코포레이티드 Oled 디바이스들의 제조에서 사용되는 진공 시스템을 세정하기 위한 방법, oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 방법, 및 oled 디바이스들을 제조하기 위한 기판 상의 진공 증착을 위한 장치
KR20200123480A (ko) * 2018-03-20 2020-10-29 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
KR102418530B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판 처리 장치
KR102424853B1 (ko) * 2021-10-12 2022-07-25 주식회사 바코솔루션 반도체 기판 처리 장치
KR102418534B1 (ko) * 2021-10-12 2022-07-07 주식회사 바코솔루션 반도체 기판의 처리를 위한 클러스터 툴 및 그 제어 방법
CN114904822A (zh) * 2022-03-31 2022-08-16 上海果纳半导体技术有限公司 机械手清洗装置、清洗方法及半导体设备
CN114904822B (zh) * 2022-03-31 2023-09-26 上海果纳半导体技术有限公司 机械手清洗装置、清洗方法及半导体设备

Also Published As

Publication number Publication date
EP2041774A2 (en) 2009-04-01
WO2008005773A3 (en) 2008-02-28
WO2008005773A2 (en) 2008-01-10
TW200811916A (en) 2008-03-01
JP2009543355A (ja) 2009-12-03

Similar Documents

Publication Publication Date Title
KR20090035578A (ko) 향상된 전단부 처리를 위한 클러스터 기기
US20070134821A1 (en) Cluster tool for advanced front-end processing
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
US10615034B2 (en) Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US7585686B2 (en) Method and apparatus for processing a wafer
US7159599B2 (en) Method and apparatus for processing a wafer
JP4191137B2 (ja) 基板処理装置のクリーニング方法
KR100297284B1 (ko) 처리장치및드라이크리닝방법
KR101010419B1 (ko) 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법
US20210111067A1 (en) Gap fill deposition process
US20050221020A1 (en) Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
CN101484973A (zh) 用于先进前段工艺的群集设备
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
KR102580108B1 (ko) 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
JP2004179426A (ja) 基板処理装置のクリーニング方法
WO2022182641A1 (en) Metal-based liner protection for high aspect ratio plasma etch
WO2007023639A1 (ja) 基板処理装置,基板処理装置の基板搬送方法,プログラム,プログラムを記録した記録媒体
US11232946B2 (en) Method of optimizing film deposition process in semiconductor fabrication by using gas sensor
JP2007088401A (ja) 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
JP2005259902A (ja) 基板処理装置
KR101078316B1 (ko) 막 형성 장치 및 막 형성 장치의 공정 챔버 세정 방법
US20200048134A1 (en) Method and apparatus for processing oxygen-containing workpiece

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application