KR20150022006A - 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들 - Google Patents

물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들 Download PDF

Info

Publication number
KR20150022006A
KR20150022006A KR20157001435A KR20157001435A KR20150022006A KR 20150022006 A KR20150022006 A KR 20150022006A KR 20157001435 A KR20157001435 A KR 20157001435A KR 20157001435 A KR20157001435 A KR 20157001435A KR 20150022006 A KR20150022006 A KR 20150022006A
Authority
KR
South Korea
Prior art keywords
substrates
biasing
processing
target
aluminum
Prior art date
Application number
KR20157001435A
Other languages
English (en)
Other versions
KR102168043B1 (ko
Inventor
밍웨이 추
나그 비. 파티밴드라
롱준 왕
비베크 아그라왈
아난타 서브라마니
다니엘 엘. 디엘
시안민 탕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020207029380A priority Critical patent/KR102317822B1/ko
Publication of KR20150022006A publication Critical patent/KR20150022006A/ko
Application granted granted Critical
Publication of KR102168043B1 publication Critical patent/KR102168043B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0617AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3228Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of AIIIBV compounds, e.g. to make them semi-insulating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

여기에서 설명되는 본 발명의 실시예들은 일반적으로, 전력 디바이스, 발광 다이오드(LED), 레이저 다이오드(LD), 또는 다른 유용한 디바이스와 같은 유용한 반도체 디바이스를 형성하기 위해 사용되는 III-V 족 층들 및 고품질 버퍼 층들을 형성하기 위한 장치 및 방법들에 관한 것이다. 본 발명의 실시예들은 또한, 유용한 반도체 디바이스를 형성하기 위해 사용되는, 고품질 버퍼 층들, III-V 족 층들, 및 전극 층들을 형성하기 위한 장치 및 방법들을 포함할 수 있다. 몇몇 실시예들에서, 장치 및 방법은, 동시에 복수의 기판들의 표면 상에 고 결정질 배향을 갖는 고품질 알루미늄 질화물(AlN) 버퍼 층을 증착하도록 적응된 하나 또는 그 초과의 물리 기상 증착(PVD) 챔버들을 갖는 하나 또는 그 초과의 클러스터 툴들의 사용을 포함한다.

Description

물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들{ALUMINUM-NITRIDE BUFFER AND ACTIVE LAYERS BY PHYSICAL VAPOR DEPOSITION}
본 발명의 실시예들은 일반적으로, 전력 디바이스들, 발광 다이오드들(LEDs), 및 레이저 다이오드들(LDs)과 같은 III-V 족 함유 디바이스들을 제조하기 위해 사용되는 하드웨어 및 방법들에 관한 것이다.
III-V 족 재료들은, 고전력, 고주파수, 고온 트랜지스터들 및 집적 회로들, LED들, 또는 LD들을 포함하는, 전력 디바이스들과 같은 다양한 반도체 디바이스들의 개발 및 제조에서 더 중요하게 되고 있다. III-V 족 재료들은 또한, 반도체 및 관련된 산업들에서 역할이 계속 증가하고 있다. 종종, III-V 족 재료들은, 결정질 결함들 또는 크랙(crack)들의 형성 없이, 이질적인(foreign) 기판들 상에 증착 또는 성장되기 어렵다(헤테로에피택시(heteroepitaxy)라고 알려져 있음). 디바이스 층과 기판의 표면 사이에 배치되는, 하나 또는 그 초과의 계면(interfacial) 층들 또는 버퍼 층들의 포함은, 결함들을 감소시키는 것, 및/또는 디바이스 기능을 개선하는 것에서 많은 이점들을 제공한다. 그러나, 고품질 III-V 족 함유 층들의 형성은 쉽지 않고, 종종, 증착 프로세스의 프로세스 조건들에 대해 매우 민감하다. 그러나, 다수의 애플리케이션들에서, 잠재적인(potential) 손상 조건들과 민감한 III-V 족 막의 상호작용(interaction)을 피하는 것이 또한 수월하지 않다.
도 1은, 기판(12) 위에 배치된 III 족 질화물 계 헤테로접합(heterojunction)(15)을 포함하는 종래의 전력 반도체 디바이스(10)의 예를 예시한다. 헤테로접합(15)은, 제 1 III-질화물 반도체 층(14), 및 제 1 III-질화물 반도체 층(14) 위의 제 2 III-질화물 반도체 층(16)을 포함한다. 제 1 전력 전극(18)(즉, 소스 전극) 및 제 2 전력 전극(20)(즉, 드레인 전극)은, 직접적인 옴(ohmic) 연결 또는 임의의 다른 적합한 수단을 통해, 제 1 및 제 2 III-질화물 반도체 층들에 전기적으로 연결된다. 게이트 구조(22)는, 제 2 III-질화물 반도체 층(16) 위에서 제 1 전력 전극(18)과 제 2 전력 전극(20) 사이에 배치된다. 게이트 구조(22)는, 제 2 III-질화물 반도체 층(16)에 연결된 게이트 전극(23)을 포함할 수 있다. 대안적으로, 게이트 구조(22)는, 제 2 III-질화물 반도체 층(16)에 연결된 쇼트키(Schottky) 타입 게이트 전극을 포함할 수 있다. 대부분의 종래의 구성들에서, 제 1 III-질화물 반도체 층(14)은 갈륨 질화물(GaN) 층일 수 있고, 제 2 III-질화물 반도체 층(16)은 알루미늄 갈륨 질화물(AlGaN)일 수 있으며, 이들 모두는, 사파이어 재료로 형성된 기판(12) 위에 배치된다. 몇몇 구성들에서, 절연 층(25)은 실리콘 질화물(SiN)을 함유할 수 있고, 제 1 전력 전극(18), 제 2 전력 전극(20), 및 게이트 전극(23)은 모두 금속 함유 층을 포함한다.
전력 디바이스, LED, 또는 LD 디바이스의 활성 층들을 형성하기 위해 GaN과 같은 III 족-질화물들을 증착하기 위하여 사용되어 온 하나의 방법은, MOCVD(metal organic chemical vapor deposition) 또는 HVPE(hydride vapor phase epitaxy)이다. 이들 증착 방법들은 일반적으로, III 족으로부터의 적어도 하나의 엘리먼트를 함유하는 전구체 가스들의 안정성(stability)을 보장하기 위해, 온도 제어되는 환경을 갖는 반응기(reactor)에서 수행된다. 전구체 가스들은 반응기 내의 프로세싱 구역 내로 주입되며, 그 프로세싱 구역에서, 전구체 가스들은 혼합되고, 프로세싱 구역에서의 가열된 기판을 향하여 이동한다. 기판을 향하는 전구체 가스들의 이송(transport)을 보조하기 위해 캐리어(carrier) 가스가 사용될 수 있다. 전구체 가스들은 가열된 기판의 표면에서 반응하여, 기판 표면 상에 GaN과 같은 III 족-질화물 층을 형성한다. 막의 품질은, 기판과 증착된 층의 계면 영역에서의 증착된 막의 품질, 증착된 막의 특성들, 기판 표면의 청정도(cleanliness), 및 기판을 형성하는 재료의 타입에 부분적으로 좌우된다.
전력 디바이스, LED 디바이스, 또는 LD 디바이스의 파트를 형성하기 위해 GaN을 사용하는 것의 실현 가능성이 수십 년간 알려져 있었지만, 이들의 실제 제조를 방해하였던 다수의 기술적인 장벽들이 존재하였다. 예컨대, 사파이어 또는 실리콘 기판과 III 족-질화물 층들 사이의 재료 차이들, 예컨대 격자 상수(lattice constant), 열 팽창 계수, 기판 표면(12A) 상의 표면 오염, 및 계면 표면 에너지(interfacial surface energy)는, 형성된 구조를 통해 전파될 수 있고 형성된 디바이스의 성능을 저하시킬 수 있는 전위(dislocation)들을 생성할 수 있다. 아래놓인 기판의 표면 에너지를 변경(modify)하고, 격자-매칭된(lattice-matched) 질화물 층들 내의 고유 응력(intrinsic stress)을 완화하며, 후속 층들의 에피택셜 성장을 위한 핵형성 사이트(nucleation site)들을 제공하기 위해, III 족-질화물 층과 기판 사이에 다양한 타입들의 버퍼 층들이 사용되어 왔다. 그러나, 종래의 III 족-질화물들의 품질은 일반적으로 잘 제어되지 않고, 이는, 불충분한 막 특성들(예컨대, 두께 변동, 핵들의 밀도, 핵들의 사이즈 등) 및 디바이스 성능을 초래할 수 있다. 핵형성 동안의 성장 파라미터들의 어떠한 약간의 변화들도 쉽게 후속 질화물 층 품질에 영향을 미칠 수 있고, 이는 결국, 핵형성 아일랜드(nucleation island)들이 합쳐지기 전에 핵형성 아일랜드들의 트위스트(twist) 또는 오정렬(mis-alignment)을 초래함으로써, 형성된 디바이스의, III 족-질화물 계 헤테로접합(15)과 같은 활성 파트들을 형성하기 위해 사용되는 후속 증착되는 벌크 III 족 질화물들의 성장에 악영향을 미친다.
전력 디바이스들, LED들, LD들, 트랜지스터들, 및 집적 회로들에 대한 요구가 증가함에 따라, 고품질의 III 족-질화물 막들을 증착하는 과제는 더 큰 중요성을 갖는다. 따라서, 기판 위의 저 결함 밀도의 고품질 III 족-질화물 층들의 성장을 촉진하는 고품질 버퍼 층을 형성할 수 있는 프로세스 및 장치에 대한 필요성이 존재한다.
여기에서 설명되는 본 발명의 실시예들은 일반적으로, 전력 디바이스, 발광 다이오드(LED), 레이저 다이오드(LD), 또는 다른 유용한 디바이스와 같은 유용한 반도체 디바이스를 형성하기 위해 사용되는, 고품질 버퍼 층들 및 III-V 족 층들을 형성하기 위한 장치 및 방법들에 관한 것이다. 일 실시예에서, 장치 및 방법은, 동시에 복수의 기판들의 표면 상에 고 결정질 배향(high crystalline orientation)을 갖는 고품질 알루미늄 질화물(AlN) 버퍼 층을 증착하도록 적응된 하나 또는 그 초과의 물리 기상 증착(PVD) 챔버들을 갖는 하나 또는 그 초과의 클러스터 툴들의 사용을 포함한다. 일 그러한 실시예에서, 하나 또는 그 초과의 AlN 버퍼 층들은, (XRD 회절에 의해 검증되는 바와 같은(사파이어 기판을 사용하여 (002) 피크의 FWHM < 200 arcsec)) (002) 방향에서의 바람직한 결정질 배향, 및 대략 1 나노미터(nm)(rms(root mean square)) 미만의 거칠기를 갖는 원자적으로 평활한(atomically smooth) 표면을 갖도록 형성된다. 다른 실시예에서, 하나 또는 그 초과의 AlN 버퍼 층들은, (XRD 회절에 의해 검증되는 바와 같은(실리콘 기판을 사용하여 (002) 피크의 FWHM < 3600 arcsec)) (002) 방향에서의 바람직한 결정질 배향, 및 25 nm 두께의 증착된 층에 대해 대략 2 nm (rms) 미만의 거칠기를 갖는 원자적으로 평활한 표면을 갖도록 형성된다. 몇몇 구성들에서, 거칠기는 증착된 층의 두께의 약 3 % 미만이다. 일 실시예에서, 증착된 AlN 막 두께들은 대략 500 나노미터 미만이다.
일 실시예에서, 디바이스를 제조하기 위한 방법은, 제 1 프로세싱 챔버에서 하나 또는 그 초과의 기판들의 표면으로부터 재료를 제거하는 단계; 제어되는 환경에서 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 하나 또는 그 초과의 기판들을 이송하는 단계; 프로세싱 영역을 정의하는 하나 또는 그 초과의 벽들을 갖는 제 2 프로세싱 챔버에서 하나 또는 그 초과의 기판들 상에 알루미늄-질화물 층을 형성하는 단계 ― 알루미늄-질화물 층을 형성하는 단계는, 프로세싱 영역과 접촉하는 표면을 갖는 타겟을 바이어싱(biasing)하는 단계 ― 타겟은 알루미늄을 포함함 ―, 질소를 포함하는 제 1 가스를 프로세싱 영역 내로 유동시키는 단계, 프로세싱 영역 내로 제 2 가스를 유동시키는 단계 ― 제 2 가스는 아르곤, 크립톤, 또는 네온을 포함함 ―, 및 기판 지지부 위에 배치된 하나 또는 그 초과의 기판들 상에 전위(potential)를 형성하도록 전극을 바이어싱하는 단계 ― 타겟을 바이어싱하는 단계 및 전극을 바이어싱하는 단계는, 하나 또는 그 초과의 기판들 상의 알루미늄-질화물 층의 N-면(N-face) 성장을 촉진하도록 구성됨 ― 를 포함함 ―; 및 제 2 프로세싱 챔버로부터 제 3 프로세싱 챔버로 하나 또는 그 초과의 기판들을 이송하는 단계; 및 제 3 프로세싱 챔버에서 알루미늄 질화물 층 상에 III 족-질화물 층을 형성하는 단계를 포함하며, III 족-질화물 층을 형성하는 단계는, 금속 함유 전구체 및 질소 함유 가스를 하나 또는 그 초과의 기판들 각각의 표면으로 전달하는 단계를 포함한다. 몇몇 경우들에서, 재료를 제거하는 단계는, 하나 또는 그 초과의 기판들을 탈기(degassing)하는 단계, 또는 하나 또는 그 초과의 기판들의 표면을 스퍼터 에칭하는 단계를 포함하고, 타겟을 바이어싱하는 단계는, 약 500 Watts 내지 약 20 kWatts의 전력으로 펄스형 DC 신호 또는 RF 신호를 전달하는 단계를 포함하고, 그리고 전극을 바이어싱하는 단계는, 하나 또는 그 초과의 기판들 상에, 약 -1000 볼트 내지 약 +500 볼트에서 변동하는 플로팅 전위를 생성하는 단계를 포함하며, 방법은, 타겟을 바이어싱하기 전에, 하나 또는 그 초과의 기판들을 약 200 ℃ 내지 약 1000 ℃의 온도로 가열하는 단계; 타겟을 바이어싱하면서, 프로세싱에서의 압력을 약 0.1 mTorr 내지 200 mTorr의 압력으로 제어하는 단계; 및 AlN 층을 초당 약 0.2 옹스트롬 내지 초당 약 20 옹스트롬의 증착 레이트로 증착하는 단계를 더 포함한다.
일 실시예에서, 디바이스를 제조하기 위한 방법은, 제 1 프로세싱 챔버에서 하나 또는 그 초과의 기판들의 표면으로부터 재료를 제거하는 단계; 제어되는 환경에서 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 하나 또는 그 초과의 기판들을 이송하는 단계; 프로세싱 영역을 정의하는 하나 또는 그 초과의 벽들을 갖는 제 2 프로세싱 챔버에서 하나 또는 그 초과의 기판들 상에 알루미늄-질화물 층을 형성하는 단계를 포함하며, 알루미늄-질화물 층을 형성하는 단계는, 프로세싱 영역과 접촉하는 표면을 갖는 타겟을 바이어싱하는 단계 ― 타겟은 알루미늄을 포함함 ―; 질소를 포함하는 제 1 가스를 프로세싱 영역 내로 유동시키는 단계; 및 프로세싱 영역 내로 제 2 가스를 유동시키는 단계를 포함하고, 타겟을 바이어싱하는 단계는, 하나 또는 그 초과의 기판들 상의 알루미늄-질화물 층의 N-면 성장을 촉진하도록 구성된다. 알루미늄-질화물 층을 형성하는 단계는, 기판 지지부 위에 배치된 하나 또는 그 초과의 기판들 상에 전위를 형성하도록 전극을 바이어싱하는 단계를 더 포함할 수 있으며, 전극을 바이어싱하는 단계는, 타겟을 바이어싱한 후에 그리고/또는 타겟을 바이어싱하기 전에 발생하는 제 1 시간 기간 동안 전극을 바이어싱하는 단계를 포함한다.
일 실시예에서, 디바이스를 제조하기 위한 방법은, 제 1 프로세싱 챔버에서 하나 또는 그 초과의 기판들의 표면으로부터 재료를 제거하는 단계; 제어되는 환경에서 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 하나 또는 그 초과의 기판들을 이송하는 단계; 프로세싱 영역을 정의하는 하나 또는 그 초과의 벽들을 갖는 제 2 프로세싱 챔버에서 하나 또는 그 초과의 기판들 상에 알루미늄-질화물 층을 형성하는 단계를 포함하며, 알루미늄-질화물 층을 형성하는 단계는, 프로세싱 영역과 접촉하는 표면을 갖는 타겟을 바이어싱하는 단계 ― 타겟은 알루미늄을 포함함 ―; 질소를 포함하는 제 1 가스를 프로세싱 영역 내로 유동시키는 단계; 및 프로세싱 영역 내로 제 2 가스를 유동시키는 단계를 포함하고, 타겟을 바이어싱하는 단계는, 하나 또는 그 초과의 기판들 상의 알루미늄-질화물 층의 Al-면 성장을 촉진하도록 구성된다. 알루미늄-질화물 층을 형성하는 단계는, 기판 지지부 위에 배치된 하나 또는 그 초과의 기판들 상에 전위를 형성하도록 전극을 바이어싱하는 단계를 더 포함할 수 있으며, 전극을 바이어싱하는 단계는, 타겟을 바이어싱한 후에 그리고/또는 타겟을 바이어싱하기 전에 발생하는 제 1 시간 기간 동안 전극을 바이어싱하는 단계를 포함한다.
다른 실시예에서, 디바이스를 제조하기 위한 방법은, 제 1 프로세싱 챔버에서 하나 또는 그 초과의 기판들의 표면으로부터 재료를 제거하는 단계; 제어되는 환경에서 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 하나 또는 그 초과의 기판들을 이송하는 단계; 프로세싱 영역을 정의하는 하나 또는 그 초과의 벽들을 갖는 제 2 프로세싱 챔버에서 하나 또는 그 초과의 기판들 상에 알루미늄-질화물 층을 형성하는 단계 ― 알루미늄-질화물 층을 형성하는 단계는, 프로세싱 영역과 접촉하는 표면을 갖는 타겟을 바이어싱하는 단계 ― 타겟은 알루미늄을 포함함 ―, 질소를 포함하는 제 1 가스를 프로세싱 영역 내로 유동시키는 단계, 프로세싱 영역 내로 제 2 가스를 유동시키는 단계 ― 제 2 가스는 아르곤, 크립톤, 또는 네온을 포함함 ―, 및 기판 지지부 위에 배치된 하나 또는 그 초과의 기판들 상에 전위를 형성하도록 전극을 바이어싱하는 단계 ― 타겟을 바이어싱하는 단계 및 전극을 바이어싱하는 단계는, 하나 또는 그 초과의 기판들 상의 알루미늄-질화물 층의 Al-면 성장을 촉진하도록 구성됨 ― 를 포함함 ―; 및 제 2 프로세싱 챔버로부터 제 3 프로세싱 챔버로 하나 또는 그 초과의 기판들을 이송하는 단계; 및 제 3 프로세싱 챔버에서 알루미늄 질화물 층 상에 III 족-질화물 층을 형성하는 단계를 포함하며, III 족-질화물 층을 형성하는 단계는, 금속 함유 전구체 및 질소 함유 가스를 하나 또는 그 초과의 기판들 각각의 표면으로 전달하는 단계를 포함한다.
또 다른 실시예에서, 디바이스를 형성하기 위한 장치는 제 1 클러스터를 포함하며, 제 1 클러스터는 제 1 프로세싱 챔버를 포함하고, 제 1 프로세싱 챔버는, 알루미늄을 포함하는 타겟; 질소 함유 가스 소스; 아르곤, 크립톤, 또는 네온으로 구성된 그룹으로부터 선택된 가스를 전달하도록 적응된 프로세스 가스 소스; 타겟에 약 500 Watts 내지 약 20 kWatts의 전력으로 펄스형 DC 신호 또는 RF 신호를 제공하도록 구성된 제 1 전력 소스; 기판 지지 표면을 갖는 기판 지지부에 커플링된 전극; 및 기판 지지 표면 위에 배치된 하나 또는 그 초과의 기판들 상에 약 -1000 볼트 내지 약 +500 볼트의 플로팅 전위를 생성하도록 구성된 제 2 전력 소스를 포함한다. 일 구성에서, 제 2 클러스터가 또한 사용될 수 있으며, 제 2 클러스터는 제 2 프로세싱 챔버를 포함하고, 제 2 프로세싱 챔버는, 프로세싱 영역과 유체 소통하는 샤워헤드; 샤워헤드에 형성된 제 1 플레넘(plenum)에 커플링된 III 족 금속 함유 전구체 가스 소스; 및 샤워헤드에 형성된 제 2 플레넘에 커플링된 암모니아 함유 가스 소스를 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 종래의 전력 디바이스의 구조의 개략도이다.
도 2는 여기에서 설명되는 본 발명의 실시예들에 따른 전력 디바이스의 구조의 개략도이다.
도 3은 본 발명의 일 실시예에 따른 프로세싱 시퀀스를 예시한다.
도 4는 여기에서 설명되는 본 발명의 실시예들에 따른 클러스터 툴을 예시한다.
도 5는 여기에서 설명되는 본 발명의 실시예들에 따른 프로세싱 챔버를 예시한다.
도 6은 본 발명의 실시예들에 따른, 도 3에 예시된 프로세싱 시퀀스를 완료하기 위해 사용될 수 있는 프로세싱 시스템을 예시한다.
도 7은 본 발명의 실시예들에 따른, 도 3에 예시된 프로세싱 시퀀스를 완료하기 위해 사용될 수 있는 다른 프로세싱 시스템을 예시한다.
도 8은 도 3에 예시된 프로세싱 단계들 중 하나 또는 그 초과를 수행하도록 적응된 프로세싱 챔버를 예시한다.
도 9a는 본 발명의 일 실시예에 따른, 기판의 표면 상에 형성된 버퍼 층의 부분의 측단면도이다.
도 9b는 본 발명의 일 실시예에 따른, 기판의 표면 상에 형성된 버퍼 층의 부분의 측단면도이다.
이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 구체적인 언급 없이 다른 실시예들에 유익하게 포함될 수 있다는 것이 고려된다.
여기에서 설명되는 본 발명의 실시예들은 일반적으로, 전력 디바이스, 발광 다이오드(LED), 레이저 다이오드(LD), 또는 다른 유용한 디바이스와 같은 유용한 반도체 디바이스를 형성하기 위해 사용되는, 고품질 버퍼 층들 및 III-V 족 층들을 형성하기 위한 장치 및 방법들에 관한 것이다. 본 발명의 실시예들은 또한, 유용한 반도체 디바이스를 형성하기 위해 사용되는, 고품질 버퍼 층들, III-V 족 층들, 및 전극 층들을 형성하기 위한 장치 및 방법들을 포함할 수 있다. 몇몇 실시예들에서, 장치 및 방법들은, 동시에 복수의 기판들의 표면 상에 고 결정질 배향을 갖는 고품질 알루미늄 질화물(AlN) 버퍼 층을 증착하도록 적응된 하나 또는 그 초과의 물리 기상 증착(PVD) 챔버들을 갖는 하나 또는 그 초과의 클러스터 툴들의 사용을 포함한다. 일 실시예에서, 갈륨 질화물(GaN) 함유 디바이스 제조 프로세스에서 사용하기에 적합한 AlN 버퍼 층을 형성하기 위한 프로세스 조건들이 제공된다. 일 그러한 실시예에서, 하나 또는 그 초과의 AlN 버퍼 층들은, (002) 방향에서의 바람직한 결정질 배향, 및 대략 1 나노미터 (rms) 미만의 거칠기를 갖는 원자적으로 평활한 표면을 갖도록 형성된다.
물리 기상 증착(PVD) 및 화학 기상 증착 프로세스들을 사용하는, 알루미늄 질화물 및 III 족-질화물, 예컨대 갈륨 질화물 함유 전력 디바이스들, 발광 다이오드들(LEDs)의 제조가 아래에서 더 논의된다. 다음의 설명에서, 본 발명의 실시예들의 완전한 이해를 제공하기 위해, 프로세스 챔버 구성들 및 재료 레짐(regime)들과 같은 다수의 구체적인 세부사항들이 개진된다. 본 발명의 실시예들이 이러한 구체적인 세부사항들 없이도 실시될 수 있다는 것은 당업자에게 자명할 것이다. 다른 경우들에서, 본 발명의 실시예들을 불필요하게 불명료히 하지 않기 위해, 구체적인 디바이스 구성들과 같은 잘 알려진 피쳐들은 상세히 설명되지 않는다. 더욱이, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이고, 반드시 실척대로 도시된 것은 아니라는 것이 이해되어야 한다. 부가적으로, 다른 배열들 및 구성들이 여기에서의 실시예들에서 명시적으로 개시되지 않을 수 있지만, 여전히, 본 발명의 사상 및 범위 내에 있는 것으로 고려된다.
종래의 갈륨 질화물 재료 함유 디바이스를 제조하는 방법들은 일반적으로, 디바이스 층과 기판 사이에 도핑되지 않은 및/또는 도핑된 갈륨 질화물 층을 포함하는 갈륨 질화물의 버퍼 층의 형성을 포함한다. 여기에서 설명되는 본 발명의 실시예들에서, 알루미늄 질화물 버퍼 층은 그러한 종래의 갈륨 질화물 버퍼 층 대신에 사용된다. 알루미늄 질화물(AlN) 층은 일반적으로 PVD 또는 다른 스퍼터링 프로세스들을 사용하여 형성된다. 스퍼터링 또는 PVD는, 전형적으로 MOCVD(metal-organic vapor deposition) 챔버 또는 HVPE(hydride vapor phase epitaxy) 챔버에서 수행되는 III 족-질화물 버퍼 층들의 제조와 대조적이다. 일부 경우들에서, 알루미늄 질화물 층은 비-반응성 또는 반응성 PVD 프로세스에 의해 형성될 수 있다. 일 예에서, PVD 챔버에 하우징된(housed) 알루미늄 질화물 함유 타겟이 스퍼터링되어, 하나 또는 그 초과의 기판들의 표면 상에 알루미늄 질화물 재료가 형성된다. 대안적으로, 그리고 아래에서 더 논의되는 바와 같이, 알루미늄 질화물 층은, 스퍼터링하고 하나 또는 그 초과의 기판들의 표면 상에 알루미늄 질화물 층을 반응적으로 형성하기 위해 질소 함유 프로세스 가스 및 알루미늄 타겟을 사용하는 반응성 스퍼터링 프로세스에 의해 형성될 수 있다.
도 2는 본 발명의 실시예에 따른, 기판(212) 위에 배치된 III 족-질화물 계 헤테로접합(215)을 포함하는 전력 반도체 디바이스(200)의 예를 예시한다. 헤테로접합(215)은, 제 1 III 족-질화물 반도체 층(214), 제 2 III 족-질화물 반도체 층(216), 및 기판(212)과 제 1 III 족-질화물 반도체 층(214) 사이에 배치된 PVD 증착된 버퍼 층(213)을 포함한다. 일반적으로, PVD 증착된 버퍼 층(213)의 두께는 약 1 내지 약 1000 나노미터(nm)일 수 있다. 일 예에서, PVD 증착된 버퍼 층(213)은 약 500 nm 미만의 두께를 갖는다. 제 1 전력 전극(218)(즉, 소스 전극) 및 제 2 전력 전극(220)(즉, 드레인 전극)은, 직접적인 옴 연결 또는 임의의 다른 적합한 전기 연결을 통해, 제 1 및 제 2 III 족-질화물 반도체 층들에 전기적으로 연결된다. 일 실시예에서, 제 1 전력 전극(218) 및 제 2 전력 전극(220)은, 은(Ag), 금(Au), 팔라듐(Pd), 알루미늄(Al), 텅스텐(W), 백금(Pt), 인듐(In), 아연(Zn), 및 티타늄(Ti), 이들의 조합들, 또는 다른 유용한 전도성 금속들로 구성된 그룹으로부터 선택된 금속을 포함하는 층을 포함한다. 게이트 구조(222)는 제 2 III 족-질화물 반도체 층(216) 위에서 제 1 전력 전극(218)과 제 2 전력 전극(220) 사이에 배치된다. 게이트 구조(222)는 제 2 III 족-질화물 반도체 층(216)에 연결된 게이트 전극(223)을 포함할 수 있다. 대안적으로, 게이트 구조(222)는 제 2 III 족-질화물 반도체 바디(216)에 연결된 쇼트키 타입 게이트 전극을 포함할 수 있다. 적어도 하나의 구성에서, 제 1 III 족-질화물 반도체 층(214)은 갈륨 질화물(GaN) 함유 층이고, 제 2 III 족-질화물 반도체 층(216)은 알루미늄 갈륨 질화물(AlGaN)이며, 이들 모두는, 단결정질(monocrystalline) 실리콘과 같은 바람직한 재료로 형성된 기판(212) 및 PVD 증착된 버퍼 층(213) 위에 배치된다. 일 예에서, 기판(212)은 <111> 배향된(oriented) 또는 <110> 배향된 결정질 실리콘 기판을 포함한다. 적어도 하나의 구성에서, 절연 층(225)은 실리콘 질화물(SiN)을 함유할 수 있으며, 제 1 전력 전극(218), 제 2 전력 전극(220), 및 게이트 전극(223)은 모두 금속을 포함한다. 예시적인 기판들(201)은, 사파이어, 실리콘 탄화물(SiC), 실리콘(Si), 다이아몬드, 리튬 알루미네이트(lithium aluminate)(LiAlO2), 아연 산화물(ZnOx), 텅스텐(W), 구리(Cu), 갈륨 질화물(GaN), 알루미늄 갈륨 질화물(AlGaN), 알루미늄 질화물(AlN), 소다 석회 유리(soda lime glass), 및/또는 고 실리카 유리(high silica glass)를 포함한다. 일반적으로, 기판들은, 양립가능한(compatible) 격자 상수 및 열 팽창 계수를 갖는 재료, 위에 성장되는 III-V 족 재료와 양립가능한 기판, 또는 III-V 족 성장 온도들에서 열적으로 그리고 화학적으로 안정된 기판으로 형성될 수 있다.
전력 반도체 디바이스(200)의 몇몇 구성들에서, PVD 증착된 버퍼 층(213)은, 디바이스의 파괴 전압(breakdown voltage)(예컨대, ~900 VDC)을 개선할 수 있고 더 얇은 제 1 III 족-질화물 반도체 층(214)이 사용되게 허용할 수 있는, 전력 디바이스에서의 활성 층이다. 따라서, 형성된 전력 반도체 디바이스(200)는 개선된 디바이스 특성들을 가질 것이고, 디바이스를 형성하는 프로세스는 더 높은 처리량(throughput)을 가질 것이고(예컨대, 층(214)이 종래의 디바이스들보다 더 얇음), 더 낮은 제조 비용을 가질 것이다(예컨대, 더 얇은 층들을 형성하기 위해 더 적은 전구체 가스들을 소모함).
PVD 증착된 알루미늄 질화물(AlN) 버퍼 층(213)은, 실리콘(Si)과 같은 기판(212) 상에 성장되는 갈륨 질화물(GaN) 계 LED 및 전력 디바이스 내에서 사용될 수 있다. 여기에서 논의되는 본 발명의 실시예들은, 고 결정질 배향을 갖는 고품질 PVD AlN 버퍼 층을 형성하기 위해 사용되고, 그에 따라, 그 고품질 PVD AlN 버퍼 층이, 버퍼 층(213)의 상단에서 성장되는 GaN 층들의 재료 품질을 개선하고, 따라서, 형성된 디바이스의 성능 및 신뢰성을 개선하기 위해 사용될 수 있도록 한다. PVD AlN 버퍼 층 및 관련된 형성 프로세스는, 기판 사전-베이킹(pre-baking), 저온 MOCVD 버퍼 형성, 및 특정한 요구되는 온도 램핑(ramping) 동작들과 같지만 이에 제한되지는 않는, GaN 버퍼 층의 MOCVD 성장을 위해 전형적으로 요구되는 동작들을 제거하기 위해 사용될 수 있다. 부가적으로, 버퍼 층 형성 시간은, 여기에서 설명되는 PVD 증착 프로세스들 중 하나 또는 그 초과를 사용하여, 종래의 MOCVD 프로세스들에 비해 대략 10 내지 30 % 만큼 감소될 수 있다. 형성된 AlN 버퍼 층은 또한, 실리콘 기판의 표면과 MOCVD 프로세스에서 사용되는 갈륨 전구체의 상호작용으로 인한 갈륨에 의해 유발되는 손상(gallium induced damage)으로부터 실리콘 함유 기판의 표면을 보호하기 위해 사용될 수 있다. PVD AlN 층 증착 프로세스는, 특히 빈번한 MOCVD 챔버 세정 프로세스 단계들을 고려하는 경우에, 그외에는 에피택셜 성장에 기초한 MOCVD 프로세스에 비해 프로세싱 사이클 시간의 약 3 내지 6 시간을 절약하는 것으로 생각된다. 그러한 프로세스 시간 감소는 클러스터 툴 시스템에서 기판 처리량을 크게 향상시킬 수 있다. PVD AlN의 결정 품질은 그 위에 성장되는 GaN 층의 재료 품질에 직접적으로 영향을 미칠 수 있다는 것이 이해되어야 한다. 따라서, 여기에서 설명되는 실시예에서, 고 결정 품질 및 향상된 프로세스 반복성(repeatability)을 갖는 PVD AlN 층이 제공된다.
도 3은 아래에서 더 논의될 바와 같은, 전력 디바이스와 같은 유용한 반도체 디바이스의 적어도 부분들을 형성하기 위해 사용되는 프로세싱 시퀀스(300)를 예시한다. 일 실시예에서, 프로세싱 시퀀스(300)는, 단계들(302 내지 308)과 같은 하나 또는 그 초과의 기판 프리프로세싱(preprocessing) 단계들, 버퍼 층 형성 단계(310), 하나 또는 그 초과의 디바이스 층 형성 단계들(예컨대, 단계(312)), 및 하나 또는 그 초과의 콘택(contact) 층 형성 단계들(예컨대, 단계(314))을 포함한다. 프로세싱 단계들(302 내지 314)은, 하나 또는 그 초과의 클러스터 툴들 상에 바람직하게 배치된 하나 또는 그 초과의 프로세싱 챔버들에서 수행될 수 있다. 예시적인 프로세싱 단계들(302 내지 314) 및 클러스터 툴들(401, 601, 및 701)은 아래에서 더 논의된다. 일 실시예에서, 각각의 클러스터 툴(401, 601, 및 701)은, 통상적으로 배치(batch) 프로세싱이라고 지칭되는 바와 같이 동시에 복수의 기판들을 프로세싱하도록 각각 적응된 복수의 프로세싱 챔버들을 갖는다. 이러한 구성에서, 복수의 기판들은, 이들이 프로세싱 시스템을 통해 이송되고 프로세싱될 때에 기판들(201)을 지지 및 보유하도록 적응된 캐리어(451)(도 4) 상에 배치될 수 있다.
도 4는 도 3에 도시된 프로세싱 단계들(302 내지 314) 중 하나 또는 그 초과를 수행하도록 적응된 클러스터 툴(401)을 예시한다. 클러스터 툴(401)은 일반적으로, 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 상업적으로 입수가능하고, Endura® 시스템이라고 알려져 있다. 도 5는 도 4에 도시된 프로세싱 챔버들(466 내지 472)과 같은, 클러스터 툴에서 사용될 수 있는 프로세싱 챔버의 일 구성을 예시한다. 도 6은 도 3에 예시된 프로세싱 시퀀스를 완료하기 위해 사용될 수 있는 프로세싱 시스템(600)을 예시한다. 프로세싱 시스템(600)은 클러스터 툴(401) 및 클러스터 툴(601)을 포함할 수 있다. 도 7은 도 3에 예시된 프로세싱 시퀀스를 완료하기 위해 교체하여 사용될 수 있는 프로세싱 시스템(700)의 예를 예시한다. 도 8은 도 3에 도시된 프로세싱 단계들(302 내지 314) 중 하나 또는 그 초과를 수행하도록 적응된 MOCVD 챔버(801)를 예시한다.
일 실시예에서, 클러스터 툴(401)은, 이송 챔버 주변부들 주위에 그리고 내에 배열된 다양한 프로세싱 챔버들(454 내지 472) 사이에서 하나 또는 그 초과의 기판들을 이동시키기 위한 각각의 제 1 및 제 2 로봇들(446, 448)을 내부에 포함하는 제 1 및 제 2 이송 챔버들(442, 444)을 포함한다. 제 1 이송 챔버(442)의 주변부 주위에 배열된 다양한 프로세싱 챔버들(454 내지 464), 및 제 2 이송 챔버(444)의 주변부 주위에 배열된 프로세싱 챔버들(466 내지 472)은, 프로세싱 챔버들 각각과 이들의 각각의 이송 챔버(442, 444) 사이에 배치된 슬릿 밸브들(미도시)의 사용에 의해 서로로부터 선택적으로 격리될 수 있다. 몇몇 구성들에서, 제 1 이송 챔버(442)는, 적절하게(moderately) 낮은 압력, 예컨대 약 1 milliTorr로 진공 펌핑되는 한편, 제 2 이송 챔버(444)는 훨씬 더 낮은 압력, 예컨대 1 microTorr로 펌핑된다.
일 실시예에서, 클러스터 툴(401)은 일반적으로, 모두 메인프레임(449)에 직접적으로 또는 간접적으로 커플링된, 팩토리 인터페이스(404), 하나 또는 그 초과의 로드 락들(450, 452), 제 1 로봇(446), 하나 또는 그 초과의 선택적인 오리엔트(orient) 챔버들(454, 456), 하나 또는 그 초과의 탈기(degas) 챔버들(458, 460), 하나 또는 그 초과의 프로세싱 챔버들(462, 464), 제 2 로봇(448), 및 복수의 프로세싱 챔버들(466 내지 472)을 포함한다. 일 구성에서, 제 1 및 제 2 로봇들(446 및 448)은, 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 "프로그-레그(frog-leg)" 타입 로봇들이다. 탈기 챔버들(458, 460)은 일반적으로, 프로세싱 챔버들(462 내지 472) 중 하나에서의 프로세싱 전에, 기판들(201)의 표면으로부터 임의의 바람직하지 않은 물 또는 다른 오염물이 제거되는 것을 보장하기 위해, 진공 조건 하에서 캐리어(451) 및 기판들(201)을 원하는 온도로 가열하도록 적응된, 램프들 또는 저항성 가열기와 같은 열 소스를 포함한다. 하나 또는 그 초과의 선택적인 오리엔트 챔버들(454, 456)은 일반적으로, 시스템 내의 원하는 회전 배향으로 캐리어(451) 및/또는 기판들(201)을 정렬시키기 위해 사용된다.
위에서 기재된 바와 같이, 일 실시예에서, 클러스터 툴(401)은, 배치 프로세싱 타입의 구성으로 복수의 기판들(201)을 이송하고 프로세싱하도록 구성된다. 이러한 구성에서, 제 1 및 제 2 로봇들(446, 448) 및 프로세싱 챔버들(454 내지 472)은, 캐리어(451) 상에 배치된 기판들(201)을 수용하고 프로세싱할 수 있다. 일 예에서, 도 4에 예시된 바와 같이, 캐리어(451)는 캐리어(451)의 표면(402) 상에 8개의 기판들(201)을 지지하고 보유하도록 구성된다. 다른 예에서, 캐리어(451)는 약 30 둘(30 two) 인치의 기판들(201)을 지지하고 보유하도록 구성된다. 일 예에서, 캐리어(451)는 200 mm 내지 750 mm의 직경의 범위를 가질 수 있다. 캐리어(451)는, SiC 또는 SiC-코팅된 그래파이트(graphite)를 포함하는 다양한 재료들로 형성될 수 있다. 일 실시예에서, 캐리어(451)는, 실리콘 탄화물 재료를 포함하고, 약 1,000 cm2 또는 그 초과, 바람직하게는 2,000 cm2 또는 그 초과, 그리고 더 바람직하게는 4,000 cm2 또는 그 초과의 표면 면적을 갖는다. 캐리어(451)의 예시적인 실시예들은, 발명의 명칭이 "개선된 포토루미네선스 균일성을 위한 웨이퍼 캐리어 설계(Wafer Carrier Design for Improved Photoluminescence Uniformity)"인 2009년 8월 28일자로 출원된 미국 특허 출원 일련 번호 제 12/871,143 호에서 더 설명된다.
로봇들(446, 448)은, 2개의 이송 챔버들(442, 444) 사이의 진공 격리를 또한 제공하는 이중-게이트형(double-gated) 프로세싱 챔버들(462, 464)을 통해 2개의 이송 챔버들(442, 444) 사이에서, 기판들, 및/또는 다수의 기판들(201)을 포함하는 캐리어들(451)을 이동시킨다. 몇몇 구성들에서, 프로세싱 챔버들(462, 464) 중 하나 또는 그 초과는, 사전-세정 프로세스(예컨대, 비-선택적인 스퍼터 에칭 프로세스), 온도 조절(예컨대, 냉각(cool down)), 또는 다른 바람직한 동작을 수행하도록 추가로 적응된다.
제 2 로봇(448)은, 이송 챔버(444)에 부착된 프로세싱 챔버들(462 내지 472)로 그리고 로부터, 기판들, 및/또는 다수의 기판들(201)을 포함하는 캐리어들(451)을 이송시키도록 구성된다. 프로세싱 챔버들(466 내지 472)은, 기판들(201)의 표면 상에 하나 또는 그 초과의 층들을 에칭 또는 증착하는 것과 같이, 내부의 기판들을 프로세싱하도록 구성된다. 일 구성에서, 프로세싱 챔버들(466 내지 472)은, PVD 또는 스퍼터링 프로세스의 사용에 의해, 기판들(201)의 표면 상에 버퍼 층(213)을 증착하도록 구성된다. PVD 타입 증착 및 다른 유사한 증착 기법들은, 증착된 막들을 산화시키지 않고 그리고/또는 오염시키지 않도록 고 진공을 요구하고, 따라서, 이송 챔버(444)는 층들 사이의 오염을 방지하기 위해 적어도 적절한(moderate) 진공 레벨로 유지된다. 제 2 이송 챔버(444)와 연관된 모든 프로세싱 챔버들은 각각의 슬릿 밸브들에 의해 제 2 이송 챔버(444)로부터 분리된다.
클러스터 툴(401)의 일 실시예에서, 복수의 기판들(201)이 위에 배치된 복수의 캐리어들(451)은, 로드 락 챔버들(450, 452)을 통해 제 1 이송 챔버(442)에 커플링된 팩토리 인터페이스(404)에 커플링된 카세트(405) 내로 로딩된다(loaded). 로드 락 챔버들(450, 452)은 각각 선택적으로, 슬릿 밸브들(미도시)에 의해 제 1 이송 챔버(442)로부터 그리고 진공 도어들(미도시)에 의해 팩토리 인터페이스(404)의 외부 영역(406)으로부터 격리된다. 이러한 구성에서, 팩토리 인터페이스에서의 팩토리 인터페이스 로봇들(408A, 408B)은 캐리어(451)를 카세트(405)로부터 로드 락(450, 452)으로 이동시키도록 구성되며, 그 후에, 로드 락(450, 452)에서, 캐리어(451)는, 로드 락에 커플링된 진공 도어(미도시)에 의해 팩토리 인터페이스(404)의 외부 영역(406)으로부터 격리된다. 로드 락(450, 452)이 원하는 압력으로 펌핑 다운된 후에, 로드 락에 배치된 캐리어(451)는, 로드 락(450, 452)과 이송 챔버(442) 사이에 형성된 슬릿 밸브 개구(미도시)를 통해 제 1 로봇(446)에 의해 접근될(accessed) 수 있다.
다른 실시예에서, 카세트들(405) 각각은, 각각 복수의 기판들(201)을 포함하는 하나 또는 그 초과의 스택된(stacked) 웨이퍼 카세트들(미도시)을 수용하도록 구성된다. 이러한 구성에서, 기판들(201)은, 팩토리 인터페이스 로봇들(408A, 408B) 중 하나에 의해, 카세트(405)에 배치된 스택된 웨이퍼 카세트로부터 제거되고, 그 후에, 팩토리 인터페이스(404)에 커플링된 캐리어 로딩 모듈(404A)로 이송된다. 그 후에, 캐리어 로딩 모듈(404A)은 이송된 기판들 각각을 수용하고 이들을 캐리어(451) 상에 위치시키도록 적응된다. 캐리어(451) 상에 원하는 수의 기판들이 로딩되면, 팩토리 인터페이스 로봇들(408A, 408B) 중 하나는, 캐리어 로딩 모듈(404A)로부터 캐리어(451) 및 기판들(201)을 이송할 것이고, 이들을 프로세싱을 위해 로드 락 챔버(450, 452)로 로딩할 것이다. 클러스터 툴(401)에서 기판들(201)이 프로세싱된 후에, 캐리어(451)는 캐리어 로딩 모듈(404A)에서 발견되는 컴포넌트들에 의해 언로딩될(unloaded) 수 있고, 프로세싱된 기판들(201)은 이들의 각각의 스택된 웨이퍼 카세트로 리턴될(returned) 수 있다. 위의 단계들 중 하나 또는 그 초과를 수행하도록 적응될 수 있는 캐리어 로딩 모듈(404A)의 예는, 공동-계류 중이고 본원과 출원인이 동일한 미국 특허 공개 번호 제 2010/0111650 호에서 더 설명되며, 그 미국 특허 공개는 인용에 의해 본원에 포함된다.
기판들(201)의 표면 상에 적합한 스퍼터링된 막을 증착하도록 적응된 프로세싱 챔버(500) 또는 반응기가 도 5에 예시된다. 프로세싱 챔버들(466 내지 472) 중 하나 또는 그 초과는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 마그네트론(magnetron) 타입 PVD 챔버인 프로세싱 챔버(500)에서 발견되는 컴포넌트들을 포함할 수 있다.
프로세싱 챔버(500)는, 진공 챔버(501), 타겟(503), 마그네트론(505), 진공 펌핑 시스템(521), 기판 지지 어셈블리(513), 및 프로세스 키트(531)를 포함한다. 진공 챔버(501)는, 복수의 o-링들을 사용하는 타겟 아이솔레이터(502)를 통해 진공 챔버(501)의 일 단부에서 밀봉된(sealed) 타겟(503)을 지지한다. 타겟(503)은 적어도, 캐리어(451) 상에 배치된 기판들(201) 상에 스퍼터 증착될 재료로 구성된 표면 부분을 갖는다. 타겟(503) 근처에 배치되고 타겟(503)에 대하여 회전되는 마그네트론(505)은, 타겟 표면(503A)으로부터 재료를 "스퍼터링(sputter)"하기 위해 전력 소스(593)를 사용하여 타겟(503)을 바이어싱함으로써 프로세싱 영역(540)에 생성되는 플라즈마를 한정(confine)시키기 위해 사용되는 복수의 자석들(574A 내지 574B)을 포함한다. 마그네트론의 타입이 특정한 애플리케이션에 따라 변할 수 있다는 것이 인식된다. 전력 소스(593)는 일반적으로, 타겟(503)으로 DC 및/또는 RF 전력을 전달하도록 구성된 전력 공급부(594)를 포함한다. 몇몇 RF 전력 전달 구성들에서, 전력 소스(593)는 또한 매칭(match)(595)을 포함할 수 있다.
진공 펌핑 시스템(521)은 일반적으로, 펌프 어셈블리(523) 및 밸브(522)를 포함한다. 펌프 어셈블리(523)는 일반적으로, 프로세싱 챔버(500)의 프로세싱 영역(540)에서 바람직한 압력을 유지하기 위해 사용되는, 크라이오 펌프(cryopump)(미도시) 및 러핑 펌프(roughing pump)(들)(미도시)를 포함할 것이다.
일 실시예에서, 기판 지지 어셈블리(513)는, 페데스탈(pedestal) 전극(532) 위에 기판들(201) 및 캐리어(451)를 지지하도록 적응된 지지 표면을 갖는 정전 척(512)을 포함할 수 있는 페데스탈 전극(507)을 포함한다. 프로세싱 동안에 캐리어(451) 및 기판들(201)을 제자리에 홀딩(hold)하기 위해 다른 디바이스들이 사용될 수 있다는 것이 인식된다. 저항성 가열기들(미도시), 냉매(refrigerant) 채널들(미도시), 및 열 전달 가스 공동들(cavities)(미도시)이, 프로세싱 동안에 기판들의 열 제어를 제공하기 위해 페데스탈(507)에 형성될 수 있다. 몇몇 애플리케이션들에서, 전력 소스(530)에 커플링된 페데스탈 전극(532)은, 플라즈마 이온화된 증착 재료 및 프로세스 가스들을 끌어당기기 위해 기판들(201)에 RF 및/또는 DC 바이어스를 인가할 수 있다. 다른 애플리케이션들에서, 증착된 층에 대한 손상에 대한 가능성을 더 감소시키기 위해, 기판들의 바이어싱이 감소될 수 있거나 또는 제거될 수 있다.
본 발명의 일 실시예들에서, 펄스형 DC, RF, 및/또는 펄스형 RF 바이어스 신호가 전력 소스(593)에 의해 타겟(503)에 인가되며, 이는, 아래에서 더 논의될 바와 같이, 알루미늄 질화물 층 배리어 층과 같은 바람직한 배리어 층의 증착을 상당히 개선하는 것으로 발견되었다. 타겟(503)을 스퍼터링하기 위해 플라즈마에 의해 생성된 이온들을 끌어당기기 위하여, 일 실시예에서, 예컨대 1 내지 20 kW의 평균 전력을 제공하기 위해 타겟(503)은 전력 소스(593)에 의해 바이어싱된다. 타겟(503)에 인가되는 펄스형 DC 및/또는 RF 바이어스 신호들은 복수의 교번하는(alternating) 제 1 및 제 2 간격들을 갖는 신호를 포함할 수 있으며, 그 신호에서, 제 1 간격들 각각에서, 인가되는 바이어스 신호의 전압은, 타겟을 스퍼터링하도록 이온들을 끌어당기기 위해 네거티브(negative)이고, 교변하는 제 2 간격들 동안에, 인가되는 바이어스 신호는, 제 1 간격 동안에 인가되는 바이어스보다 더 낮거나, 언바이어싱되거나(unbiased)(예컨대, 제로(zero) 인가되는 전압), 또는 심지어, 아킹을 감소시키도록 타겟(503)으로부터 포지티브 대전된 이온들을 밀어내기 위해(repel) 포지티브 전압을 갖는다. 타겟(503)에 인가되는 펄스형 바이어스 신호가 특정한 애플리케이션에 따라 다수의 유익한 프로세싱 이점들을 제공할 수 있다는 것을 당업자는 인식할 것이다. 예컨대, 펄스형 바이어스 신호는, 증착 레이트를 감소시키고, 더 안정된 플라즈마를 형성하고, 바람직한 다성분 막 층을 형성하기 위해 플라즈마 케미스트리를 효과적으로 제어하기 위해 플라즈마에서 피크 에너지를 증가시키기 위해 사용될 수 있다고 생각된다. 예컨대, 펄스형 바이어싱 신호가 타겟(503)에 인가되는 경우에, 진정한(true) 화학량적 비율들(stoichiometric proportions)에 더 근접한 막들이 획득될 수 있다. 또 다른 가능한 피쳐들은 막 품질, 특히 다중-성분(multi-component) 막들에 대한 막 품질의 증가를 포함한다. 또한, 펄스형 바이어스가 인가되는 경우에, 바람직하지 않은 마이크로 보이드(void)들 및 원주형(columnar) 구조들의 가능한 제거로 인해, 막 시트 저항(sheet resistance)이 감소될 수 있다고 생각된다. 그러나, 몇몇 애플리케이션들에서, 일정한 DC 또는 RF 전력 레벨 바이어스 신호인 비-펄스형 바이어싱 신호가, 특정한 애플리케이션에 따라, 증착 프로세스의 하나 또는 그 초과의 파트들 동안에 또는 심지어 펄스형 바이어스 신호와 조합되어 타겟(503)을 바이어싱하도록 인가될 수 있다.
프로세스 키트(531)는 일반적으로, 제 2 유전체 실드 아이솔레이터(510)에 의해 분리된, 커버 링(513), 다크스페이스(darkspace) 실드(shield)(508), 및 챔버 실드(509)를 포함한다. 프로세스 키트(531) 파트들은, 전기적으로 접지된 금속을 일반적으로 포함하는 챔버 벽(501A)을, 프로세싱 영역(540)에 생성된 스퍼터링된 재료로부터 보호하기 위해, 진공 챔버(501) 내에 위치된다. 일 실시예에서, 다크스페이스 실드(508)는, 전기적으로 플로팅(float)하도록 허용되고, 챔버 실드(509)는 전기적으로 접지된다. 그러나, 몇몇 실시예들에서, 실드들 중 어느 하나 또는 양자 모두가 접지될 수 있거나, 플로팅할 수 있거나, 또는 동일한 또는 상이한 비접지(nonground) 레벨들로 바이어싱될 수 있다. 실드들(508, 509)은 전형적으로 스테인리스 스틸로 구성되고, 이들의 내측들(예컨대, 아이템 넘버(511))은, 이들 상에 스퍼터 증착되는 재료의 접착(adhesion)을 촉진하도록, 비드-블라스팅될(bead-blasted) 수 있거나 또는 그렇지 않으면 거칠게 될(roughened) 수 있다. 그러나, 연장되는(prolonged) 스퍼터링 동안의 어떤 포인트에서, 증착된 재료는 박리(flake off)될 가능성이 있는 두께로 쌓여서, 유해한 입자들을 생성한다. 이러한 포인트에 도달되기 전에, 실드들(508, 509)은 세정 또는 교체되어야 한다.
몇몇 애플리케이션들에서, 기판은, 그 애플리케이션에 대해 적절하게, 형성된 플라즈마에 생성된 이온들을 끌어당기거나 또는 밀어내도록 바이어싱될 수 있다. 예컨대, 소스(530)는, 증착 프로세스 동안에 증착 재료 이온들을 끌어당기도록 기판들(201)을 바이어싱하기 위하여 페데스탈 전극(507)에 RF 전력을 인가하기 위해 제공될 수 있다. 부가하여, 소스(530)는, 플라즈마에 보충(supplemental) 에너지를 커플링시키기 위해 페데스탈 전극(507)에 RF 전력을 인가하도록 구성될 수 있다. 증착 프로세스 동안에, 페데스탈(507) 그리고 따라서 기판들(201)은 전기적으로 플로팅된 상태로 남아있을 수 있지만, 그럼에도 불구하고, 네거티브 DC 자기-바이어스(self-bias)가 그 위에 발생할 수 있다. 대안적으로, 페데스탈(507)은, 이온화된 증착 재료를 기판으로 끌어당기도록 기판들(201)을 네거티브 바이어싱하기 위해, 예컨대 약 -30 VDC와 같은, -1000 볼트 내지 +500 볼트 사이의 네거티브 전압으로 소스에 의해 네거티브 바이어싱될 수 있다. 몇몇 구성들에서, 아래에서 더 논의되는 바와 같은 캐패시터 튜너(tuner)가, 프로세싱 동안에 기판들(201) 상의 플로팅 전위를 제어하기 위해 전력 소스와 함께 사용된다. 또 다른 대안에서, 기판들(201)은 전기적으로 플로팅된 상태로 남아있을 수 있다.
페데스탈을 통해 기판들(201)을 바이어싱하기 위해 사용되는 소스(530)가 RF 전력 공급부인 경우에, 공급부는 예컨대 13.56 MHz의 주파수에서 동작할 수 있다. 특정한 애플리케이션에 따라, 60 MHz와 같은 다른 주파수들이 적합하다. 페데스탈(507)에는 10 watts 내지 5 kW의 범위에서의 RF 전력이 공급될 수 있다. 위에서 언급된 전력 및 전압 레벨들 및 주파수들은, 당연히, 특정한 애플리케이션에 따라 변할 수 있다. 컴퓨터-기반 제어기(491)는, 특정한 애플리케이션에 따라, 다양한 소스들의 전력 레벨들, 전압들, 전류들, 및 주파수들을 제어하도록 프로그래밍될 수 있다.
다시 도 5를 참조하면, 가스 소스(564)는, 예컨대 아르곤과 같은 화학적으로 불활성인 노블(noble) 가스와 같은 스퍼터링 작업(working) 가스를, 질량 유량 제어기(mass flow controller)(566)를 통해 챔버(501)에 공급한다. 작업 가스는, 챔버의 상단으로, 또는 예시된 바와 같이, 실드 챔버 실드(509)의 바닥을 통하는 또는 챔버 실드(509), 정전 척(512), 및 페데스탈(507) 사이의 갭을 통하는 애퍼쳐(aperture)들을 관통하는 하나 또는 그 초과의 유입구 파이프들로 챔버의 바닥에서 도입될(admitted) 수 있다. 반응성 PVD 프로세스들 동안에, 기판들(201) 상에 알루미늄 질화물과 같은 질화물 함유 층을 형성하기 위해, 소스(598)로부터 질소 가스가 전달될 수 있다.
도 6은, 본 발명의 적어도 하나의 실시예에 따른, 화합물 질화물 반도체 디바이스들을 제조하기 위해 사용되는, 프로세싱 시스템(600)의 클러스터 툴(601) 및 클러스터 툴(401)의 개략적인 상단도이다. 도 3에 대하여 아래에서 설명되는 프로세스들이 또한 다른 적합한 프로세싱 시스템 구성들에서 수행될 수 있다는 것이 고려된다. 위에서 논의된 클러스터 툴(401)과 유사하게, 클러스터 툴(601) 내의 환경은, 그 클러스터 툴(601) 내에서 프로세싱되는 기판들의 산화 및/또는 오염을 방지하기 위해, 진공 상태로 또는 대기압(atmospheric pressure) 아래의 압력으로 유지될 수 있다. 특정 실시예들에서, 질소와 같은 비활성 가스(inert gas)로 클러스터 툴(601)을 백필(backfill)하는 것이 바람직할 수 있다.
시스템 제어기(491)는, 프로세싱 시스템(600)에서 발견되는 자동화된 컴포넌트들의 활동들(activities) 및 동작 파라미터들을 제어한다. 일반적으로, 프로세싱 시스템에서 발견되는 클러스터 툴(들)을 통하는 기판의 이동의 대부분(bulk)은, 시스템 제어기(491)에 의해 전송되는 커맨드(command)들의 사용에 의해 하나 또는 그 초과의 기판들(201)이 클러스터 툴(들)에 의해 수용될 수 있거나 또는 클러스터 툴(들) 내에 위치될 수 있도록, 하나 또는 그 초과의 기판들(201)을 이동시키고 위치시키도록 적응된 하나 또는 그 초과의 자동화 디바이스들의 사용에 의해 수행된다. 몇몇 실시예들에서, 복수의 기판들(201)은, 기판 컨베이어와 같은 자동화된 이송 디바이스(미도시)를 사용하여, 클러스터 툴들(401 및 601) 사이에서 캐리어(451) 상에서 이송된다. 다른 실시예들에서, 각각의 캐리어(451)는 특정한 클러스터 툴(401, 601)과 연관되고, 기판들은, 하나 또는 그 초과의 기판들을 각각 홀딩하는 하나 또는 그 초과의 웨이퍼 카세트들을 이송하도록 적응된 자동화된 디바이스를 사용하여 클러스터 툴들(401 및 601) 사이에서 이송된다. 시스템 제어기(491)는, 클러스터 툴(들)(401, 601, 701)에서 발견되는 하나 또는 그 초과의 컴포넌트들을 제어하기 위해 사용되는 범용(general use) 컴퓨터이다. 시스템 제어기(491)는 일반적으로, 프로세싱 시퀀스(300)의 제어 및 자동화를 용이하게 하도록 설계되고, 전형적으로, 중앙 프로세싱 유닛(CPU)(미도시), 메모리(미도시), 및 지원 회로들(또는 I/O)(미도시)을 포함한다. 소프트웨어 명령들 및 데이터는 CPU에게 명령하기 위해 메모리 내에 코딩 및 저장될 수 있다. 시스템 제어기에 의해 판독가능한 프로그램(또는 컴퓨터 명령들)은, 기판에 대해 어떤 태스크들이 수행가능한지를 결정한다. 바람직하게, 프로그램은, 수행되는 다양한 프로세싱 모듈 프로세스 레시피 단계들 및 다양한 프로세스 레시피 태스크들과 함께 기판의 이동, 지지, 및/또는 위치결정(positioning)의 모니터링, 실행, 및 제어에 관련된 태스크들을 수행하기 위한 코드를 포함하는 시스템 제어기에 의해 판독가능한 소프트웨어이다. 프로세서는, 메모리에 저장된 컴퓨터 프로그램과 같은 시스템 제어 소프트웨어를 실행한다. 유용한 프로세싱 시스템 및 방법들의 양상들은, 발명의 명칭이 "화합물 질화물 구조들의 에피택셜 성장(EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES)"인 2006년 4월 14일자로 출원되고 현재 제 US 2007/024516 호로 발행된 미국 특허 출원 일련 번호 제 11/404,516 호에서 더 설명되며, 그 미국 특허 출원은 이로써, 인용에 의해 그 전체가 포함된다.
클러스터 툴(601)은 일반적으로, 이송 챔버(606), 이송 챔버(606)와 커플링된, 제 1 프로세스 챔버(602a), 제 2 프로세스 챔버(606), 및 제 3 프로세스 챔버(602c), 이송 챔버(606)와 커플링된 로드 락 챔버(608), 기판들을 저장하기 위한 배치 로드 락 챔버(609), 및 기판들을 로딩하기 위한 로드 스테이션(610)을 포함한다. 이송 챔버(606)는, 기판들을 픽업(pick up)하고 기판들을 로드 락 챔버(608), 배치 로드 락 챔버(609), 및 프로세스 챔버들(602a-c) 사이에서 이송하도록 동작가능한 로봇 어셈블리(미도시)를 포함한다. 3개의 프로세스 챔버들(602a, 602b, 602c)이 도시되어 있지만, 임의의 수의 프로세스 챔버들이 이송 챔버(606)와 커플링될 수 있다는 것이 이해되어야 한다. 프로세스 챔버들(602a, 602b, 602c)은, 이송 챔버(606)에 커플링된, (아래에서 설명되는 도 8에 도시된 MOCVD 챔버(801)와 같은) MOCVD(metal oxide chemical vapor deposition) 챔버들, 또는 HVPE(Hydride Vapor Phase Epitaxial) 챔버들일 수 있다. 대안적으로, 프로세싱 챔버(600)는 이송 챔버가 없는 인-라인(in-line) 시스템일 수 있다. 다양한 실시예들에서, PVD, CVD, 또는 ALD 챔버가, 애플리케이션에 따라, 부가적으로 포함될 수 있거나, 또는 이송 챔버(606)에 커플링된 MOCVD 또는 HVPE 챔버들 중 하나와 교체될 수 있다. 예시적인 MOCVD, HVPE PVD, CVD, 또는 ALD 챔버들은, 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 구매될 수 있다.
각각의 프로세스 챔버(602a, 602b, 602c)는 일반적으로, 하나 또는 그 초과의 기판들이 프로세싱을 겪기 위해 배치되는 프로세싱 영역을 정의하는 챔버 바디(612a, 612b, 612c), 챔버 바디(612a, 612b, 612c)로 가스 전구체들이 전달되는 화학물질 전달 모듈(616a, 616b, 616c), 및 클러스터 툴(601)의 각각의 프로세스 챔버를 위한 전기 시스템을 포함하는, 각각의 프로세스 챔버(602a, 602b, 602c)를 위한 전기 모듈(620a, 620b, 620c)을 포함한다. 몇몇 실시예들에서, 각각의 프로세스 챔버(602a, 602b, 602c)는 CVD 프로세스들을 수행하도록 적응되며, CVD 프로세스들에서, 예컨대, 유기금속(metalorganic) 엘리먼트들이 수소화물 엘리먼트들과 반응하여, 화합물 질화물 반도체 재료들의 얇은 층들을 형성한다.
이송 챔버(606)는 프로세싱 동안에 진공 하에서 또는 대기압 아래의 압력으로 유지될 수 있다. 이송 챔버(606)의 진공 레벨은, 프로세스 챔버(602a)의 진공 레벨과 매칭(matching)되도록 조정될 수 있다. 예컨대, 이송 챔버(606)로부터 프로세스 챔버(602a) 내로(또는 그 역으로) 기판을 이송하는 경우에, 이송 챔버(606) 및 프로세스 챔버(602a)는 동일한 진공 레벨로 유지될 수 있다. 그 후에, 이송 챔버(606)로부터 로드 락 챔버(608) 또는 배치 로드 락 챔버(609)로(또는 그 역으로) 기판을 이송하는 경우에, 이송 챔버 진공 레벨은, 프로세스 챔버(602a)와 배치 로드 락 챔버(609) 또는 로드 락 챔버(608)의 진공 레벨이 상이할 수 있다고 하더라도, 배치 로드 락 챔버(609) 또는 로드 락 챔버(608)의 진공 레벨과 매칭될 수 있다. 특정 실시예들에서, 질소와 같은 비활성 가스로 이송 챔버(606)를 백필하는 것이 바람직할 수 있다. 예컨대, 기판은 90 %보다 더 많은 N2 또는 NH3를 갖는 환경에서 이송될 수 있다. 대안적으로, 기판은, 90 %보다 더 많은 H2를 갖는 환경에서와 같이 고순도 H2 환경에서 이송될 수 있다.
클러스터 툴(601)에서, 로봇 어셈블리는, 하나 또는 그 초과의 기판들(201)이 로딩된 캐리어(451)를, 제 1 증착 프로세스를 겪도록, 제 1 프로세스 챔버(602a) 내로 이송한다. 로봇 어셈블리는, 캐리어(451)를, 제 2 증착 프로세스를 겪도록, 제 2 프로세스 챔버(602b) 내로 이송한다. 로봇 어셈블리는, 캐리어(451)를, 제 3 증착 프로세스를 겪도록, 제 1 프로세스 챔버(602a) 또는 제 3 프로세스 챔버(602c) 내로 이송한다. 증착 단계들의 전부 또는 일부가 완료된 후에, 캐리어(451)는 프로세스 챔버(602a 내지 602c)로부터 로드 락 챔버(608)로 다시 이송된다. 그 후에, 캐리어(451)는 로드 스테이션(610)으로 이송된다. 대안적으로, 캐리어(451)는, 프로세스 챔버들(602a 내지 602c) 중 하나 또는 그 초과에서의 추가적인 프로세싱 전에, 로드 락 챔버(608) 또는 배치 로드 락 챔버(609)에 저장될 수 있다. 일 예시적인 시스템은, 발명의 명칭이 "화합물 질화물 반도체 디바이스들을 제조하기 위한 프로세싱 시스템(PROCESSING SYSTEM FOR GABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES)"인 2008년 1월 31일자로 출원된 미국 특허 출원 일련 번호 제 12/023,572 호에서 설명되며, 그 미국 특허 출원은 이로써, 인용에 의해 그 전체가 포함된다.
위에서 논의된 바와 같이, 도 7은, 도 3에 예시된 프로세싱 시퀀스의 적어도 일부를 완료하기 위해 교체하여 사용될 수 있는 프로세싱 시스템(700)의 예를 예시한다. 이러한 구성에서, 클러스터 툴(401) 및 클러스터 툴(601)은, 이송 챔버(710)의 양 측 상에 배치된 슬릿 밸브(미도시)의 사용에 의해 이송 챔버들(444 및 606)로부터 개별적으로 격리될 수 있는 이송 챔버(710)를 통해 함께 커플링된다. 양 클러스터 툴에서의 로봇들 중 하나 또는 그 초과는, 캐리어(451) 및 기판들(201)이 클러스터 툴들 사이에서 핸드 오프(hand off)될 수 있도록, 이송 챔버(710) 내의 위치로 기판들(201)을 이송하도록 구성된다. 따라서, 클러스터 툴(401) 또는 클러스터 툴(601)에서 프로세싱되는 기판들(201)은, 이들이 클러스터 툴들 사이에서 이송되는 경우에, 대기 환경(클러스터 툴들(401, 601)의 외부)에 노출될 필요가 없다.
도 8은 본 발명의 적어도 하나의 실시예에 따른 화합물 질화물 반도체 디바이스들을 제조하기 위해 사용될 수 있는 MOCVD 챔버(801)의 개략적인 단면도이다. MOCVD 챔버(801)는, 시스템들(600 및 700)에 대하여 위에서 설명된 바와 같이, 프로세싱 챔버들(602a, 602b, 또는 602c) 중 하나 또는 그 초과일 수 있다. MOCVD 챔버(801)는 일반적으로, 챔버 바디(802), 전구체 가스들, 캐리어 가스들, 세정 가스들, 및/또는 퍼지(purge) 가스들을 전달하기 위한 화학물질 전달 모듈(816), 플라즈마 소스를 갖는 원격 플라즈마 시스템(826), 서셉터(susceptor) 또는 기판 지지부(814), 및 진공 시스템(812)을 포함한다. MOCVD 챔버(801)의 챔버 바디(802)는 프로세싱 영역(808)을 에워싼다. 샤워헤드 어셈블리(804)는 프로세싱 영역(808)의 일 단부에 배치되고, 캐리어(451)는 프로세싱 영역(808)의 다른 단부에 배치된다. 캐리어(451)는 기판 지지부(814) 상에 배치될 수 있다.
일 실시예에서, 샤워헤드 어셈블리(804)는, 제 1 전구체 또는 제 1 프로세스 가스 혼합물을 프로세싱 영역(808)으로 전달하기 위한 화학물질 전달 모듈(816)와 커플링된 제 1 프로세싱 가스 채널(804A), 제 2 전구체 또는 제 2 프로세스 가스 혼합물을 프로세싱 영역(808)으로 전달하기 위한 화학물질 전달 모듈(816)과 커플링된 제 2 프로세싱 가스 채널(804B), 및 샤워헤드 어셈블리(804)의 온도를 조절하는 것을 돕도록 샤워헤드 어셈블리(804)로 열 교환 유체를 유동시키기 위한 열 교환 시스템(870)과 커플링된 온도 제어 채널(804C)을 갖는 듀얼-구역(dual-zone) 어셈블리일 수 있다. 적합한 열 교환 유체들은, 물, 물-기반 에틸렌 클리콜 혼합물들, 퍼플루오로폴리에테르(perfluoropolyether)(예컨대, Galden® 유체), 오일-기반 열 전달 유체들, 또는 유사한 유체들을 포함할 수 있다.
프로세싱 동안에, 제 1 전구체 또는 제 1 프로세스 가스 혼합물은, 샤워헤드 어셈블리(804)에서의 제 1 프로세싱 가스 채널(804A)과 커플링된 가스 도관들(846)을 통해 프로세싱 영역(808)으로 전달될 수 있으며, 제 2 전구체 또는 제 2 프로세스 가스 혼합물은, 샤워헤드 어셈블리(804)에서의 제 2 프로세싱 가스 채널(804B)과 커플링된 가스 도관들(845)을 통해 프로세싱 영역(808)으로 전달될 수 있다. 프로세스 가스 혼합물들 또는 전구체들은, 하나 또는 그 초과의 전구체 가스들 또는 프로세스 가스들, 뿐만 아니라, 전구체 가스들과 혼합될 수 있는 도펀트 가스들 및/또는 캐리어 가스들을 포함할 수 있다. 여기에서 설명되는 실시예들을 실시하도록 적응될 수 있는 예시적인 샤워헤드들은, 발명의 명칭이 "멀티-가스 직선 채널 샤워헤드(MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD)"인 2007년 10월 16일자로 출원된 미국 특허 출원 일련 번호 제 11/873,132 호, 발명의 명칭이 "멀티-가스 나선형 채널 샤워헤드(MULTI-GAS SPIRAL CHANNEL SHOWERHEAD)"인 2007년 10월 16일자로 출원되고 현재 제 US 2009-0095222 호로 발행된 미국 특허 출원 일련 번호 제 11/873,141 호, 및 발명의 명칭이 "멀티-가스 동축 주입 샤워헤드(MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD)"인 2007년 10월 16일자로 출원되고 현재 제 US 2009-0095221 호로 발행된 미국 특허 출원 일련 번호 제 11/873,170 호에서 설명되며, 이들 모두는 이들의 전체가 인용에 의해 포함된다.
하부 돔(dome)(819)은 하부 볼륨(810)의 일 단부에 배치되고, 캐리어(451)는 하부 볼륨(810)의 다른 단부에 배치된다. 캐리어(451)는 프로세스 위치에 있는 것으로 도시되지만, 예컨대 기판들(201)이 로딩 또는 언로딩될 수 있는 하부 위치로 이동될 수 있다. 배기 링(820)은, 증착이 하부 볼륨(810)에서 발생하는 것을 방지하는 것을 돕고 또한, 배기 가스들을 MOCVD 챔버(801)로부터 배기 포트들(809)로 지향시키는 것을 돕기 위해, 캐리어(451)의 주변부 주위에 배치될 수 있다. 하부 돔(819)은, 광이 기판들(201)의 복사 가열을 위해 통과하게 허용하도록, 고순도 석영과 같은 투명한 재료로 제조될 수 있다. 복사 가열은, 하부 돔(819) 아래에 배치된, 복수의 내측 램프들(821A) 및 외측 램프들(821B)에 의해 제공될 수 있으며, 리플렉터들(866)은, 내측 및 외측 램프들(821A 및 821B)에 의해 제공되는 복사 에너지에 대한 MOCVD 챔버(801)의 노출을 제어하는 것을 돕기 위해 사용될 수 있다. 램프들의 부가적인 링들은 또한, 기판들(201)의 더 미세한 온도 제어를 위해 사용될 수 있다.
퍼지 가스는, 챔버 바디의 바닥 근처에 그리고 캐리어(451) 아래에 배치된 유입구 포트들 또는 튜브들(미도시)로부터 그리고/또는 샤워헤드 어셈블리(804)로부터 MOCVD 챔버(801) 내로 전달될 수 있다. 퍼지 가스는, MOCVD 챔버(801)의 하부 볼륨(810)에 진입하고, 배기 링(820) 및 캐리어(451)를 지나 상방으로, 그리고 환형(annular) 배기 채널(805) 주위에 배치된 다수의 배기 포트들(809) 내로 유동한다. 배기 도관(806)은, 진공 펌프(807)를 포함하는 진공 시스템(812)에 환형 배기 채널(805)을 연결시킨다. MOCVD 챔버(801) 압력은, 배기 가스들이 환형 배기 채널로부터 인입되는(drawn) 레이트를 제어하는 밸브 시스템을 사용하여 제어될 수 있다. MOCVD 챔버의 다른 양상들은, 발명의 명칭이 "CVD 장치(CVD APPARATUS)"인 2008년 1월 31일자로 출원된 미국 특허 출원 일련 번호 제 12/023,520 호에서 설명되며, 그 미국 특허 출원은 그 전체가 인용에 의해 포함된다.
원하는 경우에, 세정 가스(예컨대, 염소 가스와 같은 할로겐 함유 가스)는, 프로세싱 영역(808) 근처에 배치된 유입구 포트들 또는 튜브들(미도시)로부터 그리고/또는 샤워헤드 어셈블리(804)로부터 MOCVD 챔버(801) 내로 전달될 수 있다. 세정 가스는, 샤워헤드 어셈블리(804) 및 기판 지지부(814)와 같은 챔버 컴포넌트들로부터 증착물들을 제거하기 위해 MOCVD 챔버(801)의 프로세싱 영역(808)에 진입하며, 환형 배기 채널(805) 주위에 배치된 다수의 배기 포트들(809)을 통해 MOCVD 챔버(801)를 빠져나간다.
화학물질 전달 모듈(816)은 일반적으로, 전구체들 및/또는 화학물질들을 MOCVD 챔버(801)로 공급한다. 반응성 가스들, 캐리어 가스들, 퍼지 가스들, 및 세정 가스들은 화학물질 전달 모듈(816)로부터 공급 라인들을 통해 그리고 챔버(801) 내로 공급된다. 가스들은, 공급 라인들을 통해 그리고 가스 혼합 박스 내로 공급될 수 있으며, 가스 혼합 박스에서, 이들은 함께 혼합되고 샤워헤드 어셈블리(804)로 전달된다. 프로세스 계획(scheme)에 따라, MOCVD 챔버(801)로 전달되는 전구체 및/또는 화학물질들 중 일부는 가스 대신에 액체일 수 있다. 액체 화학물질들이 사용되는 경우에, 화학물질 전달 모듈은, 액체를 기화시키기 위해 액체 주입 시스템 또는 다른 적절한 메커니즘(예컨대, 버블러(bubbler) 또는 기화기)을 포함한다. 액체들로부터의 증기는 캐리어 가스와 혼합될 수 있다.
원격 플라즈마 시스템(826)은, 챔버 세정, 또는 프로세스 기판으로부터 잔여물 또는 결함 층들을 에칭하는 것과 같은 선택된 애플리케이션들을 위해 플라즈마를 생성할 수 있다. 입력 라인을 통해 공급된 전구체들로부터 원격 플라즈마 시스템(826)에서 생성된 플라즈마 종들은, 샤워헤드 어셈블리(804)를 통해 MOCVD 챔버(801)에서의 프로세싱 영역(808)으로의 확산(dispersion)을 위해 도관(804D)을 통해 전달된다. 세정 애플리케이션을 위한 전구체 가스들은, 염소 함유 가스들, 불소 함유 가스들, 요오드 함유 가스들, 브롬 함유 가스들, 질소 함유 가스들, 및/또는 다른 적합한 반응성 엘리먼트들을 포함할 수 있다. 원격 플라즈마 시스템(826)은 또한, 층 증착 프로세스 동안에 원격 플라즈마 시스템(826) 내로 적절한 증착 전구체 가스들을 유동시킴으로써 CVD 층들을 증착하도록 적응될 수 있다. 일 예에서, 원격 플라즈마 시스템(826)은 활성 질소 종들을 프로세싱 영역(808)으로 전달하기 위해 사용된다.
MOCVD 챔버(801) 및 주변의 구조들, 예컨대 배기 통로의 벽들의 온도는, 열 교환기를 형성하도록 챔버의 벽들에서의 채널들(미도시)을 통해 열-교환 액체를 순환시킴으로써 추가로 제어될 수 있다. 샤워헤드 어셈블리(804)는 또한, 부가적인 열 교환기를 형성하도록 열 교환 통로들(미도시)을 가질 수 있다. 전형적인 열-교환 유체들은, 물-기반 에틸렌 글리콜 혼합물들, 오일-기반 열 전달 유체들, 또는 유사한 유체들을 포함한다. 샤워헤드 어셈블리(804)의 가열은, 프로세스 가스들의 휘발성 생성물들 및 다른 오염물들이 배기 도관(806)의 벽들 상에 응축되고 가스가 유동하지 않는 기간들 동안에 프로세싱 챔버 내로 다시 이동하였다면 프로세스를 오염시킬 수 있는, 프로세스 가스들의 휘발성 생성물들 및 다른 오염물들의 제거를 개선하고, 바람직하지 않은 반응물 생성물들의 응축을 감소시킬 수 있거나 또는 제거할 수 있는 부가적인 열 교환기(들)를 사용하여 수행될 수 있다.
위에서 간단히 논의된 바와 같이, 도 3은, 전력 디바이스, 발광 다이오드(LED), 레이저 다이오드(LD), 또는 다른 유용한 디바이스와 같은 유용한 반도체 디바이스를 형성하기 위해 사용되는, 고품질 버퍼 층들 및 III-V 족 층들을 형성하기 위해 사용되는 프로세싱 시퀀스(300)를 예시한다. 일 예에서, 도 3에 도시된 프로세싱 시퀀스(300)는, 여기에서 논의되는 도 2에 도시된 층들 중 하나 또는 그 초과를 형성하기 위해 사용된다. 프로세싱 시퀀스(300)가 프로세싱 시스템(600)을 사용하여 형성되는 것으로 주로 논의되지만, 이러한 시스템 및 예시된 챔버 구성들은 여기에서 설명되는 본 발명의 범위에 대한 제한인 것으로 의도되지 않는다. 도 3에 예시된 단계들의 수 및 시퀀스가 또한, 여기에서 설명되는 본 발명의 범위에 대한 제한인 것으로 의도되지 않는데, 이는, 여기에서 설명되는 본 발명의 기본적인 범위로부터 벗어나지 않으면서, 하나 또는 그 초과의 단계들이 부가될 수 있고, 그리고/또는 삭제될 수 있고, 그리고/또는 재순서화될 수 있기 때문이다.
단계(302)에서, 도 3에 도시된 바와 같이, 프로세싱 시스템(600)의 클러스터 툴(401)과 같은 클러스터 툴은 그 내부에서의 프로세싱을 위한 하나 또는 그 초과의 기판들(201)을 수용한다. 일 실시예에서, 기판들은, 복수의 기판들(201)을 보유하도록 구성된 웨이퍼 카세트에서 클러스터 툴로 전달된다. 일 구성에서, 단계(302)는 또한, 웨이퍼 카세트로부터 기판들을 제거하고, 그 후에, 이송된 기판들이 그 후 캐리어(451) 상에 위치될 수 있도록 기판들을 캐리어 로딩 모듈(예컨대, 참조 번호(404A))로 이송하는 프로세스를 포함한다. 캐리어(451)가 그 위에 로딩된 원하는 수의 기판들을 가지면, 로봇들 중 하나는 캐리어 로등 모듈로부터 캐리어 및 기판들을 이송하고, 이들을 프로세싱을 위해 클러스터 툴의 로드 락 챔버 내로 로딩한다. 프로세싱 시퀀스(300)의 몇몇 구성들에서, 단계(302)는, 기판들을 로드 락 챔버(450, 452)(도 4) 내로 이송하고, 로드 락 챔버(450, 452)에서의 압력을 바람직한 압력으로 감소시키며, 그 후에, 기판들을, 아래에서 논의되는 단계(304)를 수행하도록 적응된 프로세싱 챔버 내로 수용 및 이송하는 단계들을 더 포함한다.
다음으로, 단계(304)에서, 전처리(pretreatment) 프로세스 또는 처리가, 아래에서 논의되는 프로세스 단계(310)를 수행하기 전에, 기판들(201)의 적어도 하나의 표면으로부터 임의의 바람직하지 않은 재료를 제거하기 위해 기판들 중 하나 또는 그 초과에 대해 수행된다. 일 실시예에서, 기판의 전처리는, 그 기판으로부터 표면 오염(예컨대, 산화물들, 유기 재료들, 다른 오염물들) 및 입자들을 제거하고, 뿐만 아니라, 이들 고 결정질 구조들에서 고 결정질 배향을 갖는 III-V 족 층들 및 고품질 버퍼 층들의 수용을 위해 그 기판의 표면을 준비하기 위해 수행된다. 일 그러한 실시예에서, 기판 전처리는, 대략 1 나노미터(AFM에 기초한 rms(root mean square), 및 (002) XRD FWHM < 50 arcsec) 미만의 표면 거칠기를 갖는 III-V 족 층들 및 고품질 버퍼 층들의 증착을 가능하게 한다. 부가적으로, 런 간(run to run), 웨이퍼 간(wafer to wafer) 고 프로세스 반복성, 및 기판 상에 형성된 층(들) 내의 고 균일성이 또한 달성될 수 있다. 일 실시예에서, 기판 전처리는, 클러스터 툴(401)에서 발견되는 프로세싱 챔버들(458 내지 472) 중 하나 내에서 인-시튜(in-situ)로 수행된다. 일 구성에서, 프로세싱 챔버들(458 내지 472)은 SiCoNiTM 또는 AktivTM 사전-세정 챔버를 포함할 수 있으며, 이들 양자 모두는 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능하다.
일 실시예에서, 단계(304)에서 수행되는 전처리 프로세스(들)는, 기판들(201)을 클러스터 툴(401)에서의 프로세싱 챔버(예컨대, 챔버(501)) 내로 로딩하고, 그 후에, 기판들의 표면 근처에 플라즈마를 생성하기 위해 프로세싱 챔버의 페데스탈 전극(예컨대, 전극(507))으로 바이어스(전압)를 인가하는 것을 포함한다. 생성된 플라즈마는 일반적으로, 아르곤, 질소, 수소, 및/또는 다른 가스들을 포함하는 가스 혼합물로부터 형성된 이온들 및 라디칼들을 포함한다. 생성된 가스 이온들 및 라디칼들은 기판 표면과 상호작용하고 그리고/또는 기판 표면에 충격을 가하여(bombard), 임의의 기판 표면 오염 및 입자들을 제거한다. 몇몇 경우들에서, 증착된 에피택셜 막 층들(예컨대, AlN 함유 버퍼)과 기판들 사이의 더 우수한 결정 정렬을 보장하도록, 기판의 표면 구조를 변경하기 위해 플라즈마가 사용된다. 플라즈마 밀도, 바이어스, 및 처리 시간은, 효과적으로 처리하도록 그러나 기판 표면을 손상시키지 않도록 조정될 수 있다. 일 예에서, 기판들(201) 및 캐리어(451)가 위에 배치된 기판 지지부에 배치된 전극에, 대략 1 초 내지 15 분 동안, 대략 -5 V 내지 -1000 V의 바이어스가 인가된다. 프로세싱 챔버의 프로세싱 영역으로 전달되는 전력의 주파수는 약 10 kHz 내지 100 MHz에서 변동될 수 있고, 전력 레벨은 약 1 내지 10 kW일 수 있다. 전처리 프로세스 단계 또는 단계(304) 동안의 기판 지지 표면의 온도는 약 -50 ℃ 내지 1000 ℃의 범위를 가질 수 있다. 위으 전처리 프로세스들의 조합이, 런 간 그리고 웨이퍼 간으로부터 반복적으로 형성될 수 있는 고품질 버퍼 층을 형성하기 위해 사용될 수 있다는 것이 생각된다. 일 실시예에서, 위에서 설명된 기판 전처리 프로세스의 사용은, 통상적으로(conventionally) 준비된 기판들에서 종종 관찰되는 AlN 및 GaN 결정 품질의 변동들을 감소시키거나 또는 제거한다. 일 구성에서, 단계(304)는, 도 6에 도시된 클러스터 툴(401)에서의, 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 SiCoNiTM 또는 AktivTM 사전-세정 챔버를 포함하는 프로세싱 챔버들(462, 464) 중 하나에서 수행된다.
프로세싱 시퀀스(300)의 일 실시예에서, 단계(304)에서, 프로세스 단계(302)를 수행하기 전에, 기판들(201)의 표면들로부터 임의의 바람직하지 않은 재료를 제거하기 위해 습식 세정 프로세스가 수행된다. 일 실시예에서, 세정 프로세스는, 기판들이 세정 용액에 노출되는 배치 세정 프로세스를 사용하여 수행될 수 있다. 일 실시예에서, 기판들은, 스프레잉(spraying), 플러딩(flooding), 침지(immersing), 또는 다른 적합한 기법에 의해 웨팅된다(wetted). 세정 용액은, SC1 세정 용액, SC2 세정 용액, HF-라스트 타입 세정 용액(HF-last type cleaning solution), 오존수(ozonated water) 용액, 불화수소산(HF), 및 과산화수소(H2O2) 용액, 또는 다른 적합하고 비용 효율적인 세정 용액일 수 있다.
다음으로, 단계(306)에서, 기판들(201)은, 기판들(201)의 표면으로부터 임의의 원하지 않는 흡착된 물 또는 다른 기화가능한 오염물들을 제거하기 위해 탈기된다(degassed). 일반적으로, 단계(306)에서 수행되는 탈기 프로세스는, 기판들의 표면으로부터 제거될 오염물들에 대해 낮은 부분 압력을 갖는 환경 내에 기판들이 배치되면서, 기판들(201)을 원하는 온도로 가열하는 것을 포함할 것이다. 일 예에서, 기판들(201)은 고순도 비활성 가스 대기 또는 진공 환경에 배치된다. 또 다른 예에서, 기판들은, 약 1 mTorr 미만의 진공 압력에서 유지되는 환경에서 약 100 ℃ 초과의 온도로 가열된다. 다른 예에서, 기판들은, 약 10 Torr 미만의 진공 압력에서 유지되는 환경에서 약 300 ℃ 초과의 온도로 가열된다. 다른 예에서, 기판들은, 아래에서 논의되는 단계(310) 동안에 사용되는 프로세싱 압력 미만 또는 그 프로세싱 압력과 동등한 진공 압력, 그리고 단계(310)에서 사용되는 프로세싱 온도만큼 높은 온도로 가열된다. 도 3에 예시된 바와 같이, 몇몇 실시예들에서, 단계(306)는 단계(304) 전에 또는 후에 수행될 수 있다. 일 구성에서, 단계(306)는, 도 6에 도시된 클러스터 툴(401)에서의 탈기 챔버들(458, 460)의 프로세싱 영역에 배치된 가열된 정전 척(미도시)을 사용하여 수행된다. 일 예에서, 기판은, 비활성 가스, N2, HCl, HF, NH4F, 또는 H2, 및/또는 이들의 조합들과 같은 바람직한 프로세싱 가스를 포함하는 환경에서 높은 온도로 급속 열 프로세싱(RTP) 챔버에서 열적으로 탈기된다.
다음으로, 단계(308)에서, 기판들(201)은, 단계(301)가 기판들(201)에 대해 수행되기 전에 바람직한 온도로 선택적으로 예열된다(preheated). 일반적으로, 단계(308)에서 수행되는 예열 프로세스는, 단계(310) 동안에 사용되는 프로세싱 온도 근처의 온도로 기판들(201)을 가열하는 것을 포함할 것이다. 몇몇 구성들에서, 단계(308)는, 단계(310)가 수행되는 프로세싱 챔버 이외의, 프로세싱 시스템(600)에서의 프로세싱 챔버들(454 내지 472) 중 하나에서 수행될 수 있다.
다음으로, 기판들(201)은, 단계(310) 또는 버퍼 층 형성 단계가 기판들(201)의 표면 상에 고품질 PVD 증착된 버퍼 층(213)을 증착하기 위해 사용되는 프로세싱 챔버들(466 내지 472) 중 하나로 운송된다. 일 실시예에서, 프로세싱 챔버(466, 468, 470, 472)는 도 5에 예시된 프로세싱 챔버(500)와 유사한 물리 기상 증착 챔버이다. 여기에서 설명되는 프로세스들은 PVD 프로세스에 의해 형성되는 에피택셜 성장되는 AlN 버퍼를 형성하기 위해 사용될 수 있다. 여기에서 설명되는 프로세스들은, 막 응력을 감소시키고, 막 크래킹을 제거하고, 적절한 프로세싱 온도들에서 PVD 증착된 AlN 막들의 구조적인 품질을 개선하기 위해 사용되었다. 여기에서 설명되는 PVD 증착 프로세스들은, 종래의 MOCVD 또는 HVPE 버퍼 층 형성 프로세스들에 비해 상당한 이점들을 갖는 것으로 생각되며, 이는, 여기에서 설명되는 PVD 증착 프로세스들은, MOCVD 챔버 컨디셔닝 단계들에 대한 필요성, 프로세스 단계들 사이의 온도 램핑에 대한 필요성, 및 과도한 세정 프로세스들에 대한 필요성을 감소시킴으로써, 훨씬 더 낮은 비용 및 더 빠른 레이트로 고품질 에피택셜 층(예컨대, GaN)이 형성 또는 성장되게 허용하기 때문이다. 일 그러한 실시예에서, 여기에서 설명되는 프로세스들의 조합을 사용하여, 대략 40 나노미터의 두께에서 고 재료 품질((002) 피크의 FWHM ~ 50 arcsec)을 갖고 평활한 표면(예컨대, 0.5 나노미터, RMS)을 갖는 PVD AlN 막이 증착된다. 일 예에서, PVD 증착된 AlN 막의 거칠기가 실리콘 기판 상의 증착된 층의 두께의 약 3 % 미만인 한편, 또한, 3600 arcsec 미만의 (002) 피크의 FWHM을 달성한다. 다른 예에서, PVD 증착된 AlN 막의 거칠기는 사파이어 기판 상에서 약 1 nm 미만인 한편, 또한, 200 arcsec 미만의 (002) 피크의 FWHM을 달성한다. 특정 실시예에서, 그 후에, 고품질 GaN 막((002)의 FWHM < 200 arcsec 및 (102) < 300 arcsec)이 증착된 PVD 증착된 버퍼 층(213) 상에 형성될 수 있다. 그에 따라, 종종 시간 소모적이고 복잡한 MOCVD GaN 버퍼 층에 대한 필요성이 따라서 제거된다.
일 예에서, PVD 챔버는, 약 20 내지 약 200 ℃의 범위에서의 낮은 또는 약간 높은 온도에서 알루미늄 질화물 층을 형성하는 비-반응성 스퍼터링 프로세스를 수행하도록 적응된다. 다른 예에서, 프로세싱 챔버는, 대략 200 내지 1200 ℃의 범위에서의 고온에서 알루미늄 질화물 층을 형성하는 비-반응성 스퍼터링 프로세스를 수행하도록 적응된다.
다른 실시예에서, 버퍼 층(213)은, 위에서 논의된 프로세싱 챔버(500)와 유사한 프로세싱 챔버에서 수행되는 반응성 스퍼터링 프로세스의 사용에 의해 형성된다. 일 실시예에서, AlN 함유 버퍼 층(213)은, 비활성 가스(예컨대, 아르곤) 및 질소 함유 가스를 포함하는 플라즈마를 사용하여 스퍼터링되는 실질적으로 순수한 알루미늄 타겟을 사용하여 형성된다. 일 실시예에서, 하나 또는 그 초과의 에피택시-준비 기판들이 프로세싱 챔버(500) 내로 로딩된 후에, Al-함유 타겟 및 질소-함유 프로세스 가스를 사용하여, 그 위에 연속적인 AlN 막이 증착된다. 일 예에서, 타겟은, 실질적으로 순수한 알루미늄, 알루미늄 함유 합금, 알루미늄 함유 화합물, 예컨대 AlN, AlGa, Al2O3, 등, 및 층 양립가능성 및 디바이스 성능을 개선하도록 II/IV/VI 엘리먼트들이 도핑된 알루미늄 함유 타겟으로 이루어지지만 이에 제한되지는 않는 그룹으로부터 선택된 재료로 형성될 수 있다. 스퍼터링 프로세스 동안에 사용되는 프로세스 가스는, 질소 함유 가스, 예컨대 질소(N2), 암모니아(NH3), 질소 이산화물(NO2), 산화질소(nitric oxide)(NO) 등, 및 비활성 가스, 예컨대 아르곤(Ar), 네온(Ne), 크립톤(Kr) 등을 포함할 수 있지만 이에 제한되지는 않는다. 일 실시예에서, 도펀트 원자들은, 예컨대 막을 그 위에 III 족-질화물 디바이스들을 제제하기에 적합하게 하도록, 증착된 PVD AlN 버퍼 층의 전기, 기계, 및 광학 특성들을 조정하기 위해, 생성된 스퍼터링 플라즈마 내로의 도펀트 가스의 전달, 및/또는 도핑된 타겟 재료의 사용을 통해, 증착된 막에 부가될 수 있다. 일 실시예에서, AlN 버퍼 층의 두께는 약 1 내지 약 1000 나노미터(nm)이다.
일 실시예에서, 단계(310) 동안에, 전력 소스(593)는, 약 제로(zero) 내지 약 60 MHz의 주파수로 그리고 0 내지 20 kW의 범위에서의 전력 레벨로, 타겟(503) 및 프로세싱 영역(540)으로 RF 전력을 전달하도록 구성된다. 또 다른 실시예에서, 전력 소스(593)는, 약 1 내지 약 99 %의 범위에서의 듀티 사이클로 그리고 약 1 내지 약 500 KHz의 펄스 주파수로, 0 내지 50 kW의 범위 내의 펄스형 DC 전력 신호를 타겟(503)에 전달하도록 구성된다. 일 예에서, 전력 소스(593)는, 약 40 내지 약 99 %의 범위에서의 듀티 사이클로 그리고 약 1 내지 약 500 KHz의 펄스 주파수로, 0 내지 50 kW의 범위 내의 펄스형 DC 전력 신호를 타겟(503)에 전달하도록 구성된다. 다른 실시예에서, 전력 소스(593)는 0 내지 50 kW의 전력 범위 내의 일정한 DC 전력 신호를 전달하도록 구성된다. 또 다른 실시예에서, 전력 소스(593)는, 펄스형 DC, 펄스형 RF, 일정한 RF 및 일정한 DC 전력으로 구성된 그룹으로부터 선택된 적어도 2개의 파형들의 조합을 전달하도록 구성된다. 단계(310)의 일 구성에서, RF 전력 공급부를 우선 턴 온시키는 것, DC 전력 공급부를 우선 턴 온시키는 것, 또는 단계(310)에서 수행되는 프로세스 레시피 단계들의 시작에서 동시에 RF 및 DC를 턴 온시키는 것을 포함하는 시작 시퀀스가 AlN 버퍼 층을 증착하기 위해 사용된다. 몇몇 구성들에서, 질소 함유 가스의 유동의 개시는, 전력 공급부가 턴 온되기 전에, 턴 온된 후에, 또는 턴 온과 동시에 수행될 수 있다. 몇몇 구성들에서, 단계(310)의 프로세스 종료 부분은, RF 전력 공급부를 우선 턴 오프시키는 것, (펄스형) DC 전력 공급부를 우선 턴 오프시키는 것, 또는 RF 및 DC를 동시에 턴 오프시키는 것을 포함하며, 프로세스 가스 턴 오프는, 표면 모폴로지(morphology), 화학량론(stoichiometry) 및 AlN 성장의 타입(예컨대, N-면 성장)을 제어하기 위해, 전력 공급부가 턴 오프되기 전에, 턴 오프와 동시에, 또는 턴 오프된 후에 수행된다.
몇몇 실시예들에서, 전력 소스(530) 및 페데스탈 전극(532)에 연결된 캐패시터 튜너(미도시)는, 프로세싱되는 기판들의 플로팅 전위를 변경하고, 프로세싱 챔버의 프로세싱 영역에서의 반응물들의 이동성(mobility), 플라즈마 에너지, 및 가스 이온화 비율을 변화시키기 위해 조정된다. 캐패시터 튜너는 또한, 막 응력을 제어하고, 그리고/또는 증착된 버퍼 층의 표면 모폴로지 및 결정 품질을 개선하고, 그리고/또는 증착 레이트를 증가시키도록 적응된다. 일 예에서, 캐패시터 튜너는, 약 -1000 볼트 내지 약 +500 볼트의 바이어스가 기판 지지 어셈블리(513)에 인가되도록 조정된다. 몇몇 구성들에서, 정전 척(512)과 같은 가열된 기판 지지부는, 약 200 내지 약 550 ℃, 550 내지 1000 ℃, 및 1000 내지 1401 ℃의 범위를 가질 수 있는, 기판들의 표면 온도 및 이들의 열 균일성을 제어하기 위해 사용된다. 증착 프로세스는 약 0.1 내지 약 200 mTorr의 압력에서 수행된다. PVD 증착된 AlN 버퍼 층의 증착 레이트는 초당 약 0.2 옹스트롬(Å/s) 내지 약 20 (Å/s)이다.
단계(310)의 일 실시예에서, 플라즈마는, 페데스탈 전극(532)을 RF 바이어싱함으로써 타겟(503)을 바이어싱하기 전에, 기판 지지 어셈블리(513) 상에 배치된 기판들(201)의 표면 위에 형성된다. 일 구성에서, 형성된 플라즈마는, 실질적으로 순수한 질소 함유 플라즈마, 또는 단계(310)의 AlN 증착 파트 동안에 형성된 플라즈마의 질소 농도와 동등한 또는 그보다 더 큰 질소 농도를 갖는 플라즈마를 포함한다. AlN 층을 형성하기 전에 인-시튜 질소 플라즈마에, 사파이어를 포함하는 기판들을 노출시키는 것은 그 위의 고품질 AlN 막의 형성을 촉진한다고 생각된다. 일 실시예에서, 인-시튜 플라즈마는, 바이어스가 타겟(503)에 인가되기 전에, 1 내지 10 초와 같은 시간 기간 동안 5 내지 500 볼트의 전위를 형성하도록 페데스탈 전극(532)을 RF 바이어싱함으로써 형성된다.
일 구성에서, 단계(310) 동안에 수행되는 프로세스들은, -10 Gpa 내지 약 10 Gpa의 범위에서의 타겟된 압축 또는 인장 응력을 갖는 막을 달성하기 위해, 증착된 막을 엔지니어링(engineering)하는 응력을 포함할 수 있다. 막 응력은, 증착 프로세스의 하나 또는 그 초과의 페이즈들 동안에, 프로세싱 온도, 증착 레이트, 타겟에 전달되는 전력, 프로세싱 압력, 가스 유량, 및 기판 바이어스를 제어함으로써 조정될 수 있다. 추가로, 몇몇 구성들에서, PVD 프로세스 변수들은, 대략 70 % 내지 100 %의 범위에서의 밀도를 갖는 AlN 버퍼 층을 증착하도록 조정된다. 증착된 PVD AlN 막은 또한, 여기에서 언급된 단계(310) 동안에 수행되는 프로세스들 전반에 걸쳐 제조되는 상이한 조성 또는 특성들을 갖는, 단일 층, 다수의 층들, 또는 교번하는 층들의 다수의 쌍들로서 형성될 수 있다.
몇몇 실시예들에서, 스퍼터링 전력, 기판 바이어스, 가스 유동, 압력, 온도, 및 가스 조성(예컨대, III/V 족 비율)은, 성장하는 막의 표면이 질소 원자들로 종단되도록 성장하는 막의 표면을 만들도록 조정되며, 이는, 고품질 버퍼 층(213)의 수직 및/또는 측면(lateral) 성장을 촉진하고, 개선된 결정 결함 품질을 촉진하는 것으로 발견되었다. 도 9a 및 도 9b는, 상이한 타입들의 고품질 AlN 버퍼 층이 위에 각각 형성된 기판(201)의 표면의 개략도들이다. 도 9a는, 반응성 타입 AlN PVD 증착 프로세스 동안에 단계(310)의 프로세싱 파라미터들을 조정함으로써, 실리콘 함유 표면(예컨대, <111> 또는 <110> 배향된 표면)과 같은 기판의 표면 상에 증착된 결정질 AlN 함유 층의 질소-면(nitrogen-face) 또는 N-면(N-face) 성장을 포함하는 기판(201)의 부분을 예시한다. 도 9b는, 반응성 타입 AlN PVD 증착 프로세스 동안에 단계(310)의 프로세싱 파라미터들을 조정함으로써, 실리콘 함유 표면(예컨대, <111> 또는 <110> 배향된 표면)과 같은 기판의 표면 상에 증착된 결정질 AlN 함유 층의 알루미늄-면(aluminum-face) 또는 Al-면(Al-face) 성장을 포함하는 기판(201)의 부분을 예시한다. 층의 노출된 표면에서 주로 질소 종단을 갖는 층들의 성장은 여기에서 N-면 성장 층들이라고 지칭될 것이다. RF, 펄스형 RF, 또는 저전력 펄스형 DC 바이어스(예컨대, < 2 kW) 신호를 타겟(503)에 전달하는 것은, N-면 타입 AlN 버퍼 층의 성장을 촉진할 것이라고 생각된다. 타겟으로의 고전력 펄스형 DC 및 일정한 DC 전력 신호의 전달이, 층의 노출된 표면에서 알루미늄 종단을 갖는 층들의 성장 및 형성을 촉진할 것이며, 이는 여기에서 AlN 버퍼 층의 Al-면 성장이라고 지칭된다. 증착 프로세스 동안에 기판 플로팅 전위를 조정하는 것은, 증착된 AlN 버퍼 층의 예컨대 N-면 또는 Al-면 성장과 같은 성장의 타입을 조정하기 위해 사용될 수 있는 것으로 발견되었다. 일 예에서, 약 5 볼트 내지 약 500 볼트의 기판 전위를 형성하는 것은, 2 kW의 RF PVD 프로세스를 사용하여 N-면 타입 AlN 버퍼 층을 신뢰성 있게 형성하기 위해 사용될 수 있고, 약 -300 볼트 내지 약 -1 볼트의 기판 전위는, 6 kW의 펄스형 DC PVD 프로세스를 사용하여 Al-면 타입 AlN 버퍼 층을 신뢰성 있게 형성할 것이다. 또한, 수산화 칼륨(potassium hydroxide)(KOH) 습식 케미스트리(chemistry)(예컨대, 5 몰 수성(aqueous) KOH 용액)를 사용하여 증착된 AlN 층의 표면을 에칭하여, 증착된 막의 성장의 타입이 결정될 수 있다는 것이 발견되었는데, 이는, Al-면 성장은 이러한 케미스트리에서 비교적 에칭되지 않고 유지될 것인 한편(예컨대 > 30 초), N-면 성장 막은 급속하게 에칭될 것이기(예컨대, < 30 초에서 500 Å) 때문이다.
추가로, 증착 레이트가 N-면 알루미늄 질화물 막에 비해 Al-면을 형성하는 능력에 대해 영향을 미치는 것으로 발견되었다. 일 예에서, Al-면 성장은 > 7 Å/초의 증착 레이트를 전달하도록 프로세스 변수들(예컨대, 전력, 압력)을 조정함으로써 촉진되고, N-면 성장은, < 2 Å/초의 증착 레이트를 전달하도록 프로세스 변수들을 조정함으로써 촉진된다.
또한, 성장하는 버퍼 층(213) 내로의 불순물 혼입(incorporation) 및 챔버 컨디셔닝을 제어함으로써, N-면 또는 Al-면 성장이 반복적으로 그리고 신뢰성 있게 형성될 수 있다고 생각된다. 따라서, 단계들(304 및 306) 동안에 수행되는 프로세스들은, 단계(310) 동안에 수행되는 프로세스들과 조합되어, 증착되는 AlN 버퍼 층의 N-면 또는 Al-면 성장에 영향을 미친다고 생각되고, 영향을 미친다고 발견되었다. Al-면 또는 N-면 증착된 AlN 버퍼 층의 우선적인(preferential) 성장은, 아래에서 논의되는(예컨대, 단계들(312 내지 314)) 후속적으로 증착되는 층들의 다양한 성장 모드들에 영향을 미치는 것으로 발견되었다. 후속적으로 증착되는 층(들)의 성장 모드는 이들의 물리적, 화학적, 및 전기적 표면 및 벌크(bulk) 특성들에 영향을 미칠 수 있다. 몇몇 경우들에서, 기판 표면 상의 N-면 또는 Al-면 타입 PVD 증착된 AlN 버퍼 층(213)은, 그 위의 후속적으로 증착되는 층(예컨대, GaN)의 3차원(3-D) 성장을 촉진하기 위해(예컨대, 아일랜드 타입 성장을 원조함(favor)) 사용된다. 다른 경우들에서, 기판 표면 상의 N-면 또는 Al-면 타입 증착된 AlN 버퍼 층(213)은, 그 위의 후속적으로 증착되는 막(예컨대, GaN)의 2차원(2-D) 성장을 촉진하기 위해(예컨대, 초기 형성된 핵들의 급속한 합체(coalescence)를 원조하고, 그 후에 막이 성장됨에 따라 평활한 표면을 형성함) 사용된다. 일 예에서, LED 디바이스를 형성하는 경우에 PVD 증착된 AlN 버퍼 층(213) 상에 고품질 GaN 층을 형성하는 경우, Al-면 성장이 바람직하다. 고품질 Ga-면 GaN은 AlN 버퍼 층의 Al-면 성장을 사요하여 형성될 수 있다는 것이 발견되었다. 이러한 경우에, Al-면 성장은 실리콘 및 사파이어 기판들 상에 바람직한 막 모폴로지 및 결정질 결함 밀도를 제공한다. 특정 타입들의 전력 디바이스들에서 PVD 증착된 AlN 버퍼 층(213) 상에 고품질 GaN 층을 형성하는 경우에, N-면 성장이 바람직할 수 있다는 것이 발견되었다.
일 예에서, N-면 AlN 버퍼 층은, 약 < 0.5 Å/sec의 증착 레이트를 달성하기 위해, 1500 내지 2500 kWatts의 DC 전력 및 1500 내지 2500 kWatts의 RF 전력을 전달하는 RF 플러스 DC 전력 신호를 타겟에 전달하고, 15 내지 25 mTorr의 프로세싱 압력을 제어하고, 프로세싱 영역에서 30 내지 60 %의 N2의 아르곤 대 질소 가스 조성 비율을 조정하며, 약 450 내지 550 ℃로 기판들의 온도를 제어함으로써 형성되었다.
교대로, 일 예에서, Al-면 타입 AlN 버퍼 층은, 약 > 7 Å/sec의 증착 레이트를 달성하기 위해, 80 내지 95 %의 범위에서의 듀티 사이클로 그리고 약 5 내지 200 kHz의 펄스 주파수로 4 내지 6 kW를 포함하는 펄스형 DC 전력 신호를 타겟에 전달하고, < 10 mTorr의 프로세싱 압력을 제어하고, 프로세싱 영역에서 60 내지 95 %의 아르곤 대 질소 가스 조성 비율을 조정하며, 약 350 내지 450 ℃로 기판들의 온도를 제어함으로써 형성되었다.
단계(310)의 일 실시예에서, 열 처리(RTP, 레이저 어닐링, 고온 베이크 등) 또는 화학 처리(용액 기반, 가스 기반 등)를 포함하는 부가적인 인-시튜 및/또는 엑스-시튜 사후 프로세싱 처리가 증착된 버퍼 층의 특성들을 개선하기 위해 사용된다. 증착 온도와 무관하게, 디바이스(200)와 같은 디바이스에서의 포함에 대해 적합한 PVD 증착된 알루미늄 질화물 층은, 어떤 포인트에서, 필요한 재료 특성들(예컨대, 적절한 결함 밀도, 결정 입자 사이즈, 결정 배향, 응력 등)을 달성하기 위해, 대략 401 내지 1401 ℃(예컨대, 약 900 ℃)의 범위에서의 고온에 노출될 필요가 있을 수 있는 경우가 존재할 수 있다. 본 발명의 실시예에 따르면, 알루미늄 질화물 층 상의 부가적인 층들의 제조 전에, 급속 열 프로세싱(RTP) 프로세스가 PVD 증착된 알루미늄 질화물 버퍼 층에 대해 수행된다. 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 RTP 챔버는, 위에서 논의된 클러스터 툴들(401 및 601)에 포함된 프로세싱 챔버 중 하나에 배치될 수 있다. 그러나, 일 예에서, RTP 프로세스는 PVD 챔버에서 수행된다. 다른 예에서, 레이저 어닐링 능력이, 디바이스(200)를 위한 위에서 설명된 제조 프로세스와 연관된다.
다른 실시예에서, 단계(310)를 수행하기 전에, Al, AlOx, SiNx, ZnO, ZnS, ZrN, TiN 등으로 구성될 수 있는 사전-시딩(pre-seeding) 층은, 기판 표면을 보호하고, 더 높은 품질의 AlN의 증착을 가능하게 하고, 그리고/또는 그 기판의 막 품질에 영향을 미치지 않으면서 PVD AlN 버퍼 층 증착 프로세스 동안에 빠른 증착 레이트가 사용되게 허용하기 위해, 기판들의 표면 상에 증착된다. 일 예에서, 사전-시딩 층은, 감소된 전력, 압력 또는 가스 유동을 사용하고 그리고/또는 질소-함유 가스를 유동시키지 않으면서 포이즌드(poisoned) Al 함유 타겟의 부분을 스퍼터링함으로써 형성될 수 있다.
다음으로, 단계(312)에서, 층들(214 및 216)과 같은 하나 또는 그 초과의 디바이스 층들은, 프로세싱 시스템(600)에서 발견되는 클러스터 툴(601)에 배치된 프로세싱 챔버들(602a 내지 602c) 중 하나를 사용하여 MOCVD 또는 HVPE 증착 프로세스를 사용함으로써, 형성된 버퍼 층(213) 위에 증착된다. 일 예에서, 층(214)은, MOCVD 프로세스를 사용하여 프로세싱 챔버(602a)에서 증착된 III 족-질화물 층(예컨대, AlN, InN, GaN, AlGaN, InGaN, 또는 InAlGaN 층)이고, 층(216)은, MOCVD 프로세스를 사용하여 프로세싱 챔버(602b)에서 증착된 다른 III 족-질화물 층(예컨대, AlGaN 층)이다. 일 예에서, 층(214)은 일반적으로, 버퍼 층(213) 상에 순차적으로 증착된, 도핑되지 않은 GaN(u-GaN) 층 및/또는 n-도핑된(n-GaN) 층을 포함한다. MOCVD 프로세스가 III 족-질화물 층들을 증착하기 위해 사용되는 경우에서, 트리-메틸갈륨(TMG), 암모니아(NH3), 및 질소(N2)와 같은 전구체 가스들이 프로세싱 챔버 내로 도입될 수 있는 한편, 캐리어(451) 상에 배치된 기판들(201)은 약 950 ℃ 내지 약 1050 ℃의 프로세싱 온도로 가열 및 유지되고, 챔버 압력은 약 50 Torr 내지 약 600 Torr의 압력으로 유지된다. u-GaN 층은 약 1 μm 내지 약 100 μm의 두께로 증착될 수 있고, 그리고/또는 n-GaN 층은 약 2 μm 내지 약 140 μm의 두께로 증착될 수 있다. 일 예에서, u-GaN/n-GaN 층은 약 4 μm의 총 두께로 증착된다. 몇몇 실시예들에서, u-GaN 층이 생략될 수 있다. 디바이스(200)의 파트를 형성하기 위해 사용될 수 있는 다른 GaN 및 AlGaN 증착 프로세스들은, 발명의 명칭이 "핵형성 층을 포함하는 화합물-질화물 구조의 형성(Forming A Compound-Nitride Structure That Includes A Nucleation Layer)"인 2011년 3월 21일자로 출원된 미국 특허 출원 일련 번호 제 13/052,861 호에서 추가로 설명되며, 그 미국 특허 출원은 그 전체가 인용에 의해 포함된다.
다음으로, 단계(314)에서, 제 1 전력 전극(218), 제 2 전력 전극(220), 및/또는 게이트 구조(222)와 같은 하나 또는 그 초과의 콘택(contact) 층들이, 단계(312) 동안에 형성되는 형성된 디바이스 층들 위에 증착된다. 일 실시예에서, 콘택 층들은, 프로세싱 시스템(600)에서 발견되는 클러스터 툴(401)에서의 프로세싱 챔버들(466 내지 472) 중 하나에서 수행되는 PVD 증착 프로세스의 사용에 의해 형성된다. 일 실시예에서, 제 1 전력 전극(218), 제 2 전력 전극(220), 및/또는 게이트 구조(222)는 PVD 증착 프로세스를 사용하여 형성된 전도성 층을 포함한다. 일 실시예에서, 전도성 금속 층은, 은(Ag), 금(Au), 팔라듐(Pd), 알루미늄(Al), 텅스텐(W), 백금(Pt), 인듐(In), 아연(Zn), 및 티타늄(Ti), 이들의 조합들, 또는 다른 유용한 전도성 금속으로 구성된 그룹으로부터 선택된 금속을 포함한다. 단계(314)의 몇몇 실시예들에서, PVD 증착 프로세스를 사용하여 하나 또는 그 초과의 블랭킷(blanket) 전도성 층들을 증착한 후에, 층들은 종래의 리소그래픽(lithographic) 및 에칭 기법들을 사용하여 패터닝된다.
전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이, 다음의 청구항들에 의해 결정되는 본 발명의 범위 및 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (16)

  1. 디바이스를 제조하기 위한 방법으로서,
    제 1 프로세싱 챔버에서 하나 또는 그 초과의 기판들의 표면을 처리하는 단계;
    제어되는 환경에서 상기 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 상기 하나 또는 그 초과의 기판들을 이송하는 단계; 및
    프로세싱 영역을 정의하는 하나 또는 그 초과의 벽들을 갖는 제 2 프로세싱 챔버에서 상기 하나 또는 그 초과의 기판들 상에 알루미늄-질화물 층을 형성하는 단계
    를 포함하며,
    상기 알루미늄-질화물 층을 형성하는 단계는,
    프로세싱 영역과 접촉하는 표면을 갖는 타겟을 바이어싱(biasing)하는 단계;
    질소를 포함하는 제 1 가스를 상기 프로세싱 영역 내로 유동시키는 단계; 및
    상기 프로세싱 영역 내로 제 2 가스를 유동시키는 단계
    를 포함하고,
    상기 제 2 가스는 아르곤, 크립톤, 또는 네온을 포함하고,
    상기 타겟을 바이어싱하는 단계는, 상기 하나 또는 그 초과의 기판들 상의 알루미늄-질화물 층의 N-면(N-face) 성장을 촉진하도록 구성되는,
    디바이스를 제조하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 알루미늄-질화물 층을 형성하는 단계는, 기판 지지부 위에 배치된 하나 또는 그 초과의 기판들 상에 전위(potential)를 형성하도록 전극을 바이어싱하는 단계를 더 포함하는,
    디바이스를 제조하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 전극을 바이어싱하는 단계는, 상기 타겟을 바이어싱하기 전에 발생하는 제 1 시간 기간 동안 상기 전극을 바이어싱하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  4. 제 2 항에 있어서,
    상기 전극을 바이어싱하는 단계는, 상기 하나 또는 그 초과의 기판들 상에, 약 -1000 볼트 내지 약 +500 볼트에서 변동하는 플로팅 전위(floating potential)를 생성하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  5. 제 2 항에 있어서,
    상기 하나 또는 그 초과의 기판들의 표면을 처리하는 단계는, 상기 하나 또는 그 초과의 기판들을 탈기(degassing)하는 단계, 또는 상기 하나 또는 그 초과의 기판들의 표면을 스퍼터 에칭하는 단계를 포함하고,
    상기 타겟을 바이어싱하는 단계는, 약 500 Watts 내지 약 20 kWatts의 전력으로 펄스형 DC 신호 또는 RF 신호를 전달하는 단계를 포함하고, 그리고
    상기 전극을 바이어싱하는 단계는, 상기 하나 또는 그 초과의 기판들 상에, 약 -1000 볼트 내지 약 +500 볼트에서 변동하는 플로팅 전위를 생성하는 단계를 포함하며,
    상기 방법은,
    상기 타겟을 바이어싱하기 전에, 상기 하나 또는 그 초과의 기판들을 약 200 ℃ 내지 약 1000 ℃의 온도로 가열하는 단계;
    상기 타겟을 바이어싱하면서, 상기 프로세싱에서의 압력을 약 0.1 mTorr 내지 200 mTorr의 압력으로 제어하는 단계; 및
    상기 AlN 층을 초당 약 0.2 옹스트롬 내지 초당 약 20 옹스트롬의 증착 레이트로 증착하는 단계
    를 더 포함하는,
    디바이스를 제조하기 위한 방법.
  6. 제 2 항에 있어서,
    상기 전극을 바이어싱하는 단계는, 상기 타겟을 바이어싱하면서, 상기 하나 또는 그 초과의 기판들 상에 플로팅 전위를 생성하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 제 2 프로세싱 챔버로부터 제 3 프로세싱 챔버로 상기 하나 또는 그 초과의 기판들을 이송하는 단계; 및
    상기 제 3 프로세싱 챔버에서 상기 알루미늄 질화물 층 상에 III 족-질화물 층을 형성하는 단계
    를 더 포함하며,
    상기 III 족-질화물 층을 형성하는 단계는,
    금속 함유 전구체 및 질소 함유 가스를 상기 하나 또는 그 초과의 기판들 각각의 표면으로 전달하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 III 족-질화물 층은 AlN, InN, GaN, AlGaN, InGaN, 또는 InAlGaN을 포함하는,
    디바이스를 제조하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 타겟은 알루미늄 및 II 족, IV 족, 또는 VI 족 엘리먼트를 더 포함하는,
    디바이스를 제조하기 위한 방법.
  10. 디바이스를 제조하기 위한 방법으로서,
    제 1 프로세싱 챔버에서 하나 또는 그 초과의 기판들의 표면을 처리하는 단계;
    제어되는 환경에서 상기 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 상기 하나 또는 그 초과의 기판들을 이송하는 단계; 및
    프로세싱 영역을 정의하는 하나 또는 그 초과의 벽들을 갖는 제 2 프로세싱 챔버에서 상기 하나 또는 그 초과의 기판들 상에 알루미늄-질화물 층을 형성하는 단계
    를 포함하며,
    상기 알루미늄-질화물 층을 형성하는 단계는,
    상기 프로세싱 영역과 접촉하는 표면을 갖는 타겟을 바이어싱하는 단계;
    질소를 포함하는 제 1 가스를 상기 프로세싱 영역 내로 유동시키는 단계; 및
    상기 프로세싱 영역 내로 제 2 가스를 유동시키는 단계
    를 포함하고,
    상기 타겟은 알루미늄을 포함하고,
    상기 제 2 가스는 아르곤, 크립톤, 또는 네온을 포함하고,
    상기 타겟을 바이어싱하는 단계는, 상기 하나 또는 그 초과의 기판들 상의 상기 알루미늄-질화물 층의 Al-면 성장을 촉진하도록 구성되는,
    디바이스를 제조하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 알루미늄-질화물 층을 형성하는 단계는, 기판 지지부 위에 배치된 하나 또는 그 초과의 기판들 상에 전위를 형성하도록 전극을 바이어싱하는 단계를 더 포함하는,
    디바이스를 제조하기 위한 방법.
  12. 제 10 항에 있어서,
    상기 전극을 바이어싱하는 단계는, 상기 타겟을 바이어싱하기 전에 발생하는 제 1 시간 기간 동안 상기 전극을 바이어싱하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  13. 제 10 항에 있어서,
    상기 전극을 바이어싱하는 단계는, 상기 하나 또는 그 초과의 기판들 상에, 약 -1000 볼트 내지 약 +500 볼트에서 변동하는 플로팅 전위를 생성하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  14. 제 11 항에 있어서,
    상기 하나 또는 그 초과의 기판들의 표면을 처리하는 단계는, 상기 하나 또는 그 초과의 기판들을 탈기하는 단계, 또는 상기 하나 또는 그 초과의 기판들의 표면을 스퍼터 에칭하는 단계를 포함하고,
    상기 타겟을 바이어싱하는 단계는, 약 500 Watts 내지 약 20 kWatts의 전력으로 펄스형 DC 신호 또는 RF 신호를 전달하는 단계를 포함하며, 그리고
    상기 전극을 바이어싱하는 단계는, 상기 하나 또는 그 초과의 기판들 상에, 약 -1000 볼트 내지 약 +500 볼트에서 변동하는 플로팅 전위를 생성하는 단계를 포함하고,
    상기 방법은,
    상기 타겟을 바이어싱하기 전에, 상기 하나 또는 그 초과의 기판들을 약 200 ℃ 내지 약 1000 ℃의 온도로 가열하는 단계;
    상기 타겟을 바이어싱하면서, 상기 프로세싱에서의 압력을 약 0.1 mTorr 내지 200 mTorr의 압력으로 제어하는 단계; 및
    상기 AlN 층을 초당 약 0.2 옹스트롬 내지 초당 약 20 옹스트롬의 증착 레이트로 증착하는 단계
    를 더 포함하는,
    디바이스를 제조하기 위한 방법.
  15. 제 10 항에 있어서,
    상기 제 2 프로세싱 챔버로부터 제 3 프로세싱 챔버로 상기 하나 또는 그 초과의 기판들을 이송하는 단계; 및
    상기 제 3 프로세싱 챔버에서 상기 알루미늄 질화물 층 상에 III 족-질화물 층을 형성하는 단계
    를 더 포함하며,
    상기 III 족-질화물 층을 형성하는 단계는,
    금속 함유 전구체 및 질소 함유 가스를 상기 하나 또는 그 초과의 기판들 각각의 표면으로 전달하는 단계를 포함하는,
    디바이스를 제조하기 위한 방법.
  16. 디바이스를 형성하기 위한 장치로서,
    제 1 클러스터를 포함하며,
    상기 제 1 클러스터는,
    제 1 프로세싱 챔버를 포함하고,
    상기 제 1 프로세싱 챔버는,
    알루미늄을 포함하는 타겟;
    질소 함유 가스 소스;
    아르곤, 크립톤, 또는 네온으로 구성된 그룹으로부터 선택된 가스를 전달하도록 적응된 프로세스 가스 소스;
    상기 타겟에 약 500 Watts 내지 약 20 kWatts의 전력으로 펄스형 DC 신호 또는 RF 신호를 제공하도록 구성된 제 1 전력 소스;
    기판 지지 표면을 갖는 기판 지지부에 커플링된 전극; 및
    상기 기판 지지 표면 위에 배치된 하나 또는 그 초과의 기판들 상에 약 -1000 볼트 내지 약 +500 볼트의 플로팅 전위를 생성하도록 구성된 제 2 전력 소스
    를 포함하는,
    디바이스를 형성하기 위한 장치.
KR1020157001435A 2012-07-02 2013-07-01 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들 KR102168043B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207029380A KR102317822B1 (ko) 2012-07-02 2013-07-01 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261667339P 2012-07-02 2012-07-02
US61/667,339 2012-07-02
US201261683652P 2012-08-15 2012-08-15
US61/683,652 2012-08-15
PCT/US2013/048879 WO2014008162A1 (en) 2012-07-02 2013-07-01 Aluminum-nitride buffer and active layers by physical vapor deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207029380A Division KR102317822B1 (ko) 2012-07-02 2013-07-01 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들

Publications (2)

Publication Number Publication Date
KR20150022006A true KR20150022006A (ko) 2015-03-03
KR102168043B1 KR102168043B1 (ko) 2020-10-20

Family

ID=49882447

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207029380A KR102317822B1 (ko) 2012-07-02 2013-07-01 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
KR1020157001435A KR102168043B1 (ko) 2012-07-02 2013-07-01 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207029380A KR102317822B1 (ko) 2012-07-02 2013-07-01 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들

Country Status (5)

Country Link
US (1) US10109481B2 (ko)
JP (1) JP6272850B2 (ko)
KR (2) KR102317822B1 (ko)
CN (2) CN104428441B (ko)
WO (1) WO2014008162A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9929310B2 (en) * 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
CN105518838B (zh) * 2013-07-02 2019-11-26 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
JP6326295B2 (ja) * 2014-06-04 2018-05-16 東京エレクトロン株式会社 冷却処理装置、及び、冷却処理装置の運用方法
JP6476759B2 (ja) * 2014-10-31 2019-03-06 日本ゼオン株式会社 カーボンナノチューブ配向集合体の製造方法
CN115064621A (zh) * 2015-09-11 2022-09-16 国立大学法人三重大学 氮化物半导体衬底的制造方法、氮化物半导体衬底以及其加热装置
GB201517879D0 (en) 2015-10-09 2015-11-25 Spts Technologies Ltd Method of deposition
US11482404B2 (en) * 2015-12-21 2022-10-25 Ionquest Corp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US10957519B2 (en) 2015-12-21 2021-03-23 Ionquest Corp. Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films
US11823859B2 (en) 2016-09-09 2023-11-21 Ionquest Corp. Sputtering a layer on a substrate using a high-energy density plasma magnetron
US11359274B2 (en) 2015-12-21 2022-06-14 IonQuestCorp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US9951414B2 (en) 2015-12-21 2018-04-24 IonQuest LLC Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films
CN107435164A (zh) * 2016-05-25 2017-12-05 上海新昇半导体科技有限公司 外延生长设备
JP6439774B2 (ja) * 2016-11-21 2018-12-19 トヨタ自動車株式会社 半導体装置の製造方法
US10927449B2 (en) * 2017-01-25 2021-02-23 Applied Materials, Inc. Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US10563304B2 (en) * 2017-04-07 2020-02-18 Applied Materials, Inc. Methods and apparatus for dynamically treating atomic layer deposition films in physical vapor deposition chambers
CN110622298B (zh) * 2017-05-13 2023-09-22 应用材料公司 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
CN108390075B (zh) * 2018-01-24 2019-04-02 上海交通大学 抗腐蚀导电膜及其脉冲偏压交替磁控溅射沉积方法和应用
US10854442B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Orientation chamber of substrate processing system with purging function
WO2020024221A1 (zh) * 2018-08-02 2020-02-06 深圳市为通博科技有限责任公司 忆阻器电极材料的制备方法、制备装置和忆阻器电极材料
JP7352271B2 (ja) * 2018-09-03 2023-09-28 国立大学法人三重大学 窒化物半導体基板の製造方法
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
CN110444598B (zh) * 2019-06-20 2023-06-09 华灿光电(浙江)有限公司 高电子迁移率晶体管及其制备方法
TWI755659B (zh) * 2019-12-09 2022-02-21 天虹科技股份有限公司 降低氮化鋁的表面氧化物生成的方法與設備
JP2023513163A (ja) * 2020-02-06 2023-03-30 アプライド マテリアルズ インコーポレイテッド 薄膜堆積中に膜特性を調整するための方法及び装置
CN116134596A (zh) * 2020-07-31 2023-05-16 应用材料公司 多重基板处置系统及方法
WO2022060174A1 (ko) 2020-09-17 2022-03-24 주식회사 엘지에너지솔루션 듀얼 슬롯 다이 코터, 이를 이용한 전극 활물질 슬러리 코팅 방법 및 이를 이용하여 제조한 전극
JP2022155711A (ja) * 2021-03-31 2022-10-14 芝浦メカトロニクス株式会社 成膜装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030037223A (ko) * 2001-07-07 2003-05-12 트리콘 호울딩즈 리미티드 질화알루미늄 침착 방법
KR20090035578A (ko) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE59202116D1 (de) * 1991-04-23 1995-06-14 Balzers Hochvakuum Verfahren zur Abtragung von Material von einer Oberfläche in einer Vakuumkammer.
US6168690B1 (en) * 1997-09-29 2001-01-02 Lam Research Corporation Methods and apparatus for physical vapor deposition
US6312568B2 (en) * 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties
JP4714322B2 (ja) * 2000-04-28 2011-06-29 株式会社アルバック 窒化アルミ膜成膜方法
JP2006032524A (ja) 2004-07-14 2006-02-02 Nippon Telegr & Teleph Corp <Ntt> 窒化物半導体ヘテロ構造電界効果トランジスタ構造とその作製法
KR100784381B1 (ko) * 2004-07-23 2007-12-11 삼성전자주식회사 증착 장치 및 방법
JP2008047762A (ja) * 2006-08-18 2008-02-28 Showa Denko Kk Iii族窒化物化合物半導体発光素子の製造方法、及びiii族窒化物化合物半導体発光素子、並びにランプ
JP5471440B2 (ja) * 2007-05-02 2014-04-16 豊田合成株式会社 Iii族窒化物半導体発光素子の製造方法
JP4714712B2 (ja) 2007-07-04 2011-06-29 昭和電工株式会社 Iii族窒化物半導体発光素子及びその製造方法、並びにランプ
JP4471001B2 (ja) * 2008-01-23 2010-06-02 セイコーエプソン株式会社 半導体センサ及び半導体センサの製造方法
WO2009096270A1 (ja) * 2008-01-31 2009-08-06 Canon Anelva Corporation AlNヘテロエピタキシャル結晶体とその製造方法、該結晶体を用いてなるIII族窒化物膜用下地基板、発光素子、表面弾性波デバイス、及びスパッタリング装置
JP2009228131A (ja) * 2008-02-27 2009-10-08 Sumitomo Electric Ind Ltd 窒化アルミニウム薄膜およびその製造方法
CN101573000A (zh) * 2008-04-29 2009-11-04 汉达精密电子(昆山)有限公司 散热基板上真空溅镀形成导电线路的方法
US8115883B2 (en) * 2009-08-27 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
JP2011171639A (ja) * 2010-02-22 2011-09-01 Sanken Electric Co Ltd 半導体装置、半導体ウェハ、半導体装置の製造方法及び半導体ウェハの製造方法
US20110244663A1 (en) * 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
JP5444460B2 (ja) 2010-04-30 2014-03-19 キヤノンアネルバ株式会社 エピタキシャル膜形成方法、真空処理装置、半導体発光素子の製造方法、半導体発光素子、照明装置
JP5521981B2 (ja) * 2010-11-08 2014-06-18 豊田合成株式会社 半導体発光素子の製造方法
CN102477531B (zh) * 2010-11-26 2015-03-25 鸿富锦精密工业(深圳)有限公司 被覆件及其制造方法
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
CN102758172A (zh) * 2011-04-27 2012-10-31 鸿富锦精密工业(深圳)有限公司 铁基合金表面镀膜方法及由该方法制得的镀膜件
US8575819B1 (en) * 2011-07-18 2013-11-05 Integrated Device Technology, Inc. Microelectromechanical resonators with passive frequency tuning using built-in piezoelectric-based varactors
CN102896842A (zh) * 2011-07-29 2013-01-30 鸿富锦精密工业(深圳)有限公司 镀膜件及其制造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030037223A (ko) * 2001-07-07 2003-05-12 트리콘 호울딩즈 리미티드 질화알루미늄 침착 방법
KR20090035578A (ko) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기

Also Published As

Publication number Publication date
CN104428441A (zh) 2015-03-18
CN104428441B (zh) 2017-04-12
WO2014008162A1 (en) 2014-01-09
CN107354428B (zh) 2020-10-20
JP6272850B2 (ja) 2018-01-31
KR102317822B1 (ko) 2021-10-25
KR20200119918A (ko) 2020-10-20
JP2015529009A (ja) 2015-10-01
CN107354428A (zh) 2017-11-17
US10109481B2 (en) 2018-10-23
US20150348773A1 (en) 2015-12-03
KR102168043B1 (ko) 2020-10-20

Similar Documents

Publication Publication Date Title
KR102168043B1 (ko) 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
US11011676B2 (en) PVD buffer layers for LED fabrication
US11575071B2 (en) Oxygen controlled PVD ALN buffer for GAN-based optoelectronic and electronic devices
CN107964647B (zh) 具有物理气相沉积形成氮化铝缓冲层的氮化镓类发光二极管的制造
US20130005118A1 (en) Formation of iii-v materials using mocvd with chlorine cleans operations
US10439099B2 (en) UV light emitting devices and systems and methods for production

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant