JP7402399B2 - 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 - Google Patents

統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 Download PDF

Info

Publication number
JP7402399B2
JP7402399B2 JP2020550721A JP2020550721A JP7402399B2 JP 7402399 B2 JP7402399 B2 JP 7402399B2 JP 2020550721 A JP2020550721 A JP 2020550721A JP 2020550721 A JP2020550721 A JP 2020550721A JP 7402399 B2 JP7402399 B2 JP 7402399B2
Authority
JP
Japan
Prior art keywords
workpiece
module
measurement
processing
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020550721A
Other languages
English (en)
Other versions
JP2021518672A (ja
Inventor
クラーク,ロバート
リュウ,エリック
ラリー,アンジェリーク
トゥイチェ,ホルガー
セイファリング,ケヴィン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021518672A publication Critical patent/JP2021518672A/ja
Application granted granted Critical
Publication of JP7402399B2 publication Critical patent/JP7402399B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4189Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the transport system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31014Synchronization between AGV movement and workpiece treatment chambers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

関連出願の相互参照
本出願は、2018年3月20日に出願の、「Substrate Processing Tool with Integrated Metrology and Method of Using」という名称の米国仮特許出願第62/645,685号明細書、2019年1月2日に出願の、「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」という名称の米国仮特許出願第62/787,607号明細書、2019年1月2日に出願の、「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」という名称の米国仮特許出願第62/787,608号明細書、及び2019年1月4日に出願の、「Substrate Processing Tool with Integrated Metrology and Method of using」という名称の米国仮特許出願第62/788,195号明細書、及び2019年1月3日に出願の、「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using same」という名称の米国仮特許出願第62/787,874号明細書の利益を主張するものであり、これらは参照により全体が本明細書に組み込まれる。
本発明は、基板処理に関し、より具体的には、補正処理を施すために、効率的なプラットフォームにおいて統合的な基板処理及び基板測定及び計測を実施するように構成された統合的な基板処理システム及びモジュールに関する。
半導体製造業界は、歩留まりの向上に対する要求と基板上に形成されるデバイス構造の複雑さの増加という更なる変革を通じて進化している。更に、業界は、デバイス製作の様々なプロセスのコンピュータ化及びデジタル化の増加によって牽引されている。
より具体的には、集積回路を形成するための基板の処理において、歩留まりの増加と、製作プロセスにおける効率及びスループットの増加がより重要になっている。このような効率は、製作プロセスに費やされる時間の削減、より正確且つ故障のないプロセス、及びこのような改善により生じるコストの削減により実現される。更に、処理工程が適切に進行していることと、作成された様々な層及びフィーチャが適切な寸法、アライメント、及び一貫性のものであることを判断することが望ましい。即ち、障害が早く検出され、更なる処理において補正若しくは緩和されること又は基板が排出されることなどによって早く対処されるほど、プロセスはより効率的になる。
歩留まりを維持及び増加させなければならないだけでなく、これを、より小型で、より複雑なデバイスを製作する中で行わなければならない。例えば、製造されるトランジスタなどの回路が小型化するにつれて、パターン形成されるフィーチャのクリティカルディメンション(CD)又は解像度の生成がますます困難になっている。極端紫外線(EUV)リソグラフィの導入後であってもコスト効率の良いスケーリングを継続できるようにするには、自己整合パターニングが、重ね合わせ式パターニング(overlay-driven patterning)に取って代わる必要がある。ばらつきの低減、スケーリングの拡張、並びにCD及びプロセス制御の向上を可能にするパターニングオプションが必要である。しかしながら、スケーリングされたデバイスを適度な低コストで製造することは極めて困難になっている。選択的堆積は、選択性エッチングとともに、高度なパターニングに関連するコストを大幅に削減することができる。ギャップ充填などの薄膜の選択的堆積、特定基板上への誘電体及び金属の領域選択的堆積、並びに選択的ハードマスクは、高度にスケーリングされた技術でのパターニングにおける重要な工程である。
このような製作技術では、エッチング工程及び堆積工程が仕様内であることを確実にするために、並びにプロセスのばらつきを検出するために、様々なプロセスを監視する必要がある。製造プロセスのばらつきは、製造プロセスの意図された又は設計された目標の仕様からの逸脱を含み得る。概して、ばらつきの原因は、粒子汚染などの欠陥、又はパターン若しくはデバイスにおけるパラメータのばらつき若しくは不適合のいずれかに分類され得る。このようなパラメータのばらつきの例としては、CD、プロファイル、深さ、厚さ等のずれが挙げられる。このようなばらつきは、ロット間のばらつき、(ロット内の)基板間のばらつき、基板内のばらつき、及びダイ内のばらつきとして生じ得る。
したがって、現在、デバイスメーカは、様々なプロセスの確認及び監視に相当量の製作資源を使用している。しかしながら、このような資源はスループット及び生産に寄与せず、その結果、ファブリケータにとって純粋にコストとなる。更に、プロセスが仕様外になり、基板のフィーチャが適切に製作されていない場合、この基板を生産から排除することが必要となり得る。現在、製作プロセスの確認及び監視のために、デバイスメーカは、様々な別個の測定工程及び/又は計測工程を利用している。プロセス工程間又は重要なプロセスシーケンス間における計測工程の実施が用いられているが、現時点では、基板及びプロセス環境の制御の妥協を伴う。
具体的には、現在の計測工程では、基板を、真空下の処理環境から取り出し、大気中で計測システム又はキオスクに移動させ、その後、処理環境に戻す。処理工程及び処理チャンバ間で行われる従来の測定では、プロセス及び基板は空気及び汚染物質に曝される。これは、処理された層の1つ以上を化学的に、又は別の手法で修飾する可能性がある。このことはまた、基板を真空又は他の制御された環境から取り出し、その後、計測キオスクに導入する必要があるあらゆる測定に不確実性を導入する。したがって、ファブリケータは、自身が測定していると思っているパラメータを測定していると確証が持てない場合がある。したがって、3次元デバイス/アーキテクチャのフィーチャサイズが小さくなると、現在の監視技術並びに測定及び計測プロセスは不適切となる。
また更に、計測プロセスは生産サイクルの邪魔になり、製作プロセスの効率及びスループットを制限するので、このような計測工程は、スループットに大きく影響を及ぼさないように最小限にされている。その結果、特定のプロセスが仕様外になった時とその事実が認識される時との間にタイムラグが存在することが多い。このことは、歩留まりに更に悪影響を及ぼす。
現在の製作プロトコルの更なる欠点は、堆積モジュールを有するシステムなどのプラットフォームから基板をコンスタントに取り出し、エッチングモジュール又はそれ以外の処理モジュールを有するシステムなどの他のプラットフォームに搬送することが必要なことである。製作には様々な堆積及びエッチング及び他の処理工程の大規模なシーケンスを伴うため、基板をシステムから取り出し、搬送し、別のシステムに再導入し、真空又はそれ以外の制御された環境を再適用することが必要なことで、プロセスに更なる時間とコストを導入する。中間測定又は計測プロセスは、製作の時間とコストを悪化させるだけである。制御された環境からのコンスタントな取り出し及び搬送により、更に、基板の破損及び汚染の発生も導入される。
また更に、理解され得るように、堆積工程、エッチング工程、及び他の処理工程に関与する多くのシステム及びプラットフォーム、並びに別個の測定/計測システムは、スペース又は床面積が元々高価で貴重なクリーンルーム環境内にかなりのハードウェア設置面積を生成する。
したがって、生産中にプロセスを確認及び監視する能力を維持しながら、より小型の回路デバイス及びフィーチャを伴う基板処理を改善することが望ましい。基板を真空から大気に取り出し、その後、続いて更なる処理のために処理チャンバ内で真空下に戻さなければならない、製作中の分岐点の数を削減することが望ましい。ファブリケータ又はデバイスメーカがより迅速に対応できるように、プロセス又は基板が仕様外になった時とその問題をファブリケータ又はデバイスメーカが認識する時との間のラグタイムを削減することが更に望ましい。機器の自動化を継続し、プロセスデータを用いて、製作プロセスにおける人の介入を減らし、規範的最適化及び完全な決定の自動化をもたらすことが更に望ましい。
したがって、現在の製作プロセス及び機器プラットフォームの欠点に対処することには全般的なニーズがある。
本開示は、プラットフォームの処理チャンバ内で処理される前及び/又は後にワークピースを測定するように統合された計測機器を組み込む大量製造プラットフォームに関する。プロセスチャンバに接続された搬送チャンバは、スタンドアロン計測ツールではなくプラットフォーム内で測定を行うことを可能にする計測センサを組み込む。この例では、ワークピースをプラットフォームの制御された環境内に維持することで、ワークピースの移動を減らし、ワークピースが異なる環境に曝露するのを最小限にすることによって、粒子が付加される可能性を低下させる。
一実施形態では、処理システムは、ワークピースを移動させるための内部空間を有する搬送チャンバであって、ワークピースが処理される1つ以上の処理モジュールに結合されるように構成されている、搬送チャンバを含む。搬送チャンバは、搬送チャンバの内部空間の内部に配置されており、1つ以上のワークピースを内部空間を通して移動させ、搬送チャンバに結合された1つ以上の処理モジュールに選択的に出入りさせるように構成された搬送機構を含む。更に、搬送チャンバの内部空間は、ワークピースの属性を検出するためにワークピースを検査システムによって測定することができる測定領域を含む。測定領域は、測定中にワークピースを支持、並進、及び/又は回転させるための支持機構を含んでもよい。いくつかの場合では、支持機構は、測定中にワークピースの温度を監視又は変更するための温度制御システムを含んでもよい。
以下の詳細な説明を参照し、特に添付図面と併せて検討すると、本発明の様々な実施形態のより詳細な理解及びそれらに付随する利点の多くが容易に明らかになるであろう。
本発明を実施するための半導体製作プロセスフローの概略図である。 本発明の一実施形態を実施する半導体製作プロセスフローの概略図である。 本発明の実施形態による半導体製作プラットフォームの概略図である。 本発明の実施形態による、プロセス及び測定モジュールを組み込んだ共通プラットフォームの平面図である。 本発明の別の実施形態による、プロセス及び測定モジュールを組み込んだ共通プラットフォームの平面図である。 本発明の実施形態による、共通プラットフォームに組み込まれた測定モジュールの部分側断面図である。 本発明の別の実施形態による、共通プラットフォームに組み込まれた測定モジュールの部分側断面図である。 本発明の別の実施形態による、共通プラットフォームに組み込まれた測定モジュールの部分側断面図である。 本発明の実施形態による検査システムの上面概略図である。 本発明の別の実施形態による、共通プラットフォームに組み込まれた測定モジュールの部分側断面図である。 本発明の別の実施形態による、プロセス及び測定モジュールを組み込んだ共通プラットフォームの平面図である。 本発明の実施形態による、共通プラットフォームに組み込まれた測定モジュールの部分側断面図である。 本発明の別の実施形態による、プロセス及び測定搬送モジュールを組み込んだ共通プラットフォームの平面図である。 本発明の実施形態による、共通プラットフォームに組み込まれた搬送測定モジュール部分側断面図である。 本発明の別の実施形態による、共通プラットフォームに組み込まれた搬送測定モジュール部分側断面図である。 本発明の実施形態によるワークピース搬送機構の平面図である。 図7Dのワークピース搬送機構の側面図である。 本発明による、測定モジュールで使用するための検査システムの概略図である。 本発明によるワークピース測定用の支持プラットフォームの、それぞれ、斜視図及び側断面図である。 本発明の実施形態による半導体製作プラットフォームの概略図である。 本発明の実施形態による、プロセス及び測定搬送モジュールを組み込んだ共通プラットフォームの頂面図である。 本発明の別の実施形態による、プロセス及び測定搬送モジュールを組み込んだ共通プラットフォームの平面図である。 本発明の別の実施形態による、プロセス及び測定搬送モジュールを組み込んだ共通プラットフォームの平面図である。 本発明の別の実施形態による、共通プラットフォームに組み込まれた搬送測定モジュールの部分側断面図である。 本発明の実施形態による半導体製作プラットフォームの概略図である。 本発明の別の実施形態による半導体製作プラットフォームの概略図である。 本発明の実施形態による、半導体製作で使用するための処理モジュールの概略図である。 本発明の実施形態による、半導体製作で使用するための処理モジュールの概略図である。 本発明の実施形態による、半導体製作で使用するための処理モジュールの概略図である。 本発明の実施形態による、能動的阻止制御システム及びコンポーネントの概略ブロック図である。 本発明の実施形態による、阻止制御システムを実装するためのコンピュータシステムの概略ブロック図である。 本発明の実施形態による、領域選択的膜形成を伴うワークピースの概略断面図を示す。 本発明の実施形態による、統合的なワークピース処理、測定/計測、及び能動的阻止を実施するためのプロセスフロー図である。 本発明の実施形態による、統合的なワークピース処理、測定/計測、及び能動的阻止を実施するためのプロセスフロー図である。 本発明の実施形態による、統合的なワークピース処理、測定/計測、及び能動的阻止を実施するためのプロセスフロー図である。 本発明による、能動的阻止を提供するために測定及び分析を実施するためのフロー図である。 能動的阻止の選択的経路のフロー図である。 生物学に基づく自律学習ツールの高レベルのブロック図を示す。 本明細書に記載される態様による、コンテキストに即した目標適応を表す図である。 例示的な生物学に基づく自律学習ツールの高レベルのブロック図を示す。 生物学に基づく自律学習システムを活用することができる、半導体製造のための例示的なツールシステムの図である。 生物学に基づく自律学習システムの例示的なアーキテクチャの高レベルのブロック図を示す。 例示的なオートボットコンポーネント及び例示的なオートボットのアーキテクチャをそれぞれ示す。 生物学に基づく自律学習システムの自己認識コンポーネントの例示的なアーキテクチャを示す。 本明細書に記載される態様による、認識ワーキングメモリにおいて動作する例示的なオートボットの図である。 生物学に基づく自律学習システムの自己概念化コンポーネントの例示的実施形態を示す。 生物学に基づく自律学習システムの自己最適化コンポーネントの例示的実施形態を示す。 本開示の態様により生成された、1つの予測比較器及び2つのレシピ比較器に関する例示的な依存関係グラフをそれぞれ示す。 本明細書に記載される態様による、生物学に基づく自律学習ツールシステムの例示的なグループ配備の図を示す。 本明細書に記載される態様による、自律ツールシステムの複合体の配備の図を示す。 本明細書に記載されている、自律ツールシステムのモジュール式の、再帰的に接続される特徴を示す。 本明細書に記載される態様による、アセットを生成するためのマルチステーションプロセスを評価し、報告する例示的なシステムを示す。 本明細書に記載される態様による、ツール複合体システムによって自律的に生成された出力アセットを配送することができる例示的な自律システムのブロック図である。 アセット(例えば、完成品、一部完成品...)に関する、設計してから製造して販売するまでの、自律的に決定される配送工程の一例を示す。 本明細書に記載される態様による、生物学に基づく自律学習の例示的な方法のフローチャートを示す。 本明細書に記載される態様による、概念の状況スコアを調整する例示的な方法のフローチャートを示す。 本明細書に記載される態様による、知識を生成する例示的な方法のフローチャートを示す。 本明細書で開示される態様による、アセット配送の例示的な方法のフローチャートを示す。
本明細書に記載される実施形態によれば、真空又は制御された環境の破壊を伴うことなく(これは従来のプラットフォームでは達成不可能であった)重要なエンドツーエンドのプロセスフローを容易にするために、機器モジュールは、共通の製造プラットフォーム上に統合されている。共通プラットフォームは、異種機器及び処理モジュールと、プロセス工程間の基板ファブリケータの進行を、真空又は制御された環境を破壊することなく監視する計測又は測定モジュールとを統合する。統合的な計測又は測定コンポーネントは、その場機器モジュールの診断及び仮想計測と共に、ウェーハ上でデータを収集し、プロセスシーケンスフロー内の上流及び下流で機器データを収集する。生産性及び歩留まりを達成するために、このデータを機器及びプロセス制御モデルと組み合わせて、障害の予測及び検出、保守の予測、プロセスのばらつきの安定化、及びプロセスの補正のための実用的な情報を生成する。機器及びプロセス制御モデルを確立するために、全てのデータ、即ち、機器モジュールログ、搬送モジュールログ、プラットフォームログ、ファブホスト等からのデータを統合し、深層学習アルゴリズムを含む分析技術と組み合わせて、機器及びプロセス制御パラメータと基板又はウェーハ上のプロセス結果との間の関係を理解する。共通プラットフォーム内に部分的にホストされてもよい能動的阻止制御システムが、上流及び下流の処理モジュールで補正処理を実施し、検出された不適合、欠陥、又は他のばらつきに対処する。
本発明によれば、データ利用は、機器、データ、及び知識、確立されたプロセス技術、センサ、並びに機器及びプロセスの状態を監視するための仮想計測データを含む計測データに基づいて構築された階層的な知識ベースによって提供される。データプロセス技術及びアルゴリズムのノウハウと、プロセス及び機器モデルとを用いて、機器及びプロセス制御パラメータを歩留まり及び生産性に結びつける。ホリスティックな機器及びプロセス制御モデルを開発することができる。プロセスシミュレーション、測定及び計測データ及び診断、並びにデータ分析は、機器のアップタイムを改善し、プロセスを最適化し、プロセスのばらつきを制御することができる予測的及び予防的処理及びアクションにつながる。これにより、歩留まり及び生産性が向上する。本発明は、収集されたデータを使用して、利点の中でもとりわけ、仮想計測(VM)、プロセスのばらつきを監視及び制御するためのランツーラン(R2R)制御、機器及び/又はプロセスが管理限界外で動作していることをオペレータに通知するための統計的プロセス制御(SPC)、高度なプロセス制御(APC)、異常検出及び分類(FDC)、異常予測、機器健康状態監視(EHM)、予測保守(PM)、予測スケジューリング、歩留まり予測を提供することができる。
本発明の実施形態は、統合的な基板処理及び基板計測を実施するように構成された処理モジュール及びツールのプラットフォーム、並びに基板又はワークピースの処理方法について記載する。本明細書では、処理の対象であるワークピースは、「ワークピース」、「基板」、又は「ウェーハ」と呼ばれることもある。処理中のワークピースは真空下に留まる。即ち、測定/計測プロセス及びモジュールは、処理モジュール及びシステム、処理チャンバ及びツール、並びに全体的な製造プラットフォームと統合され、処理前、処理中、又は処理後に、ワークピースの表面、フィーチャ、及びその上のデバイスの属性などの、ワークピースの属性に関連するデータを収集するために、真空環境内で利用される。収集された測定/計測データは、その後、処理工程、処理モジュールの動作、及び全体的な処理システムに影響を及ぼすために、処理工程に対してリアルタイムで利用される。本発明は、基板を仕様内に維持するために又は仕様外のフィーチャ若しくは層を補正するために、システムの処理工程/処理モジュールの1つ以上を補正的に適応させる若しくは調整する、又はこれに別の手法で影響を及ぼす。システムの工程及びモジュールは順方向に進む処理にのみ影響を及ぼすのではなく、将来の基板のために処理工程又はプロセスチャンバを補正するために、システムのフィードバックを通じて、前の処理工程及びモジュールも適応させてよい。本発明は、エッチング工程又は膜形成又は堆積工程などの直近の処理工程にわたって基板を処理し、その後直ちに測定/計測データを収集してもよい。本発明で使用する場合、測定データ/工程及び計測データ/工程は、同義的に、本発明に従って測定されたデータを一般に意味するものとされる。その後、データは、不適合又は欠陥を検出するために処理され、何らかの手法で仕様外又は不良品であることが判明した基板に対処するための任意の必要な補正アクションを取るように、将来の処理工程に影響を及ぼしてもよい。将来の処理工程は、例えば、基板を直前の処理モジュールに戻すこと、測定/計測データに対処するために別の処理チャンバの将来の処理工程に影響を与えること、又は基板を仕様に戻すために1つ以上の追加の処理工程を処理シーケンスに導入することを含んでもよい。基板を更に処理して、それを仕様に戻す又は不適合を補正することができないと計測データによって判断された場合、基板は、不必要な更なる処理を回避するために、プロセス内でかなり早くに製造プラットフォームから排出されてもよい。
本発明の完全な理解を提供するために、説明の目的で、特定の数、材料、及び構成が示される。しかしながら、本発明は、具体的詳細がなくても実施可能である。更に、当然のことながら、図面に示された各種実施形態は説明的な表現であって、必ずしも正確な縮尺で描かれているわけではない。図面を参照するにあたっては、類似の参照符号は、図面全体を通して類似の要素を参照している。
本明細書を通して「一実施形態(one embodiment)」又は「一実施形態(an embodiment)」又はその変形形態への言及は、その実施形態に関連して説明された特定の特徴、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味するが、それらがあらゆる実施形態に存在することを意味するわけではない。従って、本明細書を通して様々な場所に出現し得る「一実施形態では(in one embodiment)」又は「一実施形態では(in an embodiment)」等の語句は、必ずしも本発明の同一実施形態に言及しているわけではない。更に、特定の特徴、構造、材料又は特性は、1つ以上の実施形態において任意の適切な様式で組み合わされ得る。別の実施形態では、様々な追加の層及び/又は構造が含まれてよく、且つ/又は、説明された特徴が省略されてよい。
更に、当然のことながら、「1つの(a)」又は「1つの(an)」は、明示的に別段の定めがない限り、「1つ以上の」を意味してよい。
様々な動作が、複数の別個の動作として順番に説明されるが、これは、本発明を最も理解しやすい順番である。しかしながら、説明の順序は、それらの動作が必ず順序依存であることを示唆するものとして解釈されるべきではない。具体的には、これらの動作は、説明された順序で実施される必要がない。説明された動作は、説明された実施形態と異なる順序で実施されてよい。別の実施形態では、様々な追加の動作が実施されてよく、且つ/又は説明された動作が省略されてよい。
本明細書では「基板」という用語は、その上に材料が形成されるベース材料又はベース構造を意味し、且つ包含する。基板は、単一材料、様々な材料の複数の層、様々な材料又は様々な構造の領域を有する層などを含み得ることは理解されるであろう。これらの材料は半導体、絶縁体、導体又はそれらの組み合わせを含み得る。例えば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、又は1つ以上の層、構造、若しくは領域がその上に形成された半導体基板であってよい。基板は、半導体材料の層を含む、従来のシリコン基板又は他のバルク基板であってよい。本明細書では「バルク基板」という用語は、シリコンウェーハだけでなく、シリコンオンインシュレータ(「SOI」)基板(例えば、シリコンオンサファイア(「SOS」)基板やシリコンオンガラス(「SOG」)基板)、ベース半導体を土台とした、シリコンのエピタキシャル層、及び他の半導体材料又は光電子材料(例えば、シリコンゲルマニウム、ゲルマニウム、ガリウムひ素、窒化ガリウム、及びリン化インジウム)も意味し、且つ包含する。基板は、ドープされていても、されていなくてもよい。
本明細書で使用する場合、「ワークピース」という用語は、より一般には、半導体デバイス製造プロセスの1つ以上のフェーズの最中に基板上に形成される材料又は層の組成物を指す場合がある。ワークピースは、最終的に、処理の最終段階で半導体デバイスを含む。いずれにしても、「ワークピース」、「基板」、又は「ウェーハ」という用語は、本発明を限定するものではない。
本実施形態は、複数のプロセス工程が、共通プラットフォーム上で、制御された環境内で、例えば、操作間で真空を破壊することなく実施される、共通の製造プラットフォームを利用する方法を含む。統合的なエンドツーエンドプラットフォームは、エッチングモジュール及び膜形成モジュールの両方を含み、ワークピースを制御された環境内に維持しながら、例えば、真空を破壊することなく又は不活性ガス保護環境を離れることなく、ワークピースを1つのモジュールから別のモジュールに搬送するように構成されており、したがって、周囲環境への曝露が回避される。いくつかのプロセスのいずれかを、共通の製造プラットフォーム上で実施してもよく、統合的エンドツーエンドプラットフォームは、コストを削減し、欠陥レベル、及びEPEを改善しながら大量製造を可能にする。
本発明で使用する場合、「膜形成モジュール」は、プロセスチャンバ内のワークピース上に膜又は層を堆積させる又は成長させるための任意の種類の処理ツールを指す。膜形成モジュールは、単一ウェーハツール、バッチ処理ツール、又はセミバッチ処理ツールであってもよい。膜形成モジュールで実施してもよい膜堆積又は成長の種類としては、例えば、化学気相成長法、プラズマ強化又はプラズマアシスト式化学気相成長法、原子層堆積、物理気相成長法、熱酸化又は窒化等が挙げられるが、これらに限定されず、プロセスは、等方性、異方性、コンフォーマル、選択的、ブランケット等であってもよい。
本発明で使用する場合、「エッチングモジュール」は、プロセスチャンバ内のワークピース上の膜、層、残留物、又は汚染物質の全部又は一部を除去するための任意の種類の処理ツールを指す。エッチングモジュールは、単一ウェーハツール、バッチ処理ツール、又はセミバッチ処理ツールであってもよい。エッチングモジュールで実施されてもよいエッチングの種類としては、例えば、化学酸化物除去(COR)、ドライ(プラズマ)エッチング、反応性イオンエッチング、浸漬又は非浸漬技術を用いたウェットエッチング、原子層エッチング、化学的機械研磨、洗浄、アッシング、リソグラフィ等が挙げられるが、これらに限定されず、プロセスは、等方性、異方性、選択的等であってもよい。
本発明で使用する場合、「モジュール」は、一般に、プロセスチャンバ、基板ホルダ及び移動機構、ガス供給及び分配システム、ポンプシステム、電気システム及びコントローラ等を含む、そのハードウェア及びソフトウェアの全てを集合的に有する処理ツールを指す。モジュールのこのような詳細は、当技術分野において既知であり、したがって、本明細書では議論されない。
本明細書で使用する場合、「制御された環境」は、周囲雰囲気を排気し、精製された不活性ガス又は低圧真空環境のいずれかに置き換えた環境を指す。真空環境は、大気圧を大幅に下回り、一般に、100Torr以下、例えば、5Torr以下であると理解される。{定義は適宜改良されたい。全てのプロセスケースに追加される。}
図1は、本発明により改善され得る典型的な半導体製作プロセス100の一例を参考のために示す。製作プロセス自体の前に、半導体ワークピース又は基板及びそこに形成されるマイクロ電子デバイスの全体設計102が作成される。設計からレイアウトが作成され、レイアウトは、材料の積み重ね層に転写される一連のパターンを含み、積み重ね層は、基板上に様々な回路及びデバイスを形成するために、処理シーケンスにおける半導体ワークピースの製作中に半導体ワークピースに適用される。設計/処理シーケンス102は、製作プロセスの様々な部分に影響を及ぼし、情報提供するので、その特定の工程ではなく、製作プロセスを指す全体的な矢印104で示される。
製作プロセス100は、基板上に膜を堆積又は形成し、膜を、様々なリソグラフィ技術及びエッチング技術を用いてパターニングするために数回使用される、1つの例示的なプロセスフロー又は処理シーケンスを示す。このような一般的な製作工程及びプロセスは当業者には周知であり、各プロセスは、それに対応する処理モジュール又はツールを有し得る。例えば、図1を参照すると、当該方法は、ワークピース上に1つ以上の層を形成するための膜形成又は堆積プロセス110を含んでもよい。その後、層は、フォトリソグラフィプロセス114を使用して、パターン化された波長の光に露光される前に、トラックプロセス112において、感光材料でコーティングされてもよい。その後、感光材料は、別のトラックプロセス116を使用して現像され、感光材料に、下層ワークピース又は膜を露出させるパターンを形成する。次いで、露出したパターンをテンプレートとして使用し、下層ワークピース又は膜の露出部分を除去してもよい。下層ワークピース又は膜の露出部分は、除去又はエッチングプロセス118を使用することによりパターンで除去される。このようにして、フォトリソグラフィプロセス114で露光されたパターンは、ワークピース又はワークピースの上に重なる膜の1つ以上に転写される。いくつかの場合では、ワークピースは、感光材料を除去するために又は新たにパターン形成されたフィーチャを次の処理に備えて洗浄するために、洗浄工程120を使用して洗浄されてもよい。
本明細書では、一貫性のために、膜形成又は堆積プロセスに関しては、「膜形成」という用語が全般的に用いられる。膜除去に関しては、「エッチング」という用語が用いられ、洗浄除去プロセスに関しては、「洗浄」という用語が用いられる。図では、説明の明確性又は利便性のために、適宜、他の表記を用いることがある。
図示のように、例示的な製作プロセス100は、半導体ワークピース上への単層の製作を表す。矢印130は、製作プロセスが、パターンの層の複数の積み重なりをもたらし、基板上にデバイスを形成するシーケンスで処理工程を通過する複数のパスを含むことを示す。本明細書では、単層の製作は、特定の順序で記載されるが、単層の製作中にいくつかの工程が飛ばされ、他の工程が繰り返されることは珍しいことではない。更に、当業者には理解されるように、膜形成、エッチング、及び洗浄よりも多くの工程が利用されてもよい。更にまた、膜形成又はエッチングプロセスの各工程は、様々な特定の工程を含んでもよい。したがって、図1の例示的で説明的なプロセスは本発明に関して限定されるものではない。
例えば、記載の堆積プロセス110は、成長、コーティング、又は別の手法でワークピース上に材料膜を形成する又は転写する堆積モジュール/ツールを用いる。堆積プロセスは、このタスクを達成するために、1つ以上の技術及び方法を用いてもよい。膜形成又は堆積技術の例としては、物理気相成長法(PVD)、化学気相成長法(CVD)、電気化学堆積法(ECD)、分子線エピタキシー法(MBE)、原子層堆積法(ALD)、自己組織化単層(SAM)堆積法、及びその他が挙げられる。更に、これらの堆積技術は、基板表面で発生するプロセスの化学反応性に影響を及ぼすように、プラズマの生成によって補完又は強化されてもよい。
フォトリソグラフィプロセス114では、フォトマスクからワークピースの表面にパターンを転写するために使用されるフォトリソグラフィックモジュール/ツールを用いる。ワークピース上に塗布されたフォトレジストの層上にパターン情報が記録される。フォトレジストは、光(多くの場合、紫外線)又は別の照明源(例えば、X線)に曝されると、その物理的性質が変化する。フォトレジストは、(ウェット又はドライ)エッチング、又は露光自体による揮発性化合物への変換のいずれかによって現像される。マスクにより画定されたパターンは、レジストの種類がポジ型かネガ型かによって、現像後、除去される又は残る、のいずれかである。例えば、現像されたフォトレジストは、下地層のためのエッチングマスクとして機能することができる。
典型的には、トラックプロセス112は、ワークピースをフォトリソグラフィプロセス又は露光のために準備するトラックモジュール/ツールを使用することを含む。これには、ワークピースの洗浄、又はワークピース上へのコーティング若しくは膜の追加を伴ってもよい。コーティングとしては、フォトリソグラフィプロセス114において、マスクを介して露光した光によって変質する、典型的にはフォトレジストと呼ばれる感光材料が挙げられ得る。同様に、トラックプロセス116は、フォトリソグラフィプロセス114の後にワークピースをハンドリングするツールを使用してもよく、典型的には、フォトレジストを現像して、下層ワークピースの一部を露出させることができるパターンを形成する。多くの場合、これには、リソグラフィ後の洗浄又は製作における次の工程段階の準備を含む。
エッチングプロセス118は、ワークピース上にパターンを形成するために、ワークピースの表面上の材料を選択的に除去するために使用されるエッチングモジュール/ツールを含む。典型的には、材料は、ウェットエッチング(即ち、化学的)又はドライエッチング(即ち、化学的及び/又は物理的)のいずれかによって選択的に除去される。ドライエッチングの一例としては、プラズマエッチングが挙げられるが、これに限定されない。プラズマエッチングは、ワークピースが曝される(エッチングされる膜の種類に応じた)適切なガス混合物のプラズマを形成することを含む。プラズマは、基板又は層と動力学的に相互作用し、基板又は層の一部、特に、上にあるフォトリソグラフィパターンにより露光された部分を除去する、気相中の荷電種(イオン及び自由電子)及び中性種(分子、原子、及びラジカル)を含む。
洗浄プロセス120は、ワークピースを洗浄する(例えば、フォトレジストを除去する)ために及び/又はワークピースを次の層の塗布若しくは堆積のために準備するために使用される洗浄モジュール/ツールを含んでもよい。典型的には、洗浄工程は、ワークピース上の粒子及び不純物を除去し、ドライ洗浄プロセス又はウェット洗浄プロセスであり得る。
本発明の一実施形態によれば、図1に示すように、様々な基板製作プロセスの1つ以上の後に、製作測定又は計測データが捕捉される。本発明で使用する場合、ワークピースから捕捉されたデータは、測定データ又は計測データと呼ばれる。測定データは、本明細書に記載するように、共通の製造プラットフォーム上の別個の計測チャンバ内に組み込み可能な1つ以上の測定モジュール又は計測モジュールを用いて、又は図1に記載されている各種工程を実施する処理モジュールの1つ以上の間でワークピースを移動させるワークピース搬送モジュール内に組み込まれた測定モジュール/計測モジュールを使用して捕捉される。本発明の1つの特徴によれば、測定/計測データの捕捉中、基板は、真空下などの制御された環境に維持される。図2に示すような製造プラットフォーム内で利用される測定/計測モジュール/ツールは、ワークピースの属性又はワークピースのフィーチャに関する属性に関連するデータを測定するように設計されており、他の手法で測定可能なもの、例えば、ワークピース上の材料層、ワークピース上に付与されたパターン、若しくは例えば基板上に製作された様々なデバイスの寸法及びアライメントなどを測定する。測定モジュール/ツールによって実施される測定プロセスは、共通の製造プラットフォーム上で実施される複数のワークピース処理工程のうちの1つ以上によって実施されてもよい。更に、計測測定モジュール又はツールは、プロセスの改善又は補正のためにデータが望まれる場所に基づいて、プロセス内の様々な時に及び/又は共通の製造プラットフォーム内の複数の場所で用いられてもよい。例えば、1つ以上の層に関する仕様及びワークピース上に製作されているフィーチャの属性を迅速に評価するために、測定モジュールの場所は、特定の処理モジュールの近傍のプラットフォーム内に、又はエラーが発生しやすい可能性のある特定のプロセスに続けて配置してもよい。
本発明の一実施形態によれば、ワークピースを処理するための、及び電子デバイスを製作するための半導体製造プラットフォームは、共通の製造プラットフォーム上にホストされた複数の処理モジュールを含む。処理モジュールは、定義された処理シーケンスに従って、複数の処理工程において、異なるプロセスを容易にするように、及びワークピース上の材料を操作するように構成されている。より具体的には、処理モジュールは、ワークピース上に材料層を堆積するための1つ以上の膜形成モジュール、及び材料層を選択的に除去するための1つ以上のエッチングモジュールを含んでもよい。洗浄又はトラッキング又はフォトリソグラフィモジュールなどの他のモジュールもまた、共通プラットフォームに含まれてもよい。本発明で使用する場合、用語「処理モジュール」又は「モジュール」は、一般に、1つ以上のワークピースを収容する1つ以上の処理チャンバ、並びにまた、処理のための支持及び周囲インフラストラクチャ及び構成要素、例えば、ガス供給源、分配システム、RF(高周波)電源、DC(直流)電圧供給源、バイアス電源、基板支持体、基板クランプ機構、基板及びチャンバ構成要素温度制御要素等を含む処理システムを指すために使用される。
共通プラットフォーム上には、処理モジュールと共に1つ以上の計測又は測定モジュールがホストされている。測定モジュールは、ワークピースの1つ又は複数の属性に関連する測定データを提供するように構成されている。そのために、測定モジュールは、ワークピースの属性に関連するデータを測定するように動作可能な1つ以上の検査システムを含む。一般に、測定モジュールは、共通プラットフォーム内に、処理モジュールと共に位置付けられ、及び配置され、ワークピースがプラットフォーム内の処理モジュール内で処理される前及び/又は後に、測定を行う。
本明細書に開示されるように、「計測モジュール」又は「測定モジュール」という用語は、パラメータのばらつきなどのワークピース上の様々な不適合又はばらつきを検出する又は決定するために、又は何らかの種類の汚染などのワークピース上の欠陥を検出する又は決定するために、ワークピース上で測定を行うことができるモジュール/システム/センサ/ツールを指す。本発明で使用する場合、「検査システム」という用語は、一般に、測定に関連するデータ又は信号を測定及び収集する測定プロセス又はモジュールのツール又はシステムを指す。本明細書に更に開示されるように、測定モジュールは、測定を行い、処理プラットフォームで使用するためのデータを提供する。本明細書では、一貫性のために、「測定モジュール」という用語が使用されるが、これは限定されるものではなく、一般に、ワークピース並びにワークピース上に形成された層及びデバイスの処理を示すワークピースの属性を検出し、測定するために使用される測定又は計測又はセンシングツールを指す。
プラットフォーム内で及び様々な処理モジュール間でワークピースを移動させるために、共通の製造プラットフォームは、一般に、共通プラットフォーム上にホストされ、処理モジュールと測定モジュールとの間でワークピースを移動させるように構成された1つ以上のワークピース搬送モジュールを組み込む。測定モジュールは、処理モジュールに類似するワークピース搬送モジュールと結合されてもよい。本発明のいくつかの実施形態では、本明細書に開示されるように、測定モジュール又はそれに対応する検査システムは、ワークピースが処理モジュール間を移動する際に測定又は計測を行うために、搬送モジュールと共に又は搬送モジュール内に組み込まれている。例えば、測定モジュール又はその一部分は、搬送モジュールの内部空間内に配置されてもよい。本明細書では、搬送装置と測定装置とを組み合わせたものを搬送測定モジュールと呼ぶ。
本発明の一実施形態では、処理チャンバと測定モジュールとの両方を含む共通プラットフォームは、ワークピースの属性に関連する測定データを処理し、測定データを使用して、処理シーケンス内のワークピースの移動及び処理を制御するシステムによって能動的に制御される。本発明によれば、制御システムは、測定データ及びその他のデータを用い、測定データに一部基づく補正処理を実施し、処理シーケンスの能動的阻止を提供し、不適合又は欠陥を補正する。より具体的には、能動的阻止制御システムは、共通の製造プラットフォーム上にホストされ、測定データに一部基づく補正処理を実施するように構成されており、ワークピースの補正処理は、不適合又は欠陥が検出された状況に対処するために、プロセスシーケンスの上流又は下流にあるプラットフォームの処理モジュールで実施されてもよい。本発明の一実施形態では、ワークピースは、例えば、真空下などの制御された環境に維持される。即ち、共通の製造プラットフォーム上で、処理モジュール及び測定モジュールは制御された環境内で動作し、ワークピース搬送モジュールは、制御された環境を離れることなく、処理シーケンス内の複数の処理モジュールと1つ以上の測定モジュールとの間でワークピースを搬送する。
図2及び図3は、能動的阻止制御システムと結合された、複数の処理モジュール、1つ以上の測定モジュール、及び1つ以上の搬送モジュールを有する共通プラットフォームを組み込む例示的なシステム200、300を示す。このシステムは、本明細書に記載されるような本発明による半導体製作により作成される機能性マイクロ電子デバイスの歩留まりを向上させる。図2は、本明細書に記載されるような本発明による半導体製作中のシステミック層(systemic layer)又はフィーチャの不適合若しくは欠陥を改善又は補正するために、計測データの測定及びそのデータの使用を容易にする例示的なシステム200を図示する。例示的なシステム200は、上述し、図1に示した半導体製作方法100の様々なプロセスを実施するための様々なプロセスモジュールを含む。図2では、様々なプロセスは、製作に関連するタスク又はプロセスを、能動的阻止システムの制御下で測定モジュール及び搬送モジュールと共に実施する、記載の異なるモジュールにより反映される。
図示のように、共通プラットフォーム200のシステムは、特定の物理的なレイアウトではなく、プラットフォームの相互作用を示す。プラットフォーム200は、堆積モジュール210、エッチングモジュール218、洗浄モジュール220、トラックモジュール212、216、及びフォトリソグラフィモジュール214などの、半導体製作プロセスの様々なプロセスのための1つ以上の処理モジュールを含む。理解され得るように、1つ以上のモジュールは、共通プラットフォームに様々な手法で組み込まれてもよく、したがって、図は、要素/モジュールがプラットフォーム上にどのように組み込まれるかを示すというよりもむしろ、模式的なものである。プラットフォーム200のシステムは、測定データを捕捉するための1つ以上の計測又は測定モジュール202、204、206に加えて、製作プロセスを向上させるために、捕捉された測定データを使用して、測定データに少なくとも一部基づく補正処理を実施する能動的阻止制御システム208を更に含む。能動的阻止制御システムは、様々な測定モジュールと結合されており、ワークピースの属性に関連する測定データを処理し、測定データを用いて、ワークピース上の不適合を検出する。その後、能動的阻止制御システムは、処理シーケンスにおいて補正又は「補正処理」を行うように、ワークピースの移動及び処理を制御する。
本明細書に記載される計測技術は、例示的なプラットフォーム200、300の1つの部分/区分のみに、又は例示的なプラットフォーム200、300の複数の部分/区分に組み込まれてもよい。即ち、本明細書で記載される技術は、例えば、1つのプロセス又は1つのプロセスツール(例えば、エッチングモジュール218)のみの周りに組み込まれてもよい。代替的に、例えば、本明細書に記載される能動的阻止技術は、プロセスプラットフォーム200、300内の複数のプロセス及びツール及びシステムに対して実装されてもよい。例えば、補正処理は、少なくとも部分的に、プロセスシーケンスの上流又は下流にある1つ以上の処理モジュールの動作によって実施される。
本発明で使用する場合、「能動的阻止」という用語は、一般に、ワークピースの属性に関するデータを取得し、それによって不適合又は欠陥、及び不適合又は欠陥を補正若しくは改善するための制御補正点(corrective aspects of the control)を検出するために、様々な製作プロセスに関する測定/計測データをリアルタイムで捕捉するために実装される制御システムを指す。能動的阻止制御システムは、データを使用して、処理シーケンス及び/又はプロセス工程を実施するモジュールの動作を能動的に変化させることにより、半導体製作プロセスにおける様々な不適合を補正及び改善する。したがって、能動的阻止制御システムは、プロセスを通してワークピースを移動させるために使用される1つ以上の搬送モジュール222とも接続する。図2及び図3に示すような能動的阻止制御システム208は、データ収集及びデータ分析及び不適合の検出を製作プロセスと連係させ、更に、検出された不適合又は欠陥に対処するように、複数の処理ツール及び処理チャンバのアクションを指示する。能動的阻止制御システムは、概して、本明細書に記載されるような1つ以上のコンピュータ又はコンピューティングデバイスによって実装される。1つ以上のコンピュータ又はコンピューティングデバイスは、本明細書において能動的阻止コンポーネントと総称される深層学習プログラム又は自律学習コンポーネントなどの特別に設計されたプログラムセットを動作させる。理解され得るように、能動的阻止制御システムは、様々な測定モジュールからのデータ収集とその後の分析とを連係させるための複数のプログラム/コンポーネントを組み込んでもよい。システム208は、測定された様々な不適合/欠陥に対処して、不適合/欠陥を補正又は改善するために、製造プラットフォーム内の複数の処理モジュールと接続する。それにより、能動的阻止制御システムは、処理モジュール及び処理シーケンスのうちの1つ以上を制御して、本発明の所望の結果を達成する。
本発明はまた、定義された処理シーケンスに従って様々な処理モジュール間でワークピースを搬送するための1つ以上の搬送モジュール222を共通プラットフォーム内に組み込む。そのために、能動的阻止制御システムはまた、不適合/欠陥が検出された場合に上流及び/又は下流の処理モジュールにワークピースを移動させるように搬送モジュールを制御する。即ち、検出されたものに応じて、本発明のシステムは、処理シーケンス内でワークピースを更に移動させてもよい、又は検出された不適合又は欠陥を補正する或いはこれに対処するために、戻って、上流の処理モジュールにワークピースを案内してもよい。このように、フィードフォワードメカニズム及びフィードバックメカニズムが搬送モジュールを介して提供され、本発明の能動的阻止を提供する。更に、処理シーケンスは、将来のワークピースのために、上流又は下流で影響を及ぼされる可能性がある。
本発明の能動的阻止特徴は、収集された測定/計測データを用いて、ウェーハ内でのランツーラン、ウェーハツーウェーハ、及びリアルタイムプロセス制御を使用した製造プロセスの性能、歩留まり、スループット、及び柔軟性を向上させる。測定データは、ワークピース/基板/ウェーハを処理環境から取り出すことなく、処理中にリアルタイムで収集される。本発明の1つの特徴によれば、共通プラットフォームにおいて、測定データは基板が例えば真空下などの制御された環境に留まっている間に捕捉されてもよい。即ち、ワークピース搬送モジュールは、制御された環境を離れることなく、複数の処理モジュールと測定モジュールとの間でワークピースを搬送するように構成されている。能動的阻止制御は、投入されるワークピース及びモジュール又はツール両方の状態特性に基づいて各ワークピースに対する最適なレシピを自動的に決定するために、フィードフォワードメカニズム及びフィードバックメカニズムと共に開発された多変量モデルベースのシステムを提供することができる。能動的阻止制御システムは、製作測定データ、プロセスモデル、及び高度な制御アルゴリズムを使用して、最終デバイスターゲットを強化する中間プロセスターゲットの動的な微調整を提供する。阻止システムは、本明細書に記載されるような類似のビルディングブロック、概念、及びアルゴリズムを使用して、共通の製造プラットフォーム上で、単一のチャンバ、プロセスツール、マルチツール、プロセスモジュール、及びマルチプロセスモジュールにわたるスケーラブルな制御ソリューションを可能にする。
図3は、本発明の一実施形態を共通の製造プラットフォーム上で実施するための別のシステムの概略図である。プラットフォーム300は、本発明の実施形態による能動的阻止制御システムの制御下で統合的なワークピース処理及びワークピース測定/計測を実施するための複数の処理モジュール/システムを組み込む。図3は、1つ以上の基板測定モジュールが1つ以上の搬送モジュールを介して1つ以上のワークピース処理モジュールと互いに結合されている本発明の一実施形態を示す。そのようにして、本発明の特徴によれば、ワークピースが処理システム及びプラットフォーム内に留まっている間に、ワークピース、並びにワークピース上に形成された様々な薄膜、層、及びフィーチャの材料特性に関するものなどの、ワークピースの属性に関連する測定データを提供するために、ワークピースの分析が行われてもよい。本明細書に記載するように、測定及び分析は、エッチング又は堆積工程などの処理工程の完了時に即座に行われてもよく、収集された測定データは分析され、その後、ワークピース設計パラメータに対して仕様外若しくは不適合である又は欠陥を示す任意の測定値又はフィーチャに対処するために、共通プラットフォーム処理システム内で使用されてもよい。ワークピースは、共通の処理又は製造プラットフォームから取り出す必要はなく、所望であれば、制御された環境下に留まることができる。
図3を参照すると、本発明による共通の製造プラットフォームが300が図示される。プラットフォーム300は、製造プラットフォームに1つ以上のワークピースを導入するためのフロントエンドモジュール302を含む。周知のように、フロントエンドモジュール(FEM)は、ワークピースを保持する1つ以上のカセットを組み込んでもよい。フロントエンドモジュールは大気圧に維持されてもよいが、清浄な環境を提供するために不活性ガスでパージされてもよい。したがって、基板の1つ以上は、本明細書に記載するような1つ以上のロードロックチャンバ(図示せず)などを介して搬送モジュール304aに搬送されてもよい。図3の搬送モジュールは、搬送測定モジュール(TMM)であり、ワークピースからデータを捕捉するためにそこに統合された測定ツール又は検査システムを含む。複数のTMM304a、304bは、所望のシーケンスを通してワークピースの移動を提供するためにインターフェースされてもよい。搬送測定モジュール304a、304bは、複数の処理モジュールと結合されている。このような処理モジュールは、様々な異なる処理工程又は機能を提供してもよく、1つ以上のエッチングモジュール306a、306b、1つ以上の堆積モジュール308a、308b、1つ以上の洗浄モジュール310a、310b、及び1つ以上の測定モジュール312a、312b、312c、312dを含んでもよい。本明細書に更に開示されるような本発明の実施形態によれば、測定モジュールは、各処理工程の前又は後に搬送モジュール304a、304bを介してアクセスされてもよい。一実施形態では、測定モジュール312c、312dなどの測定モジュールは、搬送モジュール304a、304bの外部に配置されており、様々な処理モジュールと同様に、ワークピースの挿入及び受け入れのためにアクセスされる。或いは、モジュール312a、312bなどの測定モジュール又は少なくともその一部は、対応する搬送モジュール内に配置されてもよい。より具体的には、測定モジュール312a、312bの全部又は一部は搬送モジュール304a、304b内に位置し、搬送プロセス中にワークピースが測定のために配置されてもよい測定領域を画定する。測定領域は、搬送モジュールの専用領域内に位置し、ワークピースを配置するために、モジュールの搬送機構によりアクセス可能である。記載したように、これにより、搬送モジュールは、本質的に、本明細書に記載するような搬送測定モジュール(TMM)になる。
概して、搬送モジュールはその中に、搬送ロボットを収容するチャンバを画定する。搬送ロボットは、真空下で、様々なゲートバルブ及びアクセス又は搬送ポートを通して、様々な処理モジュール又は測定モジュールに基板を移動させることができる。共通の製造プラットフォーム300上に測定モジュールを維持することによって、測定モジュールは処理工程の1つ以上の間などに速やかにアクセスされ、仕様外の、或いは特定のワークピースの基板設計計画に不適合な任意の基板に対処するために又は検出可能な欠陥に対処するために使用される、必要な測定分析データをオンザフライで提供する。そのようにして、ファブリケータがシステム内の課題を早期に認識できるようにするためにリアルタイムデータが提供されることで、捕捉されたデータ並びに検出された不適合又は欠陥に応じて、後の処理工程、前の処理工程、及び/又は先の処理工程などの現在の処理シーケンスにおいて、改善アクションが取られてもよい。そのようにして、生産性及び効率を向上させることができ、プロセス監視の経費を低減させることができ、不合格の又は排出された基板の形態である無駄な製品を低減させることができる。これらは全て、ファブリケータ又はデバイスメーカに大幅なコスト削減をもたらす。
上述したように、能動的阻止制御システム322を組み込んだ本発明の一実施形態では、1つ以上の測定モジュールは、ワークピースの属性に関する測定データを提供するための処理モジュールと共に、共通プラットフォーム上にホストされている。データは、不適合を検出するために、及び不適合が検出された場合にはワークピースの補正処理を実施するために、能動的阻止制御システム322によって使用される。不適合が検出された場合、補正処理は、プロセスシーケンスの上流及び/又は下流で実施される。図4を参照すると、本発明の実施に適した共通プラットフォーム400上の例示的な処理システムが図示されている。処理システム400は、集積回路及び他のデバイスを製作するために半導体基板を処理するための複数のモジュール及び処理ツールを組み込む。処理プラットフォーム400は、処理モジュールと共に共通の製造プラットフォーム内に組み込まれた1つ以上の基板計測/測定モジュールを組み込む。例えば、プラットフォーム400は、図示されるように、ワークピース搬送モジュールに結合された複数の基板処理モジュールを組み込んでもよい。いくつかの実施形態では、測定モジュール又はツールもまた、少なくとも部分的に、基板搬送モジュール内に配置されている。したがって、基板は処理され、その後、能動的阻止制御システムにより更に処理されるワークピースの属性に関連する様々な製作データを収集するために、測定モジュールに直ちに搬送されてもよい。能動的阻止制御システムは、処理モジュール及び測定モジュールからデータを収集し、ワークピースの選択的移動及び複数の処理モジュールのうちの1つ以上の制御を介して、共通の製造プラットフォーム上で実行されるプロセスシーケンスを制御する。更に、プラットフォーム400の処理システムは、チャンバの制御された環境を離れることなく、搬送モジュールのチャンバ内、及び様々な処理モジュールと測定/計測モジュールとの間で基板又は他のワークピースを搬送してもよい。能動的阻止制御システムは、1つ以上の測定モジュールから取得したワークピース測定値から得られる情報を利用して、様々な処理モジュールの連続的なプロセスフローを制御する。更に、能動的阻止制御システムは、プラットフォーム400の連続的なプロセスフローを制御するために、処理モジュールのその場測定値及びデータを組み込む。制御された環境で取得された基板上の測定データは、本発明によるプロセスフロー制御及びプロセスの改善のために、単独で、又はその場処理モジュール測定データと組み合わせて利用してもよい。
再び図4を参照すると、プラットフォーム400のシステムは、システムにワークピースを導入するためのフロントエンドワークピース搬送モジュール402を含む。例示的なプラットフォーム400は、ワークピース搬送モジュール412の周縁部の共通の製造プラットフォーム内に構成された複数の処理モジュールを示す。プラットフォーム400のシステムは、カセットモジュール404a、404b、及び404cと、位置合わせモジュール404dとを含む。ロードロックチャンバ406a及びロードロックチャンバ406bもまた、フロントエンド搬送モジュール402に結合されている。フロントエンドモジュール402は、概して、大気圧に維持されるが、不活性ガスでのパージにより清浄な環境が提供されてもよい。ロードロックチャンバ410a及びロードロックチャンバ410bは、中央ワークピース搬送モジュール412に結合されており、基板を、プラットフォーム内での処理のために、フロントエンド402からワークピース搬送モジュール412に搬送するために使用されてもよい。
ワークピース搬送モジュール412は、非常に低いベース圧力(例えば、5×10-8トル以下)に維持されてもよい、又は不活性ガスで常時パージされてもよい。本発明によれば、基板測定/計測モジュール416は、大気圧下で動作させても、真空条件下で動作させてもよい。一実施形態によれば、測定モジュール416は真空状態に保たれ、ウェーハは、プラットフォーム400内で処理され、真空を離れることなく測定される。本明細書に更に開示されるように、計測モジュールは、1つ以上の材料特性、又はワークピースの属性、及び/又はワークピース上に堆積された薄膜及び層若しくはワークピース上に形成されたデバイスの属性を測定することができる1つ以上の検査システム又は分析ツールを含んでもよい。本発明で使用する場合、「属性」という用語は、処理シーケンスの処理品質を反映する、ワークピース、ワークピース上の層、ワークピース上のフィーチャ又はデバイス等の測定可能なフィーチャ又は特性を示すために用いられる。したがって、属性に関連する測定データを使用し、能動的阻止制御システムによって測定データを他のその場処理データと共に分析することにより、プロセスシーケンスを調整する。例えば、測定された属性データは、補正処理を施す、ワークピース上の不適合又は欠陥を反映している。
図4及びこの図に示されるプラットフォームは、本質的に単一の測定モジュール416を示す。しかしながら、理解されるように、及び本明細書に更に開示されるように、特定の処理プラットフォーム400は、ワークピース搬送モジュール412などの1つ以上のワークピース搬送システムの周りに組み込まれた複数のこのような測定モジュールを組み込んでもよい。このような測定モジュール416は、処理モジュールのように搬送モジュール412を介してアクセスされるスタンドアロンモジュールであってもよい。このようなスタンドアロンモジュールは、概して、モジュールの測定領域内に配置されたワークピースに係合して、ワークピースの属性に関連するデータを測定するように構成された検査システムをその中に組み込む。
本発明の代替的な実施形態では、測定モジュールは、搬送モジュール412によって画定される搬送チャンバの内部空間の専用領域内に位置する測定領域内に実装されてもよい。また更に、測定モジュールが組み込まれてもよく、この測定モジュールの少なくとも一部分は、ワークピース搬送モジュールの内部空間内に配置されており、測定モジュール又は測定モジュールの特定の検査システムの他の構成要素は、ワークピース搬送モジュールの外部に組み込まれ、開口部又は窓を介して、ワークピースが位置する又はワークピースが通過する測定領域を形成する内部空間の専用領域にインターフェースされる。
本発明のシステム及びプラットフォームの測定モジュールは、ワークピースの属性に関連するデータを測定するように動作可能な1つ以上の検査システムを含む。このようなデータは、処理シーケンスの品質並びにワークピース上に形成されている層及びフィーチャ及びデバイスの品質を反映する1つ以上の属性に関連付けられてもよい。その後、収集された測定データは、処理モジュールのデータと共に、ワークピース又はワークピース層/フィーチャ上の様々な不適合及び/又は欠陥を検出するために、能動的阻止制御システムによって分析される。その後、システムは、プロセスシーケンス内の上流又は下流の処理モジュールなどでワークピースの補正処理を提供し、不適合又は欠陥を改善/補正し、全体的なプロセスを改良する。
本発明の実施形態によれば、測定モジュール又はその検査システムによって取られた測定値、及び生成されたデータは、ワークピースの1つ以上の属性と関連付けられる。例えば、測定される属性としては、例えば、ワークピース上の層の層厚さ、層のコンフォーマル性、層の被覆範囲、若しくは層のプロファイル、エッジ配置位置、特定のフィーチャのエッジ配置誤差(EPE)、クリティカルディメンション(CD)、ブロッククリティカルディメンション(CD)、グリッドクリティカルディメンション(CD)、ライン幅粗さ(LWR)、ラインエッジ粗さ(LER)、ブロックLWR、グリッドLWR、選択的堆積プロセスに関する特性、選択的エッチングプロセスに関する特性、物性、光学的性質、電気的性質、屈折率、抵抗、電流、電圧、温度、質量、速度、加速度、又はワークピース上に製作される電子デバイスに関連するこれらのいくつかの組み合わせのうちの1つ以上が挙げられ得る。本発明の測定データを生成するために測定される属性のリストは限定されず、ワークピースの処理及びデバイスの製作に使用される可能性のある他の属性データを含み得る。
本明細書に更に記載されるように、属性データを提供するために使用される測定モジュール及び/又は検査システムは、本発明の測定及び計測を提供するためのいくつかの測定用のツール及び方法を実装してもよい。測定モジュール及び/又は検査システムは、光学的方法又は非光学的方法を含んでもよい。光学的方法としては、高分解能光学イメージング及び顕微鏡技術(例えば、明視野、暗視野、コヒーレント/インコヒーレント/部分的コヒーレント、偏光、Nomarski等)、ハイパースペクトル(マルチスペクトル)イメージング、干渉法(例えば、位相ずれ、位相変調、微分干渉コントラスト、ヘテロダイン、フーリエ変換、周波数変調等)、分光法(例えば、光学的発光、光吸収、様々な波長範囲、様々なスペクトル分解能等)、フーリエ変換赤外分光法(FTIR)反射光測定、スキャトロメトリ、分光エリプソメトリ、旋光分析、屈折率測定法等が挙げられ得る。非光学的方法としては、電子的方法(例えば、RF、マイクロ波等)、音響的方法、光音響的方法、質量分析法、残留ガス分析法、走査型電子顕微鏡法(SEM)、透過型電子顕微鏡法(TEM)、原子間力顕微鏡法(AFM)、エネルギー分散型X線分光法(EDS)、X線光電子分光法(XPS)、イオン散乱法等が挙げられ得る。例えば、ワークピースの属性に関連するデータを測定するために使用される検査システムは、以下の技術又はデバイス、即ち、反射光測定、干渉法、スキャトロメトリ、プロフィロメトリ、エリプソメトリなどの光学薄膜測定;X線光電子分光法(XPS)、蛍光X線分析法(XRF)、X線回折法(XRD)、X線反射率法(XRR)などのX線測定;イオン散乱分光法、低エネルギーイオン散乱(LEIS)分光法、オージェ電子分光法、二次イオン質量分析法、反射吸収赤外分光法、電子ビーム検査、粒子計数デバイス及び検査、光学検査、ドーパント濃度計測、4点プローブなどの膜抵抗率計測、渦電流測定などのイオン散乱測定;マイクロバランス、加速度計測定、電圧プローブ、電流プローブ、熱測定用の温度プローブ、又はひずみゲージのうちの1つ以上を使用してもよい。本発明の測定データを生成するための測定技術又はデバイスのリストは限定されず、本発明によるワークピースの処理及びデバイスの製作に有用なデータを得るために使用される可能性のある他の技術又はデバイスを含み得る。
測定モジュール及び/又は検査システムは、処理システムを通過する、製品ワークピース、又は非製品基板、即ちモニタリング用基板のいずれかを含む様々な基板又はワークピース構造に対して測定を行ってもよい。製品ワークピースに関しては、測定は、デバイスライク構造及びデバイスアンライク構造の両方である指定のターゲット構造、指定のデバイス領域、又は任意の領域に対して実施することができる。測定はまた、ワークピース上に作成された試験構造に対して実施してもよく、これらには、ピッチ構造、面積構造、密度構造等を含んでもよい。
図4を再度参照すると、搬送チャンバ412に結合されているのは、半導体又はシリコン(Si)ワークピースなどの基板を処理するように構成された複数の処理モジュール420a~420dである。Siワークピースは、例えば、150mm、200mm、300mm、450mm、又は450mmよりも大きな直径を有することができる。様々な処理モジュール及び測定モジュールは全て、例えばバルブGを有する適切なゲートアクセスポートを介してワークピース搬送モジュール412とインターフェースしている。本明細書で開示される本発明の一実施形態によれば、第1処理モジュール420aは、ワークピースに対して処理工程を実施してもよく、第2処理モジュール420bは、ワークピース上に自己整合単分子層(SAM)を形成してもよい。第3処理モジュール420cは、ワークピースをエッチング又は洗浄してもよく、第4処理モジュール420dは、適切な堆積プロセスによってワークピース上に膜を堆積させてもよい。
搬送モジュール412は、基板処理チャンバ420a~420dのいずれかの間で、次いで、特定の処理工程の前又は後のいずれかに基板計測モジュール416に基板を搬送するように構成されている。図4は、隣接する処理チャンバ/ツール構成要素間のアクセスポートで分離を提供するゲートバルブGを更に示す。図4の実施形態に示すように、基板処理チャンバ420a~420d及び基板計測モジュール416は、ゲートバルブGによって基板搬送チャンバ412に直接的に結合されてもよく、このような直接結合により、本発明による基板のスループットを大きく改善することができる。
プラットフォーム400の基板処理システムは、本明細書に開示されるような統合的な処理及び測定/計測プロセス中に、図4に示す様々な処理モジュール及び対応する処理チャンバ/ツールを制御するために結合され得る1つ以上のコントローラ又は制御システム422を含む。コントローラ/制御システム422は、1つ以上の更なるコントローラ/コンピュータ/データベース(図示せず)にも結合され得る。制御システム422は、ネットワークを介して、更なるコントローラ/コンピュータ又はサーバからセットアップ及び/又は構成情報を取得することができる。制御システム422を使用して、処理モジュール及び処理ツールのいずれか又は全てを構成及び実行し、様々な測定モジュールからデータを、処理モジュールからその場データを収集し、本発明の能動的阻止を提供する。コントローラ422は、処理モジュール及びツール構成要素のいずれか又は全てからのデータを収集、提供、処理、保存、及び表示する。制御システム422は、本明細書に更に記載されるように、測定されたデータ及びその場処理データを分析するための、並びに本発明の能動的阻止を提供するための深層学習ネットワーク、機械学習アルゴリズム、自律学習アルゴリズム、及び他のアルゴリズムなどのアルゴリズムを実装するためのいくつかの異なるプログラム及びアプリケーション及び処理エンジンを含むことができる。
本明細書に更に記載されるように、能動的阻止制御システム422は、マイクロプロセッサ、適切なメモリ、及びデジタルI/Oポートを有する1つ以上のコンピュータデバイスに実装することができ、通信し、プラットフォーム400の様々なモジュールへの入力をアクティブにし、プラットフォーム400上で実行される基板処理システムと情報を交換するのに十分な制御信号及び電圧を生成することができる。制御システム422は、プラットフォームを実行するために、プラットフォーム400の処理システムからの出力に加えて、プラットフォームの様々な測定モジュールからの測定データを監視する。例えば、所望の統合的なワークピース処理を実施するために、制御システム422のメモリに格納されたプログラムを用いて、プロセスレシピ又はシーケンスに従い、様々な処理システム及び搬送システムへの入力をアクティブにしてもよい。
制御システム422はまた、処理モジュールによって出力された測定データとその場処理データとを用いて、ワークピースの不適合又は欠陥を検出し、補正処理を行う。本明細書に記載するように、制御システム422は、プロセッサがメモリ内のプログラムに含まれる1つ以上の命令の1つ以上のシーケンスを実行したことに応答して本発明のマイクロプロセッサベースの処理工程の一部又は全部を実施する汎用コンピュータシステムとして実装されてもよい。このような命令は、ハードディスク又はリムーバブルメディアドライブなどの別のコンピュータ可読媒体から制御システムのメモリに読み込まれてもよい。メモリに含まれる命令のシーケンスを実行するために、マルチプロセッシング構成の1つ以上のプロセッサもまた、制御システムのマイクロプロセッサ要素として用いてよい。別の実施形態では、本発明を実施するために、ハードワイヤード回路を、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて使用してもよい。したがって、実施形態は、本明細書に記載するような本発明の計測ドライバプロセスを実行するために、ハードウェア回路及びソフトウェアの任意の特定の組み合わせに限定されない。
能動的阻止制御システム422は、プラットフォーム400の基板処理システムに対してローカルに配置されてもよい、又は基板処理システムに対してリモートに配置されてもよい。例えば、コントローラ422は、直接接続、イントラネット接続、インターネット接続、及び無線接続のうちの少なくとも1つを使用して、基板処理システム及びプラットフォーム400とデータを交換してもよい。制御システム422は、例えば、カスタマーサイト(即ち、デバイスメーカ等)のイントラネットに接続されてもよい、又は例えば、ベンダーサイト(即ち、機器製造業者)のイントラネットに接続されてもよい。加えて、例えば、制御システム422は、適切な有線接続又は無線接続を介して他のシステム又はコントロールに接続されてもよい。更に、別のコンピュータ(即ち、コントローラ、サーバ等)が、イントラネット接続及び/又はインターネット接続などの、直接有線接続又は無線接続のうちの少なくとも1つを介して、例えば、制御システム422にアクセスし、データを交換してもよい。また、当業者には理解されるように、制御システム422は、適切な有線接続又は無線接続を介して、基板処理システム400のモジュールとデータを交換する。処理モジュールは、処理チャンバ及びツール並びにモジュールのサブシステムを制御するための入力データを取り、処理シーケンス中に、プロセスパラメータ及びプロセスメトリックに関するその場出力データを提供する独自の個別制御システム(図示せず)を有してもよい。
図5A~図5Dは、本発明を実施するためのオンボード測定及び計測を備える共通プラットフォームの一実施形態を示す。図4に示すシステムと同様に、プラットフォーム500上に実装された基板処理システムは、カセットモジュール504a、504bとロードロックチャンバ510a、510bとに結合されたフロントエンド搬送システム即ちFEM502を組み込む。基板搬送モジュール512は、1つ以上の処理モジュール520a、520b、520c、及び520dと1つ以上の測定/計測モジュール516との間で基板を移動させる。概して、搬送モジュール512は、チャンバを有し、チャンバは、処理シーケンスにおいて、基板を、チャンバの内部空間を通してハンドルし、移動させ、処理モジュールに出入りさせる1つ以上の搬送機構又はロボット514を組み込む。
より具体的には、搬送機構514は、制御された環境を画定し得る搬送モジュールの内部空間513の内部に配置されており、ワークピースを、内部空間及び環境を通して移動させ、複数の処理モジュール520a~520d及び測定モジュール516に選択的に出入りさせるように、又は測定検査システムがデータを測定するために、内部空間の専用領域内の測定領域に出入りさせるように構成されている。本発明の1つの特徴によれば、搬送モジュール512の内部空間513と処理モジュール520a~520d及び測定モジュール516とは、共通プラットフォーム上で互いに結合されているので、全般的に、測定及び処理シーケンスの大部分又は全てを通して、ワークピースに対する制御された環境が維持され得る。このような制御された環境は、搬送モジュール又は測定モジュール内の真空環境又は不活性ガス雰囲気を含み得る。
図4に示す実施形態と同様に、図5Aのシステム500は、様々な処理モジュール520a~520dと同様に、適切なアクセスポート及びゲートGを介して搬送モジュール514と結合された少なくとも1つのワークピース測定/計測モジュール516を組み込む。
より具体的には、搬送モジュール512は、それぞれ適切なゲートGを備える複数のアクセスポート又はサイドポートを含み、それを通して、ワークピースを複数の処理モジュール520a~520dに及び複数の処理モジュール520a~520dから移動させる。プラットフォーム500上で効率的なスループットのために必要な処理シーケンスを提供するために、複数の処理モジュール520a~520dは、共通プラットフォーム上で様々なワークピース処理工程をハンドリングするモジュールを含む。例えば、プラットフォームは、1つ以上のエッチングモジュールと1つ以上の膜形成又は堆積モジュールとを含む。図5Aに示すように、測定モジュール516はまた、適切なゲートGを介してサイドポート又はアクセスポートのうちの1つで搬送モジュールと結合されている。図6Aに示すような他の実施形態では、測定モジュールは、搬送モジュールの頂部に形成されたポートで搬送モジュールと結合されている。本明細書に記載されるような別の更なる実施形態では、搬送モジュールは測定モジュールとしての役割も果たし、測定データを捕捉するための測定モジュールの少なくとも一部分は、搬送モジュールの内部空間の内部に組み込まれている又は配置されている。図7A~図7Cに示すような、このような実施形態の搬送測定モジュール(TMM)は、搬送モジュールの内部空間の専用領域内に位置する測定領域を含む。
能動的阻止制御システムは、処理シーケンスにおいて、処理モジュールのうちの1つ以上と測定/計測モジュール516との間で基板が移動する際にほぼオンザフライでワークピース測定データを収集する。データは、捕捉され、その後、不適合及び欠陥を検出し、本明細書に記載するような補正処理を提供するために、分析及び処理される。能動的阻止制御システム522は、検出された不適合/欠陥を補正するために実施される様々な製作処理工程に対して制御調整を行うために、シーケンスの処理工程の必要な制御を提供する。調整は、捕捉された測定データに先行する若しくはその上流のプロセス工程及び処理チャンバ、並びに/又は測定データに後続する若しくはその下流のプロセス工程に対して順に行ってもよい。或いは、適切な補正アクション又は補正処理は、救済できないワークピースに対して更なる時間及び材料を無駄にしないように、処理フロースループラットフォーム500からワークピースを排出することを含んでもよい。
図5Bを参照すると、共通プラットフォーム500のシステムを介し、処理シーケンスに関してリアルタイムで基板上で測定を行うための検査システム530を組み込む1つの例示的な測定モジュール516が図示されている。
検査システム530は、ワークピースの属性に関連するデータを測定し、このデータには、物性、化学的性質、光学的性質、電気的性質、材料性質、又はこれらの2つ以上の何らかの組み合わせなどの1つ以上の性質に関連するデータを含み得る。測定データはまた、ワークピース上に形成された1つ以上の層に関連するデータを含み得る。上述のように、測定モジュールにおいてデータを測定するために使用される検査システム又はツールは、信号源及び信号捕捉センサ、接触センサ、及び他の測定ツールを含む様々な異なる技術を使用して、以下の技術又はデバイスのうちの1つ以上、即ち、反射光測定、干渉法、スキャトロメトリ、プロフィロメトリ、エリプソメトリなどの光学薄膜測定;X線光電子分光法(XPS)、蛍光X線分析法(XRF)、X線回折法(XRD)、X線反射率法(XRR)などのX線測定;イオン散乱分光法、低エネルギーイオン散乱(LEIS)分光法、オージェ電子分光法、二次イオン質量分析法、反射吸収赤外分光法、電子ビーム検査、粒子計数デバイス及び検査、光学検査、ドーパント濃度計測、4点プローブなどの膜抵抗率計測、渦電流測定などのイオン散乱測定;マイクロバランス、加速度計測定、電圧プローブ、電流プローブ、熱測定用の温度プローブ、又はひずみゲージのうちの1つ以上を実施してもよい。ワークピースが、処理シーケンスを通して、及び計測モジュール即ちTMMを通って移動する際、検査システムは、処理工程及びモジュールの動作を決定し、本発明による補正処理の必要性を評価するために、ワークピースが処理モジュール内で処理される前又は後にデータを測定する。
図5Bの図示実施形態では、検査システム530は、測定信号534をワークピース536に向けて導く1つ以上の信号源532を組み込む。入射信号534は、ワークピース536の表面から反射又は散乱され、散乱した信号535は検出器540によって捕捉される。一実施形態では、ワークピースは、測定信号534を基板536上の様々な適切な位置に導くことができるように、図5Bの矢印によって示されるように横方向及び上下に並進し、回転してもよい測定プラットフォーム538上に、搬送機構514によって配置される。
即ち、図5Bの実施形態では、測定モジュールは、測定モジュール516内に配置されたワークピースを支持するための別個の支持機構538を含む。検査システムは、支持機構上に支持されたワークピースの属性に関連するデータを測定するために、支持機構538に係合する。このような状況では、測定モジュール516内の支持機構538は、概して、ワークピースを移動させて支持機構上に配置する搬送機構から分離されている。
別個の支持機構は、本明細書に記載するようなワークピースの属性に関連するデータを測定するために、垂直移動及び/又は水平移動などによってワークピースを並進させ、また、少なくとも2自由度を提供するために、ワークピースを回転させてもよい。支持機構はまた、ワークピースの温度を制御するための温度制御要素をその中に組み込んでもよい。したがって、図5Bの実施形態では、支持機構は、ワークピースが搬送機構によってその上に配置された後、データの測定に必要なワークピースの支持及び移動を提供する。図5Cに示すような本発明の代替的な実施形態では、搬送機構は、ワークピースの属性に関連するデータを測定するための検査システムと係合させるために、ワークピースを支持し、移動させる機能を提供する。
図5Cを参照すると、検査システムが測定データを取得するためにワークピースと係合することができるように、搬送機構は、測定モジュール内、又は搬送測定モジュールの場合には、搬送チャンバの専用領域内に位置する測定領域内、のいずれかにワークピースを配置する。即ち、搬送機構は、ワークピースを支持するための、並びにワークピースの属性に関連する測定のために必要な並進及び/又は回転を提供するための適切な支持機構として機能する又はこれを含む。
支持機構として機能する支持機構又は搬送機構は、クランプ機構(図示されているような、参照により本明細書に組み込まれる)を組み込んでもよい。また、ワークピース支持機構を提供する支持機構又は搬送機構は、本明細書に開示されるように、1つ以上の自由度を提供するために磁気浮上式ステージも組み込んでよい。
検査システム530は、1つ以上の検査信号源532と、測定されるワークピース536の表面から反射又は散乱された信号を捕捉するための1つ以上の信号コレクタ又は信号検出器540とを含む。検出器540は測定データ550を生成し、測定データ550は、本明細書に記載されるように、その後、能動的阻止制御システム522に案内されてもよい。
図5Bを再度参照すると、ワークピース搬送機構又はロボット514は、基板を、支持機構プラットフォーム538上に配置するために、又は図5Cの実施形態では、検査システムに係合させるようにワークピースを配置するために、処理チャンバ520a~520dから測定モジュール516に移動させる。検査システム530は、測定データを測定及び捕捉する。本発明の一実施形態では、測定モジュール516は、制御されてはいるものの真空ではない環境で動作する。或いは、測定モジュール516は、測定のために真空環境を提供する。そのために、基板搬送チャンバ512と測定モジュール516との間のアクセスポートにゲートバルブ552が組み込まれてもよい。理解されるように、測定モジュール516内で真空が必要な場合、その目的のために、適切な真空機器(図示せず)がモジュール516の内部空間と結合されてもよい。ワークピース536が測定されると、ワークピース536は、搬送チャンバ512の搬送機構514によって測定モジュール516から出すことができ、その後、例えば、データが能動的阻止制御システムによって分析され、補正処理アクションなどの適切なアクションが決定された後、プロセスフローに従って他の処理チャンバ520a~520dのうちの1つ以上に案内される。
本明細書に更に記載されるように、捕捉された測定データ550は、その後、制御システム522に案内され、測定される基板に対する特定のアクションを決定するために、更に評価及び分析されてもよい。測定されたパラメータが所望の設計及び製作プロセスの仕様の範囲内であること及び/又は対応に値する(actionable)欠陥の検出がないことを測定データが示す場合、ワークピースは、プラットフォーム500のシステム内のプロセスフローを通常通りに進んでもよい。或いは、ワークピースの補正又は改善が不能であることを測定データ550が示す場合、このワークピースは、更なる処理から排除されてもよい。或いは、本発明の実施形態によれば、能動的阻止制御システムは、現在のワークピースを補正するために、且つまた、システム内で後に処理される他のワークピースでの補正アクションの必要を防止するために、データを分析し、そのワークピースのために取るべき又は全体的なプロセスフローの様々なプロセス工程で行うべき1つ以上の補正工程として補正処理を提供してもよい。具体的には、図5Bを参照すると、能動的阻止制御システムは、プロセスフローに補正をもたらすための1つ以上の処理工程及び処理コンポーネントをその中に組み込んでもよい。まず、ブロック554によって示されように、必要な測定データ550が捕捉され、前処理されてもよい。次に、ブロック556によって示されるように、捕捉されたデータ、並びに処理モジュール及びプロセス工程のうちの1つ以上に関連する任意のその場処理データに対し、モデリング及びデータ分析が行われる。本明細書に更に記載されるように、モデリング及び分析では、深層学習及び自律学習プログラム及びコンポーネントを含む人工知能を利用してもよい。次に、分析は、処理工程及び処理チャンバのうちの1つ以上が、基板製作の全体設計に対して仕様外である層及びフィーチャの認識又は検出された不適合又は欠陥を補正又は改善するように制御される、プラットフォーム500のシステムに対する補正プロセス制御を提供してもよい。ブロック558の補正プロセス制御は、処理工程又は処理モジュールのうちの1つ以上に対して提供されてもよく、望ましい設計による全体的な基板製作内で、測定データ550の捕捉に時間的に先行する(上流)1つ以上の処理工程に適用されてもよい、又は測定データ550の捕捉に後続する(下流)プロセス工程の1つ以上に適用されてもよい。本明細書に記載するように、能動的阻止制御システム522、並びにブロック554、556、及び558によって示されるそのプロセスは、制御システム522及び/又はそのシステムのコンポーネントの1つ以上のコンピュータによって実行されるソフトフェアに組み込まれてもよい。
本発明の実施形態によれば、測定データを取得するための検査システムは、測定される属性又は測定の種類に応じて接触測定若しくは計測又は非接触測定若しくは計測を実施することにより、ワークピースに係合する。接触測定及び非接触測定両方の組み合わせを使用してもよい。検査システムの位置に応じて、検査システムの一部は、モジュールの内部空間又はチャンバ内に部分的に又は全体的に配置されてもよい。本明細書に開示される図5A及び図6Aの実施形態では、専用の測定モジュール516、616は、検査システムを完全に収容してもよい。或いは、測定モジュールの一部は、測定モジュールの別の部分がチャンバの外部に配置された状態で、ワークピース搬送モジュールの内部空間内などのチャンバの内部空間の内部に配置されてもよい。このような実施形態は、例えば図7Aに示されており、この図では、搬送チャンバ内部空間の専用領域内に位置する測定領域を使用する搬送測定モジュールが図示され、検査システムは、ワークピースの属性に関連するデータを測定するための測定領域内に配置されたワークピースに係合するように構成されている。
ここで図5Eを参照すると、検査システム530は、1つ以上の検査信号源532a、532b、532cを組み込んでもよい。1つ以上の検査信号源532a、532b、532cは、ワークピース536が検査システムに係合するために測定モジュール516又は搬送測定モジュール(TMM)内を移動する際にワークピース536の表面から反射される又は別の手法で導かれる検査信号を検知又は収集するための1つ以上の検出器540a、540b、及び540cと共に用いられる。本発明の実施形態では、検査システム530は、信号を生成し、支持機構538又は搬送機構514上に配置された及び/又は移動されたワークピース536の表面上に導くための1つ以上の信号源532a~532cを組み込む。
本発明の実施形態によれば、信号源532a、532b、532cは、ワークピース538の表面539に入射する電磁信号、光信号、粒子ビーム、又は荷電粒子ビーム、又は他の信号のうちの1つ以上を生成してもよい。これとは逆に、検出器要素540a、540b、540cは、ワークピースの属性に関するデータを測定し、計測を提供するために、ワークピース538の表面539から反射される又は別の手法で導かれる可能性のある、反射又は散乱された対応する電磁信号、光信号、粒子ビーム、又は荷電粒子ビーム、又は他の信号を受信するように配置されてもよい。
図5Eを参照すると、ワークピース536を保持する支持機構538又は搬送機構514は、ワークピース536上の様々な領域の測定を提供するために並進及び回転してもよい。そのようにして、測定データは、ワークピース全体の様々な部分又は区分で捕捉されてもよい。したがって、連続測定又はポイント毎の測定が可能なことにより、全体的な測定時間及び処理時間が減少する。
例えば、検査システムは、1平方センチメートルに等しい又はこれを超えるワークピースの部分にわたってデータを測定する。或いは、検査システムは、ワークピースの作業表面積の90%に等しい又はこれを超えるワークピースのかなりの部分を測定する又は画像化する。上述のように、検査システムは、ワークピースの作業面上の複数の離散的な位置で測定を実施してもよい、又はワークピースの一部分にわたって連続的な測定シーケンスを実施してもよい。例えば、検査システムは、ワークピースを横切って又は部分的に横切って延びる経路に沿って測定を実施してもよい。このような経路としては、線、一連の線、円弧、円曲線、螺旋曲線、アルキメデス螺旋、対数螺旋、黄金螺旋、又はいくつかのこれらの組み合わせが挙げられ得る。また、図5Cに示すように、信号源/検出器ペア532、540がそれぞれ、異なる検査システムからの異なる検査信号であり得ると共に、異なる形態の信号であり得るいくつかの検査システムが存在してもよい。例えば、検査システムに応じて、1つのシステム532a、540aは光信号を使用してもよく、他の532a、540bのうちの1つ以上は電磁信号を使用してもよい。
図5Eに示すような検査システムは、本明細書に記載するような測定モジュール内又は搬送測定モジュールの専用領域内にワークピースがある間、ワークピースの属性の測定を複数実施する。測定は、時間的に同時に行われてもよい。即ち、異なる検査システムが同時に測定を行ってもよい。或いは、様々な検査システムが異なる時に動作してもよい。例えば、1つの種類の測定又は検査システムのための1つの位置にワークピースを移動又は配置し、その後、同じ異なる種類の検査システムによる別の測定のためにワークピースを移動又は配置することが必要な場合がある。
検査システムは、検出器要素540a、540b、540cのための非接触信号を生成する信号源532a、532b、532cで示されるような、非接触測定及び計測を提供するための非接触システムであってもよい。或いは、測定モジュール又は搬送測定モジュールの1つ以上の検査システムは、センサ541などの接触センサを使用してもよく、接触センサは、測定を行うために、ワークピースの表面539の一部分にセンサ541を配置するための機構543によって移動され、位置付けられてもよい。本発明により提供される検査システムは、ワークピースの属性に関連する測定データを収集するために、接触検査システムと非接触検査システムとの組み合わせを組み込んでもよい。
図5Eに示すような、本明細書に記載するような測定モジュール又は搬送測定モジュールの検査システムで測定されるワークピースの表面539では、概して、ワークピースの上面又は加工面に関連する属性を測定する。しかしながら、本明細書に記載され、更に図示されるように、検査システムは、所望であれば、ワークピースの下面の測定を行い、そこからデータを収集するように配置及び位置決めされてもよい。
測定されるワークピース536は、多くの場合、半導体デバイスに仕上げられるワークピースであるが、本発明の測定及び計測は、このような製品ワークピース、又は非製品ワークピース若しくは基板、即ち、モニタリング用ワークピース若しくは基板のいずれに対しても実施することができる。製品ワークピース基板については、測定及び計測は、デバイスライク及びデバイスアンライクの両方である指定のターゲット構造上、指定のデバイス領域内若しくは上、任意の領域内若しくは上、又はワークピース上に作成された試験構造内若しくは上で実施され得る。試験構造は、ピッチ構造、面積構造、密度構造等を含み得る。
概して、いくつかの図に示されるように、本明細書に開示されるような測定モジュール又は搬送測定モジュールに実装されている検査システムは、支持機構又はワークピース搬送機構がワークピースを移動させて検査システムと係合させ、ワークピースの異なる領域で測定が行われる間、静止していてもよい。或いは、図5Dに示すように、検査システム530又はその一部は、ワークピース支持機構538、ワークピース搬送機構514、及びワークピースを含むモジュール又はチャンバ(測定モジュールのチャンバであるか搬送測定モジュールのチャンバであるかは問わない)に対して移動可能である。図5Dに図示されているように、検査システムは、ワークピースの領域から測定データを取得するために、静止したワークピースに対して並進する及び又は回転するように構成されてもよい。
本発明の他の実施形態では、検査システムは、ワークピース支持機構に埋め込まれても、ワークピース支持機構の一部であってもよい。図5Fを参照すると、検査システム530は、支持機構538上に取り付けられても支持されてもよい。したがって、ワークピースが支持機構上に配置されると、ワークピースは、検査システムによる係合に適した位置にあることになる。また、図5Fに示すように、検査システム531は、配置されたワークピースの下に置かれる或いはこれに隣接するように、支持機構に組み込まれてもよい。このような検査システムは、例えば、ワークピースの質量測定又は温度測定に関連する測定データを提供してもよい。
本明細書に更に記載されるように、検査システム530は、測定モジュール又は搬送測定モジュール内に位置してもよく、したがって、真空又は制御された環境内で測定データを提供するように動作してもよい。或いは、検査システムは、測定モジュールを画定するチャンバ又は内部空間の外部にある検査信号源532及び検出器540を組み込んでもよい。このような場合、図7Aに示すような搬送測定モジュールに関して本明細書に記載するように、信号は、概して、1つ以上の開口部、絞り、又は窓を通って、計測モジュールによって画定される空間に導かれてもよい。
図6A及び図6Bは、共通プラットフォーム600などにおいて、測定/計測モジュールが基板搬送チャンバを介して複数の基板処理チャンバと結合されている本発明の代替的な実施形態を示す。図6A及び図6Bに示す実施形態では、記載される各種要素は図5Aに開示した要素と類似しているため、このような類似の要素については、類似する参照番号のいくつかが維持される。より具体的には、本明細書に記載されるような測定モジュール及び/又は検査システムは、図5Aのプラットフォーム500及びモジュール516で記載したように、同様に実装及び動作してもよい。
図6Aに示す共通の製造プラットフォーム600のシステムでは、測定/計測モジュール616は、別個のモジュールとして実装されている。しかしながら、このモジュールは、搬送モジュール612の上に配置されており、搬送モジュールの頂部を通して、又はモジュール612の搬送チャンバ613の内部空間の頂壁を通してアクセスできる。図6Aに図示されているように、これにより、基板搬送チャンバ612の周りに配置された処理モジュール620eなどの追加の処理モジュールのための更なる空間及び場所を提供する。
図6Bを参照すると、示される測定/計測モジュール616は、搬送チャンバ612の上に位置している。したがって、測定/計測モジュール616は、モジュール616の底部領域を通して、及び本質的に搬送チャンバ612の頂壁を通してアクセスされてもよい。そのために、基板搬送チャンバ612の頂部にある開口又はポート652は、測定/計測モジュール616の底部にある開口又はポートと一致する。例えば、図6Bに示すように、測定/計測モジュール616と搬送チャンバ612との間の接合部に示されるそのアクセスポート652にゲートバルブが用いられてもよい。ゲートバルブは、測定/計測モジュール616内で真空が維持されるかどうかに応じて、任意であってもよい。
ワークピース636をその上に支持するための支持機構638は、図6Bに示すように、支持機構638を上昇及び下降させるための昇降機構639を含む。破線で示すような下降位置では、機構638は、搬送機構又はロボット614からワークピース636を受け取るための位置にある。その後、機構639は、支持機構638を、1つ以上の検査システム630によって係合させるために、測定モジュール616によって画定されるチャンバ内に上昇させる。図6Bは、単一の非接触検査システム630を開示しているが、図5E及び関連する図に関して記載したように、他の接触及び非接触検査システムを、プラットフォーム600内の測定モジュール616に対して用いてもよい。支持機構638及び検査システム630は、プラットフォーム500に関して本明細書に記載したように動作してもよく、そのプラットフォームに関して記載したような全ての特徴を有し得る。更に、単一の測定モジュール616が図示されているが、他の測定モジュール及び検査システムが共通プラットフォーム600上の搬送モジュール612の上面上に実装されてもよいことは理解されるであろう。
本明細書に記載されるように、検査信号源632は1つ以上の検査信号634をワークピース636の表面に送信し、これらの信号は、その後、信号635によって示されるように反射又は散乱され、適切な検出器640によって受信される。それにより、測定/計測データ550が生成され、能動的阻止制御システム522によって、本明細書に記載されるように適切に処理されてもよい。能動的阻止制御システム522は、データを捕捉し、データをモデル化及び分析し、その後、プラットフォーム600内のシステムの補正プロセス制御を提供する。制御システムはプロセスフローに影響を与え、不適合又は欠陥を示す、又は特定の層、フィーチャ、若しくはデバイスが製作設計の仕様外であることを示す任意の測定値を補正又は改善する。理解され得るように、図6A及び図6Bに示す実施形態は、複数の異なる処理モジュールを、1つ以上の測定/計測モジュールと共に共通の製造プラットフォーム上にホストする能力を提供し、処理シーケンス中に測定/計測データをリアルタイムで捕捉するために、処理されるワークピースを、制御された環境又は真空環境から基板を取り出すことなく、制御された環境で又は真空下で測定/計測モジュールに直ちに案内することができる。
共通の製造プラットフォームは、1つ以上の測定モジュールをエッチングモジュール及び膜形成モジュールなどの処理モジュールと併せて組み込んでもよいが、本発明の別の実施形態によれば、測定/計測モジュールの機能は、ワークピースを処理シーケンスに従い様々な処理モジュールを通して移動させることができる搬送モジュール内に組み込まれてもよい。より具体的には、搬送モジュールは、概して、ワークピースを、搬送モジュールを通して移動させ、選択した処理モジュールに出入りさせるための、ロボットなどの搬送機構を保持する内部空間を画定する搬送チャンバを含む。本発明の特徴によれば、測定領域は、搬送チャンバ内部空間の専用領域内に位置する。測定領域は、測定データを取得する目的で測定領域内にワークピースを配置するための搬送機構によりアクセス可能である。より具体的には、ワークピースは、処理工程又はその時点までの全体的な処理シーケンスの特定の結果を判定するために、ワークピースが処理モジュール内で処理される前又は後に、測定領域内に配置されてもよい。検査システムは、測定領域内に配置されたワークピースに係合するように構成されている。検査システムは、本発明の特徴によれば、ワークピースの属性に関連するデータを測定するように動作可能である。本明細書に更に記載されるように、搬送機構は、測定を行うために、測定領域内に位置する別個の支持機構上に基板を置いてもよい。或いは、搬送機構自体が支持機構として動作してもよく、検査システムによる係合に適した測定領域内にワークピースを移動させ、配置してもよい。したがって、別個の測定モジュールは必要ない。むしろ、搬送モジュールの搬送チャンバ内のスペース(real estate)が、測定のためのワークピースへのアクセスを提供する。
図7Aは、通過中にワークピースから測定データが収集され得る測定領域を形成するための専用領域を利用する、本発明の一実施形態による搬送モジュールを組み込んだ共通プラットフォーム700上の処理システムを示す。そのようにして、本明細書に記載されているように、ワークピースは、真空環境などの制御された環境内に留まっている間に、処理し、測定することができる。ワークピースは、プロセスがどのように進行しているかを判断するために、及び不適合又は欠陥を検出するために、プラットフォーム700の環境を離れる必要はない。したがって、図7Aに示すような実施形態は、1つ以上の処理モジュールと共に又は共通プラットフォームの一部として利用され得る搬送測定モジュール(TMM)を形成する。更に、本明細書に記載するような複数の搬送測定モジュールは、連係し、より大きな共通の製造プラットフォームを形成するように、一緒に利用され、接続されてもよい。
搬送測定モジュール(TMM)内に組み込まれた検査システムは、本明細書に記載されている他の検査システム内で、これと同様に動作する。図7B及び図7Cに示すこのような検査システムは、例えば、特定の検査システムのみを示す。しかしながら、図5A~図5Fに関して記載したような他の検査システム及び特徴もまた、図7Aに示す搬送機構モジュールに適用可能である。したがって、図7A~図7Cでは、本明細書で前述したような、いくつかの共通の参照番号が用いられる。
プラットフォーム700は、測定/計測データを提供するワークピース搬送モジュール712を組み込む。搬送測定モジュール(TMM)712は、搬送チャンバ713の内部空間内にハンドリングロボット714の形態などのワークピース搬送機構を含む。搬送機構714は、プラットフォーム500及びプラットフォーム600と同様に、1つ以上のワークピースを、搬送モジュール712を通して、共通の製造プラットフォーム内の、搬送チャンバ712に結合された様々な処理モジュールの間で移動させるように動作可能であり、図7Aに示される。本発明の1つの特徴によれば、搬送チャンバ713は、測定のために使用される専用領域を含む内部空間を画定する。TMM712の測定領域715は、専用領域内に位置している。測定領域/エリア715は、測定のために1つ以上の検査システム730の近傍にある。
より具体的には、測定領域715は、ワークピースを、プロセスシーケンスを通して移動させ、様々な処理モジュールに出入りさせる際に、搬送測定モジュールの主目的を妨げないように、搬送チャンバ713内に配置されている。測定領域は、測定のためにワークピースを配置するための1つ以上の位置を画定する。そのために、1つ以上の検査システムは、搬送チャンバ713の測定領域内に配置されたワークピースに係合するように構成されている。その後、本発明によれば、検査システムは、ワークピースの属性に関連するデータを測定するように動作可能である。本明細書で開示された検査システムに関して述べたように、検査システムによる測定データの収集中にワークピースを支持するために、測定領域715内に支持機構が配置されてもよい。或いは、搬送機構714は、搬送チャンバの測定領域715内でワークピースの位置決め及び支持を提供してもよい。本発明の実施形態によれば、ワークピースは、処理シーケンス中、その測定領域に関連付けられた1つ以上の検査システムから測定データを取得するために、測定領域715内に又は測定領域715を通って移動させることができる。図7Aでは例示のために単一の測定領域を示しているが、複数の測定領域750をTMM712に組み込んでもよい。
図7Bを参照すると、TMMモジュール712は、測定領域715内に位置する1つ以上の検査システム730を組み込み、処理シーケンス中にリアルタイム測定値及び測定データを取得する能力を提供する。一実施形態では、TMM712内の測定領域715は、チャンバ713内での測定のために機構714からワークピースを受け取る支持機構738を組み込む。測定データは、ワークピースが処理モジュール間を移動する際に捕捉される。
概して、TMM712内の検査システム730は、測定領域に近接して配置されており、ワークピースの属性に関連するデータを測定するために、測定領域715内のワークピースに係合するように構成されている。上述したように、測定領域を画定するための専用領域は、ワークピースをプロセスシーケンス内で、1つ以上の処理モジュールを通して移動させる際に、ワークピース支持機構及び任意の対応する検査システムがTMMの主機能を妨げることのないように配置されている。図7Cに示すように、測定モジュール、又は測定モジュールの一部である検査システムは、測定を行うために、TMM内に全体的に収容されてもよい。他の実施形態では、測定モジュール又は検査システムの少なくとも一部分は、図7Bに示すように、内部空間の専用領域内に測定領域を画定するように、TMMの内部空間の内部に配置されている。
TMM712の一部である測定モジュールの検査システム730は、検査信号を生成するための1つ以上の信号源732と1つ以上の検出器740とを含む非接触システムであってもよい。入射信号734は、ワークピース736の表面から反射又は散乱され、散乱した信号735は検出器740によって捕捉される。或いは、図5Eに示すような接触システムも使用してよい。
図7B及び図7Cは、TMM712の代替的な実施形態を示す。図7Bの実施形態では、測定モジュールの少なくとも一部分又は測定モジュールに関連付けられた検査システムの少なくとも一部分は、TMM712のチャンバ713の内部空間の内部に配置されている。より具体的には、測定領域715が、搬送チャンバ713の内部空間の専用領域内に画定され、位置する。検査システムの信号源及び信号検出器要素は、搬送チャンバ内部空間713の外部に位置しているが、ワークピース736を支持するためのワークピース支持機構738及び搬送機構714は、搬送チャンバ713内に収容されている。そのために、検査信号734は、検査システムからの検査信号の通過に対して事実上透過的である適切なアクセスポート750を通過し、内部空間に入り、測定領域715内に配置されたワークピース736に係合する。上述したように、検査信号は、電磁信号、光信号、粒子ビーム、荷電粒子ビーム、又はこのような信号のいくつかの組み合わせを含んでもよい。アクセスポート750は、特定の検査システム及び検査信号の供給源と共に動作するように適切に形成され得る。例えば、アクセスポートは、入射する検査信号がワークピース736に係合できるようにするために、窓、開口、バルブ、シャッタ、及び絞り、又はアクセスポートを形成するための異なる構造体のいくつかの組み合わせを含んでもよい。そのために、検査システム730の少なくとも一部分は、概して、搬送チャンバ713の上面より上に位置してもよい。
本発明の特徴によれば、支持機構738又は搬送機構(測定のためにワークピースを支持しているいずれか)は、システムに対してワークピースを走査するためにワークピース736の移動を提供する。或いは、開示したように、検査システムが走査される間、ワークピースは静止していてもよい。一実施形態では、基板支持機構は、検査信号734の経路下で、図7B及び図7Cに参照矢印で示されているようなワークピースの並進及び回転を提供する。そのようにして、測定/計測データは、基板層及び/若しくはフィーチャは仕様外であることを示すデータに対処するために又は検出された不適合若しくは欠陥を補正するために製作プロセスに対して補正を行うために基板処理及び製作中に能動的阻止を提供するための、本明細書に記載される制御システム522によって捕捉され、その後、利用されてもよい。
本発明の1つの特徴によれば、搬送機構714は、処理モジュール720a~720eのうちの1つ以上からワークピースを取り出し、それを別の処理チャンバ上に移動させる前に、この基板をTMMの測定領域715に通過させる。例えば、機構714は、支持機構738上にワークピース736を案内してもよく、支持機構738は、1つ以上の検査システムの信号734に対して並進する及び/又は回転する。
図7Cは、本発明のTMMの別の実施形態を示す。この実施形態では、測定モジュールは、概ね全体的に搬送チャンバ713の内部空間の内部に配置されている。即ち、支持機構738並びに検査システム730及び構成要素は、搬送測定モジュール712の内部に収容されている。概して、検査システム及び支持機構を含む測定モジュールの構成要素は、画定された測定領域715内に配置されており、したがって、TMMの内部空間又はチャンバ内に独自の専用領域を有する。
図7B及び図7Cに示されるTMMの実施形態は、検査信号がワークピース上に導かれる非接触検査システム730を組み込む。或いは、上述したように、検査システム730はまた、ワークピースの属性に関連するデータを測定するためにワークピースに物理的に接触する又は支持機構に接触する又はこの両方を行う、図5Eに示されるような接触測定システムを含んでもよい。更に、図7B及び図7Cは、支持機構738上へのワークピース736の配置を図示するが、図5Cに示すように、搬送機構又はロボット714が、検査システムに対してワークピースを移動させるための支持機構として実際に機能してもよい。また更に、TMMで使用される測定モジュールの検査システムはまた、図5Dに示すように、静止したワークピースを組み込んでもよく、検査システム自体が移動する。同様に、検査システム530は、図5Fに示すように、支持機構の一部として組み込まれてもよい又は支持機構と共に埋め込まれてもよい。
測定モジュールの少なくとも一部分がTMMの内部空間の内部に配置されるように組み込むことによって、ワークピースは処理モジュール間で搬送されている間に測定領域に通されるので、効率化を図ることができる。搬送機構714をワークピースの支持機構として利用することは、図7Aに示すようなTMMに特に好適である。そのために、図7D及び図7Eは、検査システムが搬送機構714上に直接組み込まれてもよい本発明の別の実施形態を示す。図示されているように、検査システム730は搬送機構714に結合され、ワークピースと共に移動してもよい。そのようにして、ワークピースが処理チャンバ間で移動しているとき、ワークピースは、測定データの取得のために移動されている際に検査システム730によって係合され得る。図7Eを参照すると、検査システム730は、搬送機構によって運ばれるワークピース736のいずれかの表面からデータを取得するために、搬送機構と関連付けられたロボットの上方及び/又は下方に組み込まれてもよい。図7D及び図7Eに示すシステムは、ワークピースが別個の検査システムに実際に移動している間にデータを取得するために利用してもよい。したがって、図7D及び図7Eに示す搬送機構714は、本明細書に開示されるような測定モジュール又は搬送測定モジュールの様々な実施形態と共に組み込まれてもよい。
本明細書に記載される特定の測定状況及び検査システムは、本質的にワークピースの上面又は本質的にデバイスが形成されるワークピースの加工面を対象とするように示されている。或いは、ワークピースの下面での測定が望まれる場合がある。これは、図5Fに示すように、埋め込み式の測定システムを組み込む支持機構上にワークピースを配置することによって行ってもよい。或いは、図7F及び図7Gに示すように、検査システムは、ワークピースの下面が図7Fのようにチャンバ713の内部空間内又は図7Gに示すように外部のいずれかから測定されるように、TMM712内に配置されてもよい。
理解されるように、図7A~図7Cに開示されている実施形態は単一の検査システムを示すが、ワークピース上で様々な異なる測定値を取り、それによって、検出された不適合又は欠陥を補正又は改善する工程を能動的阻止制御システム522が取るための入力を提供するために、搬送測定モジュール712内で複数のシステム730が利用されてもよい。測定は、制御された環境又は真空下であり得るTMMの処理環境内でオンザフライで行われてもよい。そのようにして、フィーチャ及び/又は属性の様々な測定値が搬送モジュール内の汚染物質のない区域内で決定されてもよい。搬送測定モジュール(TMM)の内部では、ワークピースは、真空を破壊することなく、処理モジュールから測定領域715に移動してもよい。搬送測定モジュール712は、図示されているように、複数の異なる処理チャンバと共に共通の製造プラットフォームに組み込まれてもよいモジュールを提供する。ワークピースは処理シーケンスが完了すると様々な処理モジュール間で移動するので、全体的な処理シーケンスの時間を大幅に増加させることなく、基板は測定領域715を通過することができる。それにより、測定データはリアルタイムで速やかに収集され、測定されたデータに応じて、必要に応じ、処理シーケンスに影響を与える又はこれを補正するために、本明細書に記載の制御システム522によって処理されてもよい。
本発明の特徴によれば、本明細書で利用される基板支持機構538、638、738は、測定モジュール又は搬送測定モジュール(TMM)内のワークピース表面上で必要な測定を行うために、複数の自由度及び運動を提供する。例えば、基板の回転に加えて、多軸X-Y-Z並進が提供される。支持機構は、データを捕捉する目的でワークピースの移動のサブミクロンレベルの制御を提供してもよい。本発明の一実施形態によれば、運動の複数の自由度を提供するために、機械的な駆動システムが支持機構及びプラットフォーム内で用いられてもよい。本発明の代替的な実施形態では、磁気的に浮上し、回転する支持プラットフォームが用いられてもよい。このような支持機構及びプラットフォームは、機械的な駆動システムを用いる支持プラットフォームに関連する、起こり得る汚染の一部を低減することができる。
具体的には、図7H及び図7Iは、回転可能なワークピースホルダ772を組み込む支持プラットフォーム770を示す。ホルダ772は、例えば、アルミニウム製であってもよい。回転ホルダ772の下では、ヒータ要素774がワークピースホルダ772に熱を提供してもよい。ワークピースホルダ772は、同様にアルミニウム製であってもよい適切なアダプタ778を介して磁気浮上ロータ要素776に結合されている。概して、磁気浮上ロータ要素776はリング状であり得る。図7Iは、ワークピースホルダ772の部分断面のみを示す。図7Hは、線形並進機構780と結合されたワークピースホルダ772全体を示す。
支持機構プラットフォーム770はまた、磁気浮上ロータ要素776を取り囲み且つこの近傍にある磁気浮上ステータ又は要素790を組み込む。ロータ要素776とステータ要素790との相互作用により、ワークピースホルダ772はベース792の周りで回転してもよい。
支持プラットフォーム770を並進させるために、ベース要素792及び回転ワークピースホルダ772は、並進機構794に取り付けられている。並進機構794は、取付要素782を介して支持プラットフォームのベース要素792に適切に結合された1つ以上の並進ロッド780を組み込んでもよい。支持プラットフォーム770は、真空環境に組み込まれてもよく、具体的には、計測データの捕捉のために1つ以上の検査システムの近傍でワークピースの回転及び並進を提供するために、本明細書に開示されるような様々な測定モジュール又は搬送測定モジュールに組み込まれてもよい。支持プラットフォーム770は、望ましい測定データを提供するために、制御システムの方向に最大300mm/sの速度で並進させてもよい。ワークピースホルダを並進させているとき、ワークピースホルダは、例えば、最大120RPMの速度で回転させてもよい。また、加熱要素774によって加熱が提供されてもよい。並進ロッド780はまた、ワークピースホルダ772を別の軸に沿って移動させるための追加の並進機構のみならず、支持プラットフォーム770を昇降させるための昇降機構(図示せず)に結合されてもよい。ワークピースホルダ772は、本明細書に開示されるような測定モジュール又は搬送測定モジュール内に位置しているが、並進ロッド780の一部などの並進機構の各種要素及びこのような機構のための駆動モータを含む他の機構は、測定モジュール又は搬送測定モジュールの外部に配置されてもよい。アウトガス及び潜在的汚染物質がチャンバに入って基板上に載ることを防ぐために、様々な材料でできた1つ以上の保護層が回転構成要素に塗布されてもよい。適切な支持プラットフォーム770の詳細は、2017年11月8日に出願され、参照によりその全体が本明細書に組み込まれる「Magnetically Levitated and Rotated Chuck for Processing Microelectronic Substrates in a Process Chamber」と題される米国特許出願公開第2018/0130694号明細書に更に記載されている。
図8、図8A、及び図8Bは、画定された測定領域が、搬送測定モジュール内だけでなく、搬送測定モジュールと1つ以上の処理モジュール又は他の搬送モジュールとの間でワークピースを移動させるために搬送測定モジュールが利用するパススルーチャンバ内にも実装される本発明の代替的な実施形態を示す。このような測定領域は、パススルーチャンバの内部空間の専用領域内に配置されてもよく、測定領域内にワークピースを配置する目的でワークピースを移動させる搬送機構によりアクセス可能である。これは、ワークピースが処理モジュール内で処理される前又は後に行われてもよい。本発明の特徴によれば、検査システムが1つ以上の測定領域と関連付けられており、検査システムは、ワークピースの属性に関連するデータを測定するために測定領域内に配置されたワークピースに係合するように構成されている。図8Aを参照すると、搬送測定モジュール812aは、通過チャンバ830を介して搬送モジュール812bと結合されている。搬送測定モジュール812aはその内部に、測定データを収集するための適切な検査システムと関連付けられた1つ以上の専用の測定領域815を含む。搬送モジュール812bは、測定能力のない典型的な搬送モジュールとして示されているが、この搬送モジュールはまた、1つ以上の専用の測定領域及び検査システムを組み込んでもよい。モジュール812a、812bのそれぞれは、1つ以上の処理モジュール820a~820eを支持するためのプラットフォームとして機能する。対応する搬送機構814は、図示されているように、ワークピースを処理シーケンスを通して移動させ、能動的阻止制御システム522の制御下で処理モジュールの様々なモジュールに出入りさせる。例えば、そのようにして、ワークピースは、搬送測定モジュール812aによって画定されるプラットフォームと関連付けられた処理シーケンスを通して移動し、その後、ワークピースをパススルーチャンバに通過させ、搬送モジュール812b内の他の搬送機構814に係合させて、異なる処理シーケンスに移動させてもよい。
本発明の一実施形態によれば、パススルーチャンバは、搬送測定モジュール812aと別の搬送モジュール812b、又は図8Bに示すように、処理モジュールとの間でのワークピースの移動を可能にするために、内部空間832を有する。搬送モジュールのそれぞれは、搬送機構814を収容する内部空間を有する搬送チャンバ813を組み込んでもよい。上述したように、搬送機構は、様々なワークピースを内部空間を通して移動させ、様々な処理モジュール又はパススルーチャンバ832に選択的に出入りさせるように構成されている。専用の測定領域815がパススルーチャンバ内部空間832内に配置されている。パススルーチャンバ内の測定領域815は、隣接する処理モジュールのうちの1つにおいてワークピースが処理される前又は後にその測定領域内にワークピースを配置するために、搬送機構814のいずれによってもアクセス可能である。搬送チャンバ830の測定領域は、測定領域内に配置されたワークピースに係合するように構成され、ワークピースの属性に関連するデータを測定するように動作可能な、本明細書に記載されるような1つ以上の検査システムを含む。そのようにして、測定又は計測データは、ワークピースを隣接する処理プラットフォーム間で移動させる際に又は他の処理モジュールに出入りさせる際に収集されてもよい。
例えば、図8Bは、パススルーチャンバ830を用いた別の構成を示す。プラットフォーム800は、例えば、図示されているようにいくつかの処理モジュールを組み込む搬送測定モジュール812aを含んでもよい。パススルーチャンバ830は、図8Aに示すような別の搬送モジュール又は搬送測定モジュールではなく、別の処理モジュール820fへと通過してもよい。したがって、本発明の実施形態によれば、測定モジュール及び/又は検査システムは、プラットフォーム間で又は処理モジュール間で基板を移動させるために用いられるパススルーチャンバを含む他の領域内に測定領域及び検査システムを組み込むことによって、共通プラットフォーム上に様々な処理モジュールと共に組み込まれる。
図9、図9A、及び図9Bは、1つ以上の検査システムが搬送モジュール、具体的には、モジュールの搬送チャンバと結合された本発明の更に別の実施形態を示す。図9を参照すると、搬送モジュール912と複数の処理モジュール920a~920eとを組み込むプラットフォーム900が図示されている。搬送モジュールは、ワークピースを移動させるための内部空間を画定する搬送チャンバ913を含む。図示されているように、搬送チャンバ913はまた、搬送チャンバの外周部の周りに配置され、ゲートバルブGを介してアクセスされてもよい1つ以上の搬送ポート919を用いる。図9に示すように、搬送ポート919は、1つ以上の処理モジュールの入口と同一空間を占め、したがって、搬送ポートは対応する処理モジュールに対向する。搬送機構914は、搬送チャンバ913の内部空間内に配置されており、ワークピースをチャンバ内部空間内で概ね水平面917に沿って移動させるように構成されている。搬送機構914は、モジュール912内の対応する搬送ポートに対向して配置された1つ以上の処理モジュールにワークピースを選択的に出入りさせる。
1つ以上の検査システム930は、搬送チャンバ913と結合されており、搬送ポート919と同一空間を占める測定領域915に係合する。検査システムは、本明細書に記載するような構成要素を含み、図9Aに示すような、水平面917に対向して配置されたセンサアクセスポート又は開口部950を含んでもよい。検査システム、及び具体的には、センサ開口部のそれぞれは、搬送チャンバ913の外周部内に位置し、ワークピースが図9A~図9Bに示すように対応する搬送ポート919を通って処理モジュールに出入りする際に、ワークピースへのアクセスを提供する。図9Aは、検査信号934を信号源932から開口部950を通して、その後、搬送チャンバに導き、ワークピースに係合させる検査システム930を示す。ワークピースは、搬送チャンバ913から搬送ポート919を通って処理モジュール内に水平に移動する。その後、適切な検出器940が、測定データの取得のために、散乱した信号935を検出する又は測定する。
本発明の一実施形態では、検査システムは、光源932と画像捕捉デバイス940とを用いる光検出システムであってもよい。その後、この画像捕捉に関連するデータは、能動的阻止制御システム522などによって処理されてもよい。能動的阻止制御システムを介して実行される画像処理システムを含む検査システムは、捕捉された画像の表面成分を分析してもよい。或いは、このような光検出システムは、光検出システムによって捕捉された画像に関連するパターン分析、又は厚さ分析、又は応力分析を用いてもよい。その後、このような測定データは、本発明によれば、任意の不適合又は欠陥の検出に関連する能動的阻止及び補正処理を提供するために用いられてもよい。
図9Bは、検査システム930が、図示されているようにワークピースが移動する水平面917に対向して内部に配置されるように、全体的に搬送モジュール912のチャンバ913内に位置してもよく、且つ処理モジュールの搬送ポートに近接する対応する領域915内に配置されてもよい本発明の別の実施形態を示す。検査システム930は、ワークピースの表面に関連する画像を捕捉し、画像は、その後、表面分析、パターン分析、厚さ分析、応力分析等を提供するために、能動的阻止制御システムによって処理されてもよい。そのようにして、ワークピースが共通プラットフォーム900内の様々な処理モジュールに出入りする際に、測定データがオンザフライで取得されてもよい。
図10A及び図10Bは、不適合及び欠陥の補正における全体的なプロセスシーケンスを制御するための能動的阻止制御システムによって利用される測定データを提供するための1つ以上の測定/計測モジュールと併せて、1つ以上のエッチングモジュール及び1つ以上の膜形成モジュールを含み得る複数の異なる処理モジュールを通して基板が処理される、本発明の特徴を組み込んだ他の代替物なプラットフォーム1000及び1000aを示す。プラットフォーム1000は、プラットフォームの様々なモジュールを通してワークピースを選択的に移動させるための1つ以上の搬送機構1014を組み込む分散型搬送システムを組み込んでもよい。図10Aを参照すると、分散型システムは、フロントエンドモジュール1001を介してアクセスされる少なくとも1つの真空チャンバ1002を組み込む。真空チャンバ1002は、概して、分散型搬送システムを収容するチャンバ1002と結合するための複数のポート1004を有する単一のチャンバを画定する一体型チャンバであってもよい。或いは、また、図10Aに示すように、真空チャンバ1002は、図示されているように複数の対応するパススルーポート1012を介して互いに結合された複数の内部真空チャンバ1010に分離されていてもよい。このような実施形態では、用いられる搬送機構は、図示されているように、内部真空チャンバに関連付けられた複数の搬送機構1014を組み込んでもよい。
プラットフォーム1000上に維持される様々な処理モジュールは、選択的堆積(SD)モジュール1030などの1つ以上の膜形成モジュールを含んでもよい。更に、プラットフォームは、1つ以上のエッチングモジュール1032及び1つ以上の洗浄モジュール1034を含んでもよい。また、複数の計測/測定モジュール1036が組み込まれてもよい。1つ以上の他の処理モジュール1038がまた、プラットフォーム1000上に組み込まれてもよく、したがって、共通の製造プラットフォーム上に組み込まれる処理モジュール及び測定/計測モジュールの種類は、図10Aに示されるものに限定されない。様々な処理モジュール及び測定/計測モジュールを含むプラットフォーム1000は、測定データ、その場処理データ、及び本発明による処理シーケンスを制御する他のデータを提供するために、能動的阻止制御システム1040と結合されている。即ち、不適合及び/又は欠陥を示す測定データは、補正処理のために、並びに様々なプロセスモジュール及びプラットフォーム内のワークピースの移動を制御するために、能動的阻止制御システムによって利用される。
能動的阻止制御システム1040はまた、真空チャンバ1002内の圧力と、また、その中を基板が搬送される個々の内部真空チャンバ1010内の圧力とを制御する。例えば、プラットフォーム1000に示すように、制御システム1040は、分散型搬送システム内でワークピースが搬送される際に様々な内部真空チャンバ1010の間の圧力差を制御する。更に、制御システム1040は、分散型搬送システムの真空チャンバ1002と、様々な処理モジュールのうちの1つ以上と関連付けられた真空チャンバとの間の処理圧力差を制御し、維持する。本発明の別の特徴によれば、真空チャンバ1002と1つ以上の搬送機構1014とを組み込むプラットフォーム1000はまた、ワークピースがプラットフォーム1000内を進む際に制御システム1040により生成された測定データを取得するための1つ以上の検査システム1050を組み込んでもよい。図示されているように、搬送機構1014を含む内部チャンバ1010及び別個の検査システムによって、チャンバ1010のそれぞれは、本明細書に記載するような搬送測定モジュール(TMM)として機能してもよい。通過ポート1012の1つ以上は、真空チャンバ1010のうちの1つに、1つ以上のワークピースを格納するためのステージング領域を形成するためのロードロック機構を含んでもよい。
プラットフォーム1000は、図示されているような様々な処理モジュールに加えて、例えば原子層堆積などのためのバッチ処理を提供する1つ以上のバッチプロセスモジュール1060を組み込んでもよい。バッチ処理モジュール1060には、バッチ処理に出入りする様々なワークピースがステージングされてもよい、バッチ/デバッチステージ1070と、その次の、排出/再設計ステージ1072とが関連付けられている。このようなチャンバ又は領域はまた、制御システム1040が、内部真空チャンバ1002と、処理モジュールと関連付けられたチャンバの1つ以上との間に所望の圧力差を提供する間の格納チャンバとして利用されてもよい。
本発明の一態様によれば、ワークピースがプラットフォーム1000内を移動し、様々な処理モジュール及び内部真空チャンバ1010に出入りする際、内部真空チャンバ1002と処理モジュールのチャンバとの間の環境条件は、それらの間でワークピースが搬送されるときに、維持される。環境条件には、圧力、ガス組成、温度、化学濃度、湿度、又は相のうちの少なくとも1つを含んでもよい。制御システム1040は、処理及び搬送のために必要に応じてその環境条件を維持する。また、制御システム1040によって、真空チャンバ1002内のシステム環境条件が、様々な内部セクション又は内部真空チャンバ1010間で維持されてもよい。同じく、このような環境条件としては、圧力、ガス組成、温度、化学濃度、相、湿度等のうちの少なくとも1つを含み得る。様々なセクション又は内部チャンバ1010と1つ以上の他の内部真空チャンバ1010との間で維持される環境条件は、特定の内部真空チャンバ1010内に配置された基板に対して検査システム1050により実施され得る測定又は走査のタイプに少なくとも部分的に基づいてもよい。このような環境条件としては、圧力、ガス、組成物の温度、又は相濃度が挙げられ得る。上述したように、基板がプラットフォーム1000内で搬送されるとき、処理のために、様々な内部真空チャンバ間のシステム圧力差を維持することが必要な場合があり、制御システム1040はこのような条件を維持する。更に、真空チャンバ1002とプロセスモジュールとの間で基板が搬送されるとき、真空チャンバ1002と処理モジュールのチャンバの1つ以上との間の処理圧力差を維持することが必要な場合がある。そのために、バッチステージ1070及び排出ステージ1072は、システム圧力差又は処理圧力差が達成されるまで、真空チャンバ1002内の様々なワークピースのためのステージング領域として機能する。また更に、システム環境条件を、実施される測定又は計測プロセスのタイプに基づいて維持することが望ましい場合がある。このような環境条件としては、圧力、ガス組成、温度、又は相濃度が挙げられ得る。
プラットフォーム1000、1000aは、膜形成機器、エッチング機器、堆積機器、エピタキシャル機器、洗浄機器、リソグラフィ機器、フォトリソグラフィ機器、電子ビームリソグラフィ機器、感光性又は電子感受性材料コーティング装置、電磁(EM)処理機器、紫外線(UV)処理機器、赤外線(IR)処理機器、レーザービーム処理機器、熱処理機器、アニーリング機器、酸化機器、拡散機器、磁気アニーリング機器、イオン注入機器、プラズマ浸漬イオン注入機器、極低温若しくは非極低温エアロゾル又は非エアロゾルドライ洗浄機器、中性ビーム機器、荷電粒子ビーム機器、電子ビーム処理機器、イオンビーム処理機器、ガスクラスターイオンビーム機器等を含むがこれらに限定されない様々な処理モジュールをホストすることができる。処理モジュールは、乾燥相機器、液相機器、気相機器等を含むことができる。加えて、処理モジュールは、単一基板処理機器、ミニバッチ処理機器(例えば、基板10個未満)、バッチ処理機器(例えば、基板10個超)等を含むことができる。
図10C~図10Eは、本明細書に記載するような共通プラットフォーム実施形態により実装されてもよい例示的な処理モジュールを示す。図10Cは、概して、チャンバ1072を含む膜形成又は堆積モジュール1070を示す。膜形成モジュール1070は、真空堆積チャンバ又は大気圧コーティングチャンバを含んでもよい。モジュール1070は、大気圧コーティングチャンバなどのための液体分配システム1074又は堆積チャンバ1072内のプラズマに電力を供給するためなどのRF電源1076を含んでもよい。モジュール1070はまた、堆積チャンバなどのチャンバ1072に適切な材料相を供給するために液体分配システム1074に結合され得る液体源バブラー1078を組み込んでもよい。膜形成モジュール1070はまた、1つ以上のスパッタリングターゲット1080を用いてもよく、堆積チャンバ1072内における膜堆積の目的で1つ以上のガス源1081a、1081aに結合されてもよい。
図10Dは、処理又はエッチングチャンバ1083を組み込む膜除去又はエッチングモジュール1082を示す。例えば、エッチングモジュールとしては、プラズマエッチングモジュール、プラズマフリーエッチングモジュール、リモートプラズマエッチングモジュール、大気圧又は準大気圧条件(例えば真空)での気相エッチングモジュール、蒸気相エッチングモジュール、液相エッチングモジュール、等方性エッチングモジュール、異方性エッチングモジュール等が挙げられ得る。モジュール1082は、例えば、液相、蒸気相、又は気相分注若しくは分配システム(例えば、1085a、1085b、1086)、圧力制御要素、温度制御要素、基板保持及び制御要素(例えば、静電クランプチャック(ESC)、ゾーン式温度制御要素、バックサイドガスシステム等)、及びエッチングチャンバ1083内にプラズマを発生させるための電源1084(例えば、RF電源)を含んでもよい。
図10Eは、基板を適切に受け入れるための洗浄チャンバ1089を有する洗浄モジュール1088を示す。例えば、洗浄モジュール1088としては、ウェット洗浄モジュール、ドライ洗浄モジュール、スピン型洗浄モジュール、浴型洗浄モジュール、スプレー型分注洗浄モジュール、中性ビーム洗浄モジュール、イオンビーム洗浄モジュール、ガスクラスタービーム洗浄モジュール、ガスクラスターイオンビーム洗浄モジュール、極低温若しくは非極低温エアロゾル洗浄モジュール等が挙げられ得る。洗浄モジュール1088は、液体源、浴、液体分注又はスプレーノズル1090、スピンチャック、入れ子状の液体分注捕捉バッフル、圧力制御要素、温度制御要素等を含んでもよい。洗浄モジュール1088はまた、ガス源、極低温冷却システム1092、ガスノズル、エアロゾルノズル、圧力制御要素、温度制御要素等を組み込んでもよい。
上述したように、プラットフォーム1000は、補正処理手順が進行している間又はプラットフォームのプロセスパラメータが調整されている間などに、1つ以上の基板をステージングして格納するために用いられてもよい。そのために、バッチ/デバッチチャンバ1070又は排出チャンバ1072は、様々なワークピースを少なくとも1つの内部真空チャンバ内に格納できるように、個々の内部真空チャンバ1010の1つ以上がより大きな全体的なプラットフォーム内で別個のステージング領域として動作することができるように、隣接するパススルーポート1012のうちの1つにロードロックを組み込んでもよい。更に、バッチステージ1070及び排出ステージ1072は、バッチ処理モジュール1060のために又はシステムパラメータが調整されている間に基板をステージングするためのステージング領域としても機能してよい。
図10Bは、図10Bの様々な処理モジュール、制御システム、及び構成要素に類似の参照番号を用いた図10Aのプラットフォームに類似する別の可能なプラットフォームレイアウトを示す。図10Bを参照すると、プラットフォーム1000aは、プラットフォームを通してワークピースを移動させるためのTMMモジュール1010と結合された、1つ以上の膜形成モジュール1030とエッチングモジュール1032とを含んでもよい。また、本発明によれば、不適合及び欠陥を検出するために、測定モジュール1036がプラットフォーム上に組み込まれてもよい。プラットフォーム1008は、ウェット洗浄モジュール1034a又はドライ洗浄モジュール1034bなどの洗浄モジュールを含んでもよい。更に、プラットフォーム1000aは、バッチ測定のために実装された1つ以上の測定モジュール1036を組み込んでもよい。図示されているように、ワークピースが排出ステージ1072を通って排出される及び/又は再調整される前に、ワークピースがバッチ内にある間に測定を行うことができ、測定/計測データが収集されるように、バッチプロセスモジュール1060の反対側に、1つ以上の測定モジュール1036が実装されてもよい。図示されているように、プラットフォーム1000aは能動的阻止制御システム1040の制御下にあり、ワークピースは、不適合及び欠陥を検出するために、また、ワークピースに補正処理を提供するために、本発明による様々な処理モジュールと測定モジュールとの間を概ね直線的に行ったり来たりして移動することができる。
能動的阻止及びワークピース処理の例
本明細書に記載されるように、能動的阻止制御システムは、ワークピースの測定データに一部基づいて補正処理を実施するように構成されている。1つ以上の処理モジュールの処理パラメータ又は設定を反映したプロセスパラメータデータなどの他のデータも、共通の製造プラットフォームのプラットフォーム性能データと同様に、能動的阻止制御システムに入力してよい。データは、ワークピースの不適合及び欠陥を判定して、能動的阻止中にプラットフォーム内で実施されるべき補正処理の経路を決定するために、能動的阻止制御システムによって処理される。上述したように、不適合が検出された場合、補正処理は、プロセスシーケンスの上流又は下流の処理モジュールで実施されてもよい。能動的阻止制御システムは、様々な測定モジュール及びプラットフォームのTMMと結合されており、プロセスシーケンスにおけるワークピースの移動及び処理を制御するために、測定データ及び他のデータを処理する。
本発明の1つの特徴によれば、補正処理は、全体的なプロセスシーケンス内で改善プロセスシーケンスを実施することを含んでもよい。例えば、改善プロセスは、ワークピースを洗浄すること及び/又は膜若しくは膜の一部を除去することを含んでもよい。或いは、調整プロセスシーケンスが実施されてもよい。また更に、ワークピースを補正できない場合、補正処理は、プラットフォーム及びプロセスシーケンスからのワークピースの単なる排出であってもよい。いずれの場合も、検出された不適合はオペレータに通知されてもよい。
図11は、本発明を実現するための能動的阻止制御システム1110及びコンポーネント1120を示す。能動的阻止制御システムは、全体的に又は少なくとも部分的に製造プラットフォームと共に配置されてもよく、概して、少なくとも1つのプロセッサを有するコンピュータデバイスを使用して実行される。能動的阻止制御システム1110を実行するためのコンポーネント1120は、能動的阻止制御システムを実行するために使用されるコンピュータの一部であってもよい、又はネットワークなどを介して、能動的阻止制御システムによって呼び出されるリソースであってもよい。したがって、本明細書に記載される様々なハードウェアレイアウトは、限定的なものではない。
図12は、本発明の能動的阻止制御システムを提供するのに適した装置1210の例示的なハードウェア及びソフトウェア環境を示す。本発明の目的においては、装置1210は、事実上あらゆるコンピュータ、コンピュータシステム、又はプログラマブルデバイス、例えば、マルチユーザ又はシングルユーザコンピュータ、デスクトップコンピュータ、ポータブルコンピュータ及びデバイス、ハンドヘルドデバイス、ネットワークデバイス等であってもよい。装置1210は、以下、「コンピュータ」と称するが、「装置」という用語は、他の適切なプログラマブル電子デバイスも含み得ると認識すべきである。
コンピュータ1210は、典型的には、メモリ1214に結合された少なくとも1つのプロセッサ1212を含む。プロセッサ1212は、1つ以上のプロセッサ(例えばマイクロプロセッサ)であってもよく、メモリ1214は、コンピュータ10のメインストレージを含むランダムアクセスメモリ(RAM)デバイス、並びに任意の補助レベルのメモリ、例えば、キャッシュメモリ、不揮発性メモリ若しくはバックアップメモリ(例えば、プログラマブルメモリ又はフラッシュメモリ)、読み取り専用メモリ等であってもよい。加えて、メモリ1214は、コンピュータ1210の別の場所に物理的に配置されたメモリストレージ、例えば、プロセッサ1212内の任意のキャッシュメモリ、並びに例えば、データベース1216若しくは任意の外部データベースのようなマスストレージデバイス、又は直接若しくはネットワーク1232を介してコンピュータ1210に結合されたリソース1230として全般的に示される他のコンピュータ若しくはシステムに格納された仮想メモリとして使用される任意のストレージ容量を含むと考えられてもよい。
コンピュータ1210はまた、典型的には、外部に情報を伝達するために多数の入力及び出力を受信する。ユーザ又はオペレータとのインターフェースのために、コンピュータ1210は、典型的には、ヒューマンマシンインターフェース(HMI)1224を介して結合された1つ以上のユーザ入力デバイスを含む。コンピュータ1210はまた、HMIの一部として、不適合が検出された場合に本発明のシステムに従って視覚的な出力をオペレータに提供するためのディスプレイを含んでよい。コンピュータ1210へのインターフェースはまた、コンピュータ10に直接若しくはリモートで接続された外部端末を介してであってもよく、又はネットワーク18、モデム、若しくは他のタイプの通信デバイスを介してコンピュータ1210と通信する別のコンピュータを介してであってもよい。
コンピュータ1210は、オペレーティングシステム1218の制御下で動作し、アプリケーション1220として全般的に示される様々なコンピュータソフトウェアアプリケーション、コンポーネント、プログラム、オブジェクト、モジュール、データ構造等を実行する又はそうでなければこれらに依存する。図11に示すような様々なコンポーネント1120は、コンピュータ1210上のアプリケーションの一部であってもよい、又はより堅牢な処理に対して示されるように、リモートリソース1230としてアクセスされてもよい。アプリケーション及び処理の一部はまた、様々なデータ構造1222及び本明細書に記載されているようなデータを含み、データには、例えば、測定データ、プロセスパラメータデータ、及びプラットフォーム性能データ(例えば、データベースアプリケーション26)を含んでもよい。コンピュータ1210は、適切なネットワークインターフェース1226を介してネットワーク1232上で通信する。開示される能動的阻止システムを実行するためのコンピュータは、製造プラットフォームからデータを収集し、能動的阻止のためのプロセスシーケンスを制御する目的で、直接又はネットワークを介して製造プラットフォーム1240及び1つ以上のその制御要素と接続する。
概して、本発明の実施形態を実施するために実行されるルーチンは、オペレーティングシステムの一部として実装されているか特定のアプリケーション、コンポーネント、プログラム、オブジェクト、モジュール、又は命令のシーケンスとして実装されているかを問わず、本明細書においては、「コンピュータプログラムコード」、又は単に「プログラムコード」と称される。コンピュータプログラムコードは、典型的には、コンピュータ内の様々なメモリ及びストレージデバイス内に何度も存在する1つ以上の命令を含み、この1つ以上の命令は、コンピュータ内の1つ以上のプロセッサによって読み取られ、実行されると、そのコンピュータに、本発明の様々な態様を具現化する工程又は要素を実行するのに必要な工程を実施させる。更に、当業者であれば、能動的阻止制御システムの様々な処理コンポーネント及びツールは、様々な形態及び場所でプログラム/アプリケーションとして分配することができることを理解するであろう。
以下の任意の特定のプログラム命名法は単に便宜上のものであり、したがって、本発明は、このような命名法によって特定及び/又は示唆された任意の特定のアプリケーションでの使用のみに限定されるべきではないと認識すべきである。更に、コンピュータプログラム/アプリケーションがルーチン、手続き、方法、モジュール、オブジェクト等に編成され得る手法、並びに典型的なコンピュータ内又は外部リソースに存在する様々なソフトウェア層(例えば、オペレーティングシステム、ライブラリ、API、アプリケーション、アプレット等)の間でプログラム機能が割り当てられ得る様々な手法の数が一般に無限であることを考えると、本発明は、本明細書に記載又は図示されるプログラム機能の特定の編成及び割り当てに限定されないと認識すべきである。当業者は、図12に示す例示的な環境が、本発明を限定することを意図するものではないと理解するであろう。実際、当業者であれば、本発明の範囲から逸脱することなく、他の代替的なハードウェア及び/又はソフトウェア環境を使用してもよいことを理解するであろう。
図11を参照すると、能動的阻止制御システムは、不適合の存在を予測するためのパターン認識を提供してもよい。そのために、能動的阻止制御システムは、測定されたデータからデータパターンを抽出して分類し、測定されたデータに基づいて、不適合が存在するか否かを予測するように動作可能なパターン認識エンジン1122などのパターン認識コンポーネントを含む。例えば、ワークピースの特定のフィーチャは、データの不適合及び不規則性を示す場合があり、測定データで見出されるパターンに反映され得る。パターン認識は、測定の高度化又はその不足を、データ量又は追加のデータで補うことができる。複数の変数の測定は、データの不適合又は不規則性を識別するために、組み合わせる及び/又は相関させることができる。そうすることにより、より高度でない測定を行い、相関させて、より高度な測定システムと同じ結果を得ることができる。一例として、許容可能な処理挙動を表す、処理されるワークピースの光学的な「指紋」を作成することができる。「指紋」のずれは、パターンシフトとして認識することができ、それにより、補正アクションの機会を特定することができ、例えば、上流及び/又は下流のプロセスで補正アクションを実施することができる、又はプロセス結果を削除すること及び繰り返すこと等によって上流のプロセスを修正することができる。パターン認識エンジン1122は、示されるような、パターン認識を実装するために1つ以上のニューラルネットワーク及び教師あり又は教師なし学習を使用してもよい深層学習アーキテクチャ又はエンジン1124を実装してもよい。深層学習エンジン1124は、多変量解析(MVA)を実施して、例えば、不適合又は不規則性を分析し、補正処理を行うための使用原因となる可能性のあるものを決定してもよい。多変量解析の1つの種類としては、主成分分析(PCA)が挙げられる。PCAは、相関する可能性のある変数の一連の観測結果を一連の主成分に変換する統計学的手法である。各主成分、例えば、固有ベクトルは、スコア、例えば固有値に関連付けられており、主成分は、スコアの値によって降順でソートすることができる。そうすることにより、第1の主成分は、変換されたデータセットのn次元空間内の対応する主成分の方向におけるデータ内の最大の分散を表す。後続の各主成分は、先行する成分と直交する条件下で最大の分散を有する。各主成分は、データセット内の各変数の「重み付け」を特定する。後続の観測結果は、スコア(例えば、第1の主成分と新たな観測結果とのベクトル積からのスコアA)を計算するために、又は1つ以上のスコア(例えば、スコアA+スコアB/スコアC等)の数学的操作のために、1つ以上の主成分、例えば、第1の主成分及び/又は他の成分上に投影することができる。例えば、処理されたワークピースから散乱した光は、1つの位置又は複数の位置からのいずれであっても、観測結果を表すことができる。複数の観測結果と結合されると、1つ以上の主成分から構成されるモデルが確立され、その後、処理されたワークピースを「スコアリング」するために使用することができる。スコア又は一連のスコアが定義された「正常な挙動」又は許容可能なプロセスウィンドウから逸脱した場合、補正アクションを進めることができる。即ち、上流及び/又は下流のプロセスで補正アクションを実施することができる、又は例えば、プロセス結果を削除すること及び繰り返すことによって、上流のプロセスを修正することができる。
パターン認識エンジンは、抽出したデータパターンをワークピースの学習した属性と相関させてもよい。パターン認識エンジンは、データパターンの形態の測定データを学習した属性と相関させるために、データベース1132内などの1つ以上の学習した属性1128にアクセスする相関エンジン1126を実装してもよい。例えば、1つの学習した属性は、1つ以上の粒子汚染物質などのワークピースの欠陥を含む可能性がある。このような欠陥は、対処すべき不適合を検出するために、測定されたデータパターンと相関させることができる。他の実施形態では、欠陥は、ワークピース属性の許容範囲外条件を示す可能性がある。例えば、許容範囲外のワークピース属性には、厚さ、クリティカルディメンション(CD)、表面粗さ、フィーチャプロファイル、パターンエッジ配置、ボイド、選択性の喪失、不均一性の程度、又はローディング効果を含んでもよい。このような欠陥又はこのような欠陥の様々な組み合わせは、能動的阻止制御システムによる不適合のパターン認識のために使用されてもよい。
別の実施形態では、学習した属性は、欠陥よりもむしろ、ワークピースの欠陥の確率を含んでもよい。このような学習した属性は、不適合の存在を予測するために、測定データと相関させてもよい。上述したように、能動的阻止制御システムは、不適合が存在する場所をオペレータに示すためにワークピースの領域を可視化するためのディスプレイコンポーネントなどの1つ以上のヒューマンインターフェースコンポーネントを実装する。
相関エンジン/コンポーネント1126はまた、不適合が存在するか否かを予測するために使用されてもよい。具体的には、測定データは、ワークピースの2つ以上の領域で取得される。相関エンジン1126は、複数の位置の測定データを使用し、位置測定データの相関関係に基づいて、不適合の存在を予測してもよい。
本発明の別の特徴によれば、人工知能の特徴は、能動的阻止制御システムによって使用される。具体的には、本明細書の以下で更に記載されるように、自律学習コンポーネント又はエンジン1130の形態の機械学習がシステムによって実装されてもよい。自律学習エンジンは、測定データを受け取り、知識を生成する。その知識は、測定データ1136及びプロセスシーケンスの性能を特徴付け、不適合が存在する場合にプロセスシーケンスを補正するためのアクションプラン又は補正処理プランを、不適合の検出時に決定する。自律学習エンジンはまた、プロセスモジュールの測定又は診断データに関連付けられ得るプロセスパラメータデータ1138と、製造プラットフォーム及びその上のプロセスモジュールに関連付けられるプラットフォーム性能データ1140と、のうちの1つ以上を実装する。プロセスパラメータデータ及びプラットフォーム性能データは、知識を形成するために、自律学習エンジン内で測定データと組み合わされる。自律学習エンジンによって提供される機械学習は、測定データのような入力を、補正処理を決定するために使用される可能性のある出力にマッピングする教師あり学習を組み込んでもよい。
或いは、自律学習エンジンは、例えば、不適合が存在するかどうかを決定し、不適合に対処するための補正処理を決定するために、様々な欠陥をグループ化するために、クラスター分析又はクラスタリングを使用してもよい。
或いは、自律学習エンジンは、例えば、検出された不適合に対処するために使用される可能性のあるいくつかの異なる処理工程から適切な補正処理工程を決定する、次元削減アルゴリズムを使用してもよい。
或いは、自律学習エンジンは、検出された特定のタイプの不適合に対処するための補正処理を決定するために、構造化された予測アルゴリズムを使用してもよい。
或いは、自律学習エンジンは、例えば、不適合が存在するかどうかを決定し、不適合に対処するための補正処理を決定するために、様々な欠陥をグループ化するために、クラスター分析又はクラスタリングを使用してもよい。
或いは、自律学習エンジンは、不適合を決定するために異常検知アルゴリズムを使用してもよい。
或いは、自律学習エンジンは、補正処理及び結果を決定するために、強化学習を使用してもよい。
自律学習エンジンを介して実装された様々な機械学習アルゴリズムの様々な組み合わせが、測定データ及びプロセスシーケンスの性能を特徴付け、任意の検出された不適合に対処するための補正処理アクションを決定する知識を生成するために使用されてもよい。自律学習エンジンは、適切な補正処理工程を決定するために、プロセスシーケンス又はレシピ1134に関連するデータを実装してもよい。更に、能動的阻止制御システムは、不適合を検出し、補正処理工程を決定するために、測定データ1136、プロセスパラメータデータ1138、及びプラットフォーム性能データ1140の必要な機械学習及び人工知能処理を提供するために、1つ以上のデータベース1132の既存のデータを実装してもよい。
測定データは、不適合又は欠陥があるかどうかを決定するための評価用のワークピース属性の定量的測定値であってもよい。或いは、測定データは、ワークピース属性の定量的測定値のプロキシであってもよい。一例として、プロキシは、所望のワークピース属性、例えば、より高度でない手法、即ちワークピース属性の近似を使用して膜厚を測定すること、及び/又は所望のワークピース属性を表す別のワークピース属性を測定することを可能にする。
一実施形態では、能動的阻止制御システムは、自律学習エンジン1130と協働し、測定データを受け取る相互作用コンポーネント1136を含む。本明細書に開示され、図17~図37に関して説明するように、自律学習エンジン/コンポーネントは、データを能動的阻止のために処理するために且つ製造プラットフォームを制御するために、相互作用コンポーネントと接続することができる。相互作用コンポーネントは、測定データをパッケージし、パッケージされたデータを自律学習エンジンに伝達するように構成されたアダプタコンポーネントを含む。自律学習エンジンは、パッケージされたデータを受け取り、パッケージされたデータ及びプロセスシーケンスの性能を特徴付ける知識を生成する。自律学習エンジン1130は、パッケージされたデータを処理する処理プラットフォームを更に含み、処理プラットフォームは、パッケージされたデータ上で動作する一連の機能ユニットを含む。一連の機能ユニットは、パッケージされたデータを分析し、プロセスシーケンスのプロセス目標に少なくとも一部基づいて、実施すべきアクションを推論する適応的推論エンジンを含む。機能ユニットはまた、データ又はコンテキスト変化のうちの1つに少なくとも一部基づいてプロセス目標を進化させる目標コンポーネントと、また、知識を記憶するメモリプラットフォームとを含む。自律学習エンジンにおいて、メモリプラットフォームは、長期メモリ、短期メモリ、及びエピソードメモリを含むメモリの階層を含む。長期メモリは、エンティティ、関係、又は手続きのうちの少なくとも1つを含む一連の概念を記憶する。一連の概念のうちの一概念は、プロセスシーケンスの現在の状態に対する概念の関連性を示す第1の数値的属性と、概念を使用する難易度を示す第2の数値的属性とを含む。インタラクティブコンポーネントはまた、複数の処理モジュールのうちの1つ以上からモジュール診断データを受け取る。インタラクティブコンポーネントは、パッケージされたデータを準備するときに、モジュール診断データを測定データと共にパッケージする。
相互作用コンポーネントはまた、外部アクターとのデータ交換を容易にする相互作用マネージャを含む。トレーニングデータは、パッケージされたデータ又は外部アクターと交換されるデータのいずれかの一部であってもよい、又はその両方のデータセットがトレーニングデータを含んでもよい。そのトレーニングデータは、例えば、薄膜を堆積させるための表面を準備する、ワークピースのターゲット領域上に所定の厚さの薄膜を堆積させる、ワークピースの非ターゲット領域上に堆積された薄膜の一部を除去する等のタスクに関連するモジュールプロセス又は変数の識別、タスクに関連する2つ以上のモジュールプロセス又は変数間の機能的関係のうちの少なくとも1つを含んでもよい。トレーニングデータはまた、因果グラフを含んでもよく、因果グラフは、タスクに関連し、因果グラフ中に存在する一連のモジュールプロセス又は変数に関連する一連の先験的確率と、タスクに関連し、因果グラフ中に存在する1つ以上のモジュールプロセス又は変数に関連する一連の条件付き確率とを含む。或いは、トレーニングデータはまた、プロセスシーケンスの挙動を記述する一連のパラメータを含んでもよい。
図17~図37は、以下で更に説明するように、本発明の能動的阻止制御システム1110によって実装されてもよい自律学習エンジン/コンポーネントの一実施形態を示す。
本発明の一態様によれば、能動的阻止制御システムは、本明細書に記載されるように、製造プラットフォーム及び要素と共に実装される。能動的阻止制御システムは、必要であれば、ワークピースに対する補正処理を提供するために、複数の処理モジュール及び様々な測定モジュールからデータを捕捉し、ワークピースの属性に関連するデータを処理する。より具体的には、測定データに基づいて不適合、欠陥、又は汚染が検出され、能動的阻止の一部として、処理シーケンス内で補正処理が実施される。補正処理は、処理シーケンスの上流又は下流のいずれかの処理モジュールで実施されてもよい。例えば、欠陥又は不適合が検出された場合、欠陥又は不適合を補正しようとするために、処理シーケンス内の、ワークピースが現在位置している場所から上流又は下流にある処理モジュールで補正調整が行われてもよい。これとは逆に、検出された欠陥又は不適合が最初から発生しないようにするために、後続のワークピースなどで欠陥又は不適合が初めから起こらないようにするために、処理フロー内の1つ以上の処理モジュールが補正的手法で調整されてもよい又は影響を与えられてもよい。
より具体的には、製造プラットフォームは、様々な処理モジュールと測定モジュールとの間など、処理シーケンス内でワークピースを移動させるように構成及び制御される1つ以上のワークピース搬送モジュールを含む。能動的阻止制御システムは、処理シーケンスにおけるワークピースの移動及び処理を制御するように構成されており、また、ワークピースからの測定データ及び処理モジュールに関連するその場データを処理するように構成されている。能動的阻止制御システムは測定データを、処理シーケンスにおけるワークピースの移動を制御するために使用する。
上流方向及び下流方向における補正処理は、能動的阻止制御システムによって選択的に制御される。概して、製造プラットフォームは、1つ以上の膜形成モジュール及び1つ以上のエッチングモジュールを含む。1つの制御シーケンスにおいて、補正処理は、ワークピースが膜形成モジュールで処理され、その後、不適合又は欠陥の検出のために測定された後にエッチングモジュールで実施される。或いは、補正処理は、ワークピースが膜形成モジュールで予め処理された後、別の膜形成モジュールで実施される。別の状況では、本発明は、不適合又は欠陥の検出時に補正処理を提供し、補正処理は、膜形成モジュールでの処理に先立って、洗浄モジュールなどのトリートメントモジュールで実施される。
本発明の特定の用途の1つは、SADP(ダブルパターニング)、SATP(トリプルパターニング)、SAQP(クアッドパターニング)、及びSAOP(オクトパターニング)、クアドラプルパターニング(SAQP)を含む自己整合マルチパターニング(SAMP)などのマルチパターニング処理である。このような自己整合マルチパターニング技術により、従来の液浸リソグラフィを、先端技術ノードの寸法スケーリングニーズを満たすサブレゾリューションフィーチャの印刷に使用することが可能になった。この手法は、概して、基板上にマンドレルパターン(SATP用のダブルマンドレル)を作成することと、マンドレルパターン上に薄膜をコンフォーマルに塗布することとを含む。その後、マンドレルパターンの側壁上に材料を残して、コンフォーマルな薄膜を部分的に除去する。その後、マンドレル側壁の薄いパターンを残して、マンドレルを選択的に除去する。その後、このようなパターンは、パターンを層に移す又は転写するための選択的エッチングに使用することができる。
SAMP処理を容易にするために、本明細書に図示されているような共通プラットフォームは、エッチングモジュール、薄膜形成モジュール、洗浄モジュール、及び他の前処理モジュール又は後処理モジュールを備える。共通プラットフォームは、マンドレルパターンがその上に形成されたワークピース又は基板を受け取る。プロセスシーケンスの第1工程の最中に、スペーサ膜と呼ばれる薄膜がマンドレルパターンにコンフォーマルに塗布される。その後、本発明によれば、この工程の完了時に、薄いコンフォーマル膜の品質を確認することが重要である。これは、1つ以上の測定モジュールにワークピースを移動させること、又は搬送測定モジュールの測定領域にワークピースを通過させることによって行ってもよい。測定モジュールでは、薄膜属性に関連するデータが測定される。例えば、膜のコンフォーマル性、膜厚、及び基板にわたる膜厚の均一性、膜の組成、膜応力等が測定される。典型的には、スペーサ膜は、酸化ケイ素又は窒化ケイ素である。薄膜を塗布するためのプロセス条件によっては、引張応力又は圧縮応力のいずれかが膜中に存在する可能性があり、これは更なる処理に悪影響を及ぼすおそれがある。コンフォーマル膜の塗布が完了すると、基板は、スペーサエッチングと呼ばれる、水平面上のコンフォーマル膜を部分的に除去するためのエッチング工程に供される。マンドレルパターンの側壁上のコンフォーマル膜を残して、マンドレルパターン間の表面上及びマンドレルの上面上のコンフォーマル膜は異方的に除去される。この工程が完了すると、ワークピースではまた、マンドレル側壁上の膜厚及び基板にわたる膜厚の均一性、膜の組成、又はエッチングプロセスの結果としての膜のあらゆる変化又は損傷、残ったマルチパターン、即ち、マンドレル及びスペーサのクリティカルディメンション(CD)等を評価することによって、マンドレルパターン上に残った薄いコンフォーマル膜の品質を確認することが重要な可能性がある。その後、残留物を除去するために洗浄プロセスが適用されてもよく、前工程のいずれかを補うためにトリートメント工程が実施されてもよい。(スペーサ)エッチング工程が完了すると、基板は、マンドレルプルエッチング(mandrel pull etch)と呼ばれる、側壁スペーサを保持しながらマンドレルを選択的に除去するための別のエッチング工程に供される。この工程が完了すると、スペーサ厚さ又はCD、スペーサ高さ、基板にわたるスペーサCD及び/又は高さの均一性、スペーサプロファイル又は形状(例えば、側壁角度又は90度からのばらつき等)等を評価することによって、基板上に残ったスペーサパターンの品質を確認することが重要である。
プロセスシーケンスは、制御された環境内で進行し、ピッチ低減シーケンスの品質、及び基板上に残った、得られたスペーサパターンを評価するための定期的な計測工程を含む。複数のパターンの欠陥は、基板上の下層膜に及ぶ。本明細書に記載される実施形態によれば、共通プラットフォーム上にローカル又はリモートのいずれかで配置されたインテリジェント機器及びプロセス管理システム及び能動的阻止制御システムは、大量製造環境におけるSAMPプロセスシーケンスを制御し、歩留まり及びサイクル時間の改善を提供することができる。コントローラは、(i)目標仕様外の基板結果を生成するプロセス工程を特定することができ、(ii)仕様外のプロセス工程のデータ、例えば、ワークピース測定及び計測データ等を抽出し、後続の処理工程に対する仕様外の状態の影響をエミュレートすることができ、(iii)データ又はデータの一部を表示することができ、(iv)欠陥を補うための上流又は下流での処理調整を含む、プロセスレシピに対するプロセスレシピ調整を最適化することができ、(v)提案されたレシピ調整を、プロセスフローで採用して仕様外の状態を補正するために、通信することができる。例えば、SAMPプロセス中に形成された、得られたスペーサパターンが欠陥プロファイル、例えば過度の傾きを示す場合、このスペーサパターンの転写は、下流のハードマスクのオープンCDのばらつきと、補正されないままの場合には破損の可能性とをもたらす。この例では、インテリジェントコントローラは、堆積ツールレシピデータベースから全ての補正オプションを考慮に入れ、問題のある基板の全ての下流ユニットプロセスレシピの組み合わせに基づいて結果をエミュレートすることができる。その後、現在のプロセス工程を合格にすること、現在のプロセス工程を不合格にし、基板を廃棄すること、又は現在のプロセス工程の上流及び/若しくは下流のいずれかでその欠陥を補うことによりプロセス工程を修正することを含む補正アクションが実行され得る。
本発明の別の例では、補正処理及び能動的阻止が、エッチングプロセスで実施されてもよい。エッチング適用中、パターン転写プロセスの完全性を確保するために、基板上のいくつかの製品パラメータを監視することが重要である。本発明により捕捉される測定データの製品パラメータとしては、フィーチャCD(上から下まで)、フィーチャ深さ、CD及び深さの均一性(基板全体の、高密度の、分離されたフィーチャ等に関する)、基板上に露出した材料に対するエッチングレート及び選択性、並びに側壁の湾曲、側壁角度、隅部の面取り等を含むパターンプロファイルが挙げられ得る。本発明によれば、エッチングモジュールには、製品パラメータを調整する又は制御するためのいくつかの制御パラメータが存在し、このようなプロセスパラメータは、ワークピースの処理において不適合又は欠陥が生じたかどうかを判定するために、能動的阻止制御システムによっても捕捉されてよい。補正処理は、このような不適合及び欠陥が検出された場合に後続の改善プロセスに影響を与えるために、ワークピースの将来の処理のプロセスパラメータの1つ以上を制御又は変更することを含んでもよい。このようなプロセスパラメータとしては、気相環境の化学組成、モジュールに入るプロセスガスの流量、プラズマ生成及び維持のための圧力、ソース並びに/又はバイアス高周波(RF)電力、基板温度、基板裏面ガス圧力、チャンバ温度、直流(DC)電圧、ガスフロー及び/又は電力の時間的及び空間的変調に関連するパラメータ(例えば、パルス振幅、パルス幅、パルス周期、パルスデューティサイクル等)等が挙げられ得る。基板温度と、より少ない程度で電力及びガスフローなどのいくつかの制御パラメータとは、プロセスの均一性に対処する又は制御するために、空間的にゾーニングされ得る。加えて、エッチングモジュールには、製品の結果を予測する、プラズマ発光(例えば、発光分光法、OES)、RF電力(順方向及び反射)、及びインピーダンス整合ネットワーク設定、プラズマの状態、安定性、アーキング等を監視するための電圧及び電流を含む電気的性質、並びにイオン温度(T)、電子温度(T)、イオンエネルギー分布関数(iedf)、イオン角度分布関数(iadf)、電子エネルギー分布関数(eedf)、イオン及び/又はラジカルフラックス等を監視するための多くの他のセンサ及び手法を含む、処理中に監視すべきいくつかのプロセスパラメータが存在する。このようなプロセスデータは、補正処理を施すために、能動的阻止制御システムによって捕捉され、使用されてもよい。
膜形成はまた、測定/計測データが捕捉され、不適合又は欠陥が検出された場合には補正処理を実施することができる、プロセスシーケンスの分岐点を提供する。薄膜形成適用の最中、基板上に形成される膜の品質を確保するために、基板上のいくつかの製品パラメータは、本発明の測定モジュール及びTMMを使用して測定又は監視されてもよい。例えば、膜厚、基板のトポグラフィに対する膜のコンフォーマル性、膜の組成、膜の応力、膜の選択性、高密度の、分離されたフィーチャに対する基板全体の膜平坦化性、膜の電気的性質(例えば、比誘電率)、膜の光学特性(例えば、屈折率、分光吸収率、分光反射率等)、膜の機械的特性(例えば、弾性率、硬度等)、並びに均一性の膜性質等に関連する測定データが捕捉されてもよい。ワークピースで検出された不適合に基づいて、製品パラメータを調整する又は制御するために、膜前駆体の化学組成及び相、気化器又はアンプルの温度、キャリアガス流量、前駆体供給ライン温度、チャンバ内の気相環境の化学組成、モジュールに入るプロセスガスの流量、プラズマアシスト式堆積装置におけるプラズマ生成及び維持のための圧力、ソース並びに/又はバイアス高周波(RF)電力、基板温度、基板裏面ガス圧力、チャンバ温度、ガスフロー及び/又は電力の時間的及び空間的変調に関連するパラメータ等を含む膜形成モジュールのいくつかの制御パラメータを制御することによって、プロセスシーケンス内のアクティブなワークピース又は後のワークピースに補正処理が実施されてもよい。
捕捉される可能性のある追加的な測定データは、デバイス製作中のばらつきの原因であり、欠陥に分類され得る粒子汚染に関するものである。いくつかの実施形態では、共通プラットフォームは、エッチングモジュール、膜形成モジュール、洗浄モジュール、及び他の前処理モジュール若しくは後処理モジュール、又はそのサブセットを備え、プラットフォームは、粒子除去用機器を含むプロセスモジュールを使用してもよい。したがって、粒子汚染を検出すると、能動的阻止制御システムは、気相又は部分的に液化させた気相ビーム若しくはジェットを含み得る粒子除去機器を使用して、改善プロセス工程を実施してもよい。このようなプロセスモジュールの粒子除去ビーム又はジェットは、極低温又は非極低温とすることができ、エアロゾル、ガスクラスター等を含んでも含まなくてもよい。共通プラットフォームは、モニタリング用ワークピース表面走査、粒子のカウント、及び膜欠陥の識別を実施するために、欠陥検査測定モジュールと組み合わせることもできる。欠陥検査モジュールは、暗視野照明及び/又は明視野照明を使用して粒子の存在を検出する光学検査を含むことができる。或いは又は追加的に、欠陥検査モジュールは、電子ビーム検査を含むことができる。欠陥が検出されると、能動的阻止制御システムは、製造プラットフォームのプロセスシーケンスに影響を与え、任意の汚染粒子を除去するようにワークピースを補正的に処理する。
本発明の別の態様によれば、能動的阻止制御システムによって処理される本発明によるデータは、共通の製造プラットフォームに実装された測定モジュール又はTMMから決定される製作測定/計測データを含む。このような製作測定データは、共通の製造プラットフォーム上で実施されるプロセスシーケンスに部分的に又は完全に基づいたワークピースの属性の測定値である。このような情報は、共通プラットフォーム内のプロセスモジュールのうちの1つ以上の特定のプロセスパラメータ又は設定に関連するプロセスパラメータデータ、並びに特定のパラメータ及び設定及び共通の製造プラットフォームに関する情報を反映したプラットフォーム性能データを含む、収集された他のデータと組み合わせてもよい。
プロセスパラメータデータは、処理モジュールで実行される1つ以上のプロセス条件の表示を含んでもよい。例えば、プロセス条件は、プラズマ密度、プラズマ均一性、プラズマ温度、エッチングレート、エッチング均一性、堆積速度、及び/又は堆積均一性のうちの少なくとも1つに基づいてもよい。測定されるこのようなプロセス条件はまた、処理モジュール内に配置されたプラズマ源に印加されるエネルギーの振幅、周波数、及び/又は変調のうちの1つを含んでもよい。また更に、プロセス条件は、プロセスシーケンス中に処理モジュールに流入させるガス流量、処理モジュール内に配置されたワークピースホルダの温度、及び/又はプロセスシーケンス中のプロセスモジュール内の圧力を含んでもよい。
プラットフォーム性能データは、プロセスシーケンスの実行に寄与するプラットフォーム属性の表示又はプロセスモジュールがプロセスシーケンスに供された時間の長さの表示を含んでもよい。プロセスシーケンスに寄与する例示的なプラットフォーム属性としては、プロセス冷却水温度、プロセス冷却水流量、プロセスモジュールの処理時間、及び/又はプロセスモジュールの累積厚さが挙げられ得る。
製作測定データ、プロセスパラメータデータ、及び/又はプラットフォーム性能データを含む様々なデータを用いて不適合が検出された場合、能動的阻止を実施することができる。能動的阻止は、プロセスシーケンスに対し、測定されたワークピース又はその後に処理されるワークピースのいずれかで実施される。即ち、データは、現在のワークピースを補正するために使用されてもよい、又は更なる不適合が発生しないように、後に、処理される後続のワークピースを補正するために使用されてもよい。
別の実施形態では、測定データは、プロセスモジュール内でその場捕捉され、ワークピースの不適合を検出するために使用されてもよい。例えば、様々なセンサが、エッチングチャンバ若しくは膜形成チャンバ若しくは堆積チャンバなどのプロセスモジュールのチャンバの内部に配置されてもよい、又は検査システムがプロセスチャンバの内部空間にアクセスしてもよい。このような場合、その場プロセス計測データは、単独で、又は製作測定データと考えてもよい他の測定データと組み合わせて使用されてもよく、ワークピースの不適合が、収集された製作測定データ又はその場プロセス計測データのうちの少なくとも1つに基づいて検出されてもよい。その後、測定データが収集された後、共通の製造プラットフォーム上のプロセスシーケンス内のワークピースの補正処理を実行するために、プロセスシーケンス内で能動的阻止が実施されてもよい。
本発明の一態様によれば、現在のワークピースに対する能動的阻止の補正処理は、検出された不適合又は欠陥に応じていくつかの異なる経路を含んでもよい。1つの例示的な経路では、プロセスは、プロセスモジュールの1つ以上の中で変化してもよい。これは、ワークピースが現在存在しているプロセスシーケンス内の上流にあるプロセス若しくはモジュールで行われる可能性がある、又はプロセスシーケンス内の下流にあるプロセス若しくはモジュールで行われてもよい。
プロセスシーケンスに対するプロセス変化は、不適合を補正するためにワークピースを改善プロセスシーケンスに曝すことを含んでもよい。改善プロセスシーケンスは、不適合に対処するために又はこれを除去するために取られる工程を含んでもよい。例えば、ワークピースの洗浄を、プロセスシーケンスの工程として追加してもよい。ワークピースの洗浄は、図10Eに示すチャンバと同様に、極低温冷却されたスプレーを用いて処理されてもよい。更に、膜がワークピースから除去されてもよい、又は膜の一部が除去されてもよい。このような改善工程は、共通の製造プラットフォーム上で実施されてもよい。或いは、改善プロセスシーケンスは、共通の製造プラットフォームの外部で実施されてもよい。
或いは、プロセス変化は、検出された不適合を修正するために、ワークピースを調整プロセスシーケンスに曝すことを含んでもよい。調整プロセスシーケンスは、プロセスモジュールの1つ以上のプロセスパラメータ又は条件を、不適合が検出された製作測定データ又はその場プロセス計測データのリアルタイム測定に部分的に又は完全に基づいて制御することを含んでもよい。調整プロセスシーケンスは、処理モジュールの1つ以上のプロセス条件を、不適合の補正に対応するモデルに少なくとも部分的に基づいて制御することを含んでもよい。モデルは、ユーザがプロセスモジュールにおけるプロセス工程の結果を予測することを可能にでき、入力プロセスレシピの変更を提供することができる。また、調整プロセスは、検出された不適合を修正するために、膜形成プロセス、エッチングプロセス、又は膜処理プロセス間で交互させるプロセスを含んでもよい。
また、不適合が、改善、補正、又は修正することができないものである場合、ワークピースは、能動的阻止において廃棄されてもよい。
更に別の代替形態では、能動的阻止は、オペレータが取るべき経路を決定することを可能にするために、不適合についてについてオペレータに通知することを含んでもよい。
本発明の別の特徴によれば、その場プロセス計測データは、シーケンス内のプロセス工程の最中に処理モジュール内でその場で収集されてもよい。能動的阻止はまた、その場プロセス計測データが取得又は収集された同じ処理モジュール内でその場で行われる補正処理工程を示してもよい。即ち、ワークピースは、その場測定が行われる前、前に行われたものと同じプロセス工程での更なる処理のために、モジュール内に留まってもよい。
能動的阻止を実施した後、ワークピースは、能動的阻止及び補正処理に基づいた不適合に対する影響を判定するため、ワークピースの追加の製作測定データを取得するために移動又は操作されてもよい。補正処理が成功である、又は不適合若しくは欠陥に対処するための正しい方向に進んでいる場合、判定された不適合に対する影響に基づいて、ワークピースに対するプロセスシーケンスを継続させてもよい。
図13A~図13Eは、能動的阻止により自己整合単層上の不要な核を除去するための、領域選択的堆積における能動的阻止の一例を説明する。
ここで図13A~図13Eを参照すると、一例示的実施形態によれば、能動的阻止制御システムを有する製造プラットフォームは、基板上の領域選択的堆積の方法を実施及び監視し、測定データ及び他のデータを収集するように構成されてもよい。本実施形態では、基板1300は、ベース層1302と、第1材料層1304の露出面と、第2材料層1306の露出面とを含む。一例では、基板は、誘電体層1304と金属層1306とを含む。例えば、金属層1306は、Cu、Al、Ta、Ti、W、Ru、Co、Ni、又はMoを含有することができる。誘電体層1304は、例えば、Si02、低誘電率誘電体材料、又は高誘電率誘電体材料を含有することができる。低誘電率誘電体材料は、Si02の比誘電率(約4)よりも低い公称比誘電率を有する(例えば、熱的に成長した二酸化ケイ素の比誘電率は、3.8~3.9の範囲であり得る)。高誘電率材料は、Si02の比誘電率よりも高い公称比誘電率を有する。
低誘電率誘電体材料は、3.7未満の比誘電率、又は1.6~3.7の範囲の比誘電率を有し得る。低誘電率誘電体材料としては、フッ素化シリコンガラス(FSG)、炭素ドープ酸化物、ポリマー、SiCOH含有低誘電率材料、非多孔質低誘電率材料、多孔質低誘電率材料、スピンオン誘電体(SOD)低誘電率材料、又は任意の他の適切な誘電体材料が挙げられ得る。低誘電率誘電体材料としては、Applied Materials,Inc.から市販されているBLACK DIAMOND@(BD)若しくはBLACK DIAMOND@II(BDII)SiCOH材料、又はNovellus System,Inc.から市販されているCoral@CVD膜が挙げられ得る。他の市販の炭素含有材料としては、Dow Chemicalから入手可能なSILK@(例えば、SiLK-I、SiLK-J、SiLK-H、SiLK-D、及び多孔質SiLK半導体誘電体樹脂)及びCYCLOTENE@(ベンゾシクロブテン)、並びにHoneywellから入手可能なGX-3(商標)及びGX-3P(商標)半導体誘電体樹脂が挙げられる。
低誘電率誘電体材料としては、硬化又は堆積プロセス中の膜の完全緻密化を阻害して小さな空隙(又は細孔)を形成するCH3結合を有する酸化ケイ素系マトリックスなどの単相を含む多孔質無機有機ハイブリッド膜が挙げられる。また或いは、これらの誘電体層としては、硬化プロセス中に分解され、蒸発する有機材料(例えば、ポロゲン)の細孔を有する炭素ドープ酸化ケイ素系マトリックスなどの少なくとも2相を含む多孔質無機有機ハイブリッド膜が挙げられ得る。
加えて、低誘電率材料としては、SOD技術を用いて堆積させた水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)などのケイ酸塩系材料が挙げられる。このような膜の例としては、Dow Corningから市販されているFOxHSQ、Dow Corningから市販されているXLK多孔質HSQ、及びJSR Microelectronicsから市販されているJSR LKD-5109が挙げられる。
図14は、本発明を実施する製造プラットフォームにおける例示的なプロセスシーケンスのフローチャートを示す。プロセスシーケンス1400は、プロセスフローの工程1402において、測定データを生成するために、ワークピースが測定され、特徴付けられるプラットフォームの測定モジュール又はTMMにワークピースを供給することを含む。(ブロック1404)
図15を参照すると、測定モジュール、若しくは検査システムを含むTMMにワークピースが移動すると、又はデータがその場で収集されると、図15に示すようなプロセスフロー1500に従って、データは、どのように進むべきかを決定するために分析及び処理されてもよい。より具体的には、堆積された又はエッチングされた特定の層などのワークピースの属性に関連する測定値を示す製作測定データなどのデータは、ワークピースから直接収集されてもよい(ブロック1502)。このようなデータは、その後、共通の製造プラットフォームの能動的阻止制御システムに案内される。加えて、及び場合によっては任意選択的に、本明細書に開示されるように、能動的阻止制御システムが更なる決定を行うために、プロセスパラメータデータ及び/又はプラットフォーム性能データを取得してもよい。例えば、ワークピースを測定する直前に実施されたプロセスに関する特定のプロセス設定が捕捉されてもよい。更に、検出された不適合又は欠陥が全体的な製造プラットフォームに関連するものであるかどうかの何らかの表示を提供するために、追加のプラットフォーム性能データが取得されてもよい。
データが測定され、プロセスモジュールの個々のプロセス制御システム又は製造プラットフォームの制御システムなどの他のソースから収集されると、データは、工程1506に記載されているように分析され、処理されてもよい。このような分析及び処理は、深層学習及び自律学習と共に、パターン認識及び相関を含む機械学習アルゴリズムなどのいくつかの異なるアルゴリズムを含んでもよい。このような処理によって、工程1508に記載されているように不適合及び欠陥が検出されてもよい。実施可能な不適合又は欠陥が測定/計測プロセスにおいて見つからない場合、ワークピースは、プロセスシーケンスを通常通りに進んでもよい。或いは、このような欠陥又は不適合が検出され、これらを補正又は改善できると能動的阻止制御システムが判断した場合、工程1510のような補正処理を提供するために、プロセスシーケンスの能動的阻止が行われる。欠陥又は不適合を補正又は改善することができない場合、ワークピースはプロセスシーケンスから排出されてもよい。
図16を参照すると、能動的阻止工程は、いくつかの異なる経路を取ってもよい。例えば、能動的阻止が制御システムによって示された(工程1600)場合、不適合を補正するために、改善プロセス(工程1602)が改善プロセスシーケンスとして実施されてもよい。例えば、ワークピースは、不適合を補正しようとするよう特定の層に影響を及ぼすために、別の処理モジュールに案内されてもよい。例えば、層が堆積されて、測定工程に基づくと十分な厚さではなかった場合、ワークピースは、更なる堆積のために、前のプロセスモジュールに戻されてもよい又は別のプロセスモジュールに案内されてもよい。或いは、改善プロセスシーケンスは、前で堆積させた層の一部を除去するために、エッチングモジュールによる処理工程を導入してもよい。
或いは、不適合を補正することができない場合、能動的阻止制御システムは、検出された不適合又は欠陥を修正するために、ワークピースを調整プロセスシーケンスに案内してもよい。
また更に、能動的阻止プロセス1600は、プロセスシーケンスパラメータ及び他の様々なプロセスモジュールが変更される工程1606を実施してもよい。例えば、現在のワークピースに対して能動的阻止を提供するのではなく、特定のプロセスシーケンスの工程又はプロセスパラメータの変更によって、後続のワークピースに影響を及ぼしてもよい。このような変更は、前に検出された不適合又は欠陥を将来的に防止するために行われる。
最終的に、ワークピースに対する改善及び調整が適切でなく、欠陥又は不適合を克服できない場合、能動的阻止は、ワークピースの処理で更なる時間及び資源を無駄にしないように、被処理シーケンスからワークピースを単に排出することを含んでもよい。
図14のフローチャートに戻ると、能動的阻止が必要な場合、能動的阻止は実施されてもよい、は工程1405に示される。或いは、能動的阻止が必要ない場合、作製されたワークピースはプロセスシーケンスを通常通りに進む。
プロセスシーケンスに従って、工程1406において、ワークピースは、任意選択的に、処理ガスで処理するために、処理モジュールに搬送される。例えば、処理ガスとしては、酸化性ガス又は還元ガスが挙げられ得る。いくつかの例では、酸化性ガスとしては、02、1-120、1-1202、イソプロピルアルコール、又はこれらの組み合わせを挙げることができ、還元ガスとしては、1-12ガスを挙げることができる。後の領域選択的堆積を向上させるために、酸化性ガスを使用して、第1材料層204又は第2材料206の表面を酸化させてもよい。一例では、処理ガスは、プラズマ励起されたARガスを含有し得る又はプラズマ励起されたARガスからなり得る。
プロセスにおいて、工程1406は、測定及び阻止のための更なる分岐点を提供してもよい。工程1408において、ワークピースは、工程1106におけるにおけるワークピースの処理又はトリートメントが測定され、特徴付けられる測定モジュール又はTMMに任意選択的に搬送される。能動的阻止が示された場合、工程1409において能動的阻止を実施してもよい。
その後、基板は、別の処理モジュールに搬送され、そこで、工程1410において、自己整合単層(SAM)がワークピース1300上に形成される。SAMは、ワークピース上にSAMを形成することができる分子を含有する反応ガスに曝されることによりワークピース1300上に形成してもよい。SAMは、吸着により基板表面上に自発的に形成されて、多少大きな秩序ドメインに組織化された分子集合体である。SAMは、先端基、テール基、及び官能性末端基を有する分子を含むことができ、SAMは、室温で又は室温超で気相からワークピース上に先端基を化学吸着させ、その後、テール基をゆっくりと組織化させることにより形成される。最初、表面上の分子密度が小さい時には、吸着質分子は、無秩序な分子の塊を形成するか、秩序のある2次元の「横たわる相(lying down phase)」を形成するかのいずれかであり、分子による被覆範囲が広くなると、数分から数時間をかけて、基板表面上に3次元の結晶構造又は半結晶構造を形成し始める。先端基は基板上に集合し、テール基は基板から離れたところに集合する。
一実施形態によれば、SAMを形成する分子の先端基としては、チオール、シラン、又はホスホネートが挙げられ得る。シランの例としては、C、H、Cl、F、及びSi原子、又はC、H、Cl、及びSi原子を含む分子が挙げられる。分子の非限定的な例としては、オクタデシルトリクロロシラン、オクタデシルチオール、オクタデシルホスホン酸(octadecyl phosphonic acic)、パーフルオロデシルトリクロロシラン(CF(CFCHCHSiCl)、パーフルオロデカンチオール(perfiuorodecanethiol)(CF(CFCHCHSH)、クロロデシルジメチルシラン(CH(CHCHSi(CHCl)、及びtert-ブチル(クロロ)ジメチルシラン((CH3)3CSi(CH3)2Cl))が挙げられる。
ワークピース1300上のSAMの存在を用い、第2材料層1306(例えば、金属層)に対して、第1材料層1304(例えば、誘電体層)上への後続の選択的膜堆積を可能にしてもよい。この選択的堆積挙動は予期せぬものであり、第2材料層1306上の金属酸化物の堆積を防止又は低減しつつ、第1材料層1304上に膜を選択的に堆積させるための新たな方法を提供する。SAM密度は、第1材料層1304に比べると第2材料層1306上でより高いと推測される。これはおそらく、第1材料層1304上に比して第2材料層1306上での分子の初期秩序化がより高いことによる。この第2材料層1306上のより高いSAM密度は、図13BにSAM1308として概略的に示される。
ワークピース上のSAM1308の形成に続いて、工程1412において、ワークピースは、測定モジュール/TMMに任意選択的に搬送され、そこで、ワークピース上のSAM1308の形成が測定され、特徴付けられる。能動的阻止が必要な場合、工程1413において実施してもよい。例えば、測定システムは、測定を行い、厚さ、厚さの不均一性及び/又は一致性に関連するデータを収集してもよい。例えば、本明細書に記載されているように、SAM層の表面被覆が厚さ又は一致性において十分でない場合、SAM層を用いた選択的堆積が乏しくなる場合がある。また、SAM層が不均一な場合、層1306上にボイドがもたらされる可能性がある。このような不適合は、TMM/測定モジュールにおける測定によって検出されてもよい。このような場合、能動的阻止制御システムは、SAM層を除去するために、ワークピースをエッチング又は洗浄モジュールに案内してもよい。例えば、これは、SAM層が高レベルの粒子汚染を有する場合に、又はこの層が均一でないか不正確な寸法を有するかである場合に行われてもよい。或いは、適切に寸法決めされない場合、SAM層は改善されてもよく、ワークピースは、層が薄すぎる場合、より多くの膜を載せるために、堆積チャンバに送られる(例えば、前のモジュールに戻される)。或いは、層が厚すぎる場合、ワークピースは、能動的阻止又は改善の一部として、エッチングモジュールに送られてもよい。
その後、ワークピースは、別の処理モジュールに搬送され、そこで、工程1414において、ワークピース1300を1種以上の堆積ガスに曝すことにより、膜1310(例えば、金属酸化物膜)が、第2材料層1306上に対して、第1材料層1304上に選択的に堆積される。一例では、膜1310としては、Hf02、Zr02、又はA1203を含有する金属酸化物膜が挙げられ得る。膜1310は、例えば、CVD、プラズマ強化CVD(PECVD)、ALD、又はプラズマ強化ALD(PEALD)によって堆積させてもよい。いくつかの例では、金属酸化物膜1310は、金属含有前駆体と酸化剤(例えば、1-120、1-1202、プラズマ励起02、又は03)との交互曝露を用いて、ALDにより堆積させてもよい。膜1310の堆積中、選択的堆積を維持し、層1310を層1304上にのみ堆積させるが、層1306上、更にはSAM層1308にも堆積させないことが望ましい。しかしながら、特定の条件により、SAM層上にある程度の堆積が生じる場合がある。したがって、本発明によれば、堆積層1310の完成時に、TMM又は他の測定モジュール又は測定領域のいずれかで測定が行われ、層1308上の堆積に対処するために能動的阻止が行われる。
図13Cに示すように、処理モジュール内で1種以上の堆積ガスに曝露すると、誘電体層1304上に膜1310を堆積させることに加えて、SAM1308上に膜核1312などの膜材料も堆積させることがある。この堆積選択性の喪失は、堆積プロセスがあまりにも長く行われた場合に生じ得る。或いは、誘電体層1302とSAM1308との間の堆積選択性が乏しい可能性がある。乏しい堆積選択性は、SAM1308の表面被覆が不完全であり、層が第2材料層1306上にボイドを含有する場合にも生じ得る。
したがって、ワークピース上の膜1310の堆積に続いて、工程1416において、ワークピースは、測定モジュール/TMMに搬送され、そこで、膜1310の堆積が測定され、能動的阻止制御システムによって特徴付けられる。特徴付けにより、堆積選択性の程度、及びSAM1308から膜核1312を除去するための能動的阻止工程が必要かを決定することができる。能動的阻止が必要な場合、ワークピースをエッチングモジュールに案内することなどによって、能動的阻止は工程1417において実施されてもよい。
第1材料層1304上に膜1310を選択的に形成するために、SAM1308上の膜核1312は、エッチングプロセスを使用して除去してもよい。ワークピースは、工程1418においてエッチングプロセスを実施するために、別の処理モジュールに搬送される。膜1310もまた、エッチングプロセスによって部分的に除去され得るが、金属酸化物核1312は、膜1310よりも速くエッチングされると予想される。エッチングプロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はこれらの組み合わせを含むことができる。一例では、エッチングプロセスは、原子層エッチング(ALE)プロセスを含み得る。図13Dに示される得られたワークピースは、任意の膜核除去とともに、第1材料層1304上に選択的に形成された膜1310を有する。
エッチングプロセスに続いて、工程1420において、ワークピースは、測定モジュール/TMMに任意選択的に搬送され、そこで、ワークピースは、プロセスの結果を判定するために、測定され、特徴付けられる。特徴付けにより、エッチングプロセスの程度を決定することができる。更なるエッチングなどの能動的阻止が必要な場合、工程1421において実施してもよい。
その後、工程1422において、SAM1308は、例えば、プロセスモジュールのエッチング又は洗浄により、又は熱処理により、ワークピースから除去されてもよい。
図14に概略的に示されるように、上記の処理工程は、ワークピース上の膜1310の厚さを増すために1回以上繰り返されてもよい。SAM1308が膜堆積及び/又はエッチングプロセス中に損傷し、それによって膜堆積選択性に影響を及ぼす場合、ワークピース上のSAM1308を除去し、それに続いて堆積を繰り返すことが望ましい場合がある。
製造プロセスにおける従来の計測又はプロセス制御とは異なり、ワークピースは、制御された環境を離れてスタンドアロン測定/計測ツールに入ることはなく、それにより酸化及び欠陥生成を最小限にし、測定は、データを取得するためにワークピースが犠牲にされないように非破壊であり、それにより生産高を最大化する。また、データは、生産時間に悪影響を及ぼすことを避け、ワークピース、又は共通の製造プラットフォーム上で順次処理される後続のワークピースに対するプロセス中の調整を可能にするために、プロセスフローの一部としてリアルタイムで収集され得る。更に、測定は、膜形成又はエッチングモジュールでは実施されず、それにより、測定デバイスがプロセス流体に曝される場合の問題を回避する。例えば、開示される実施形態のいくつかのように搬送モジュールにワークピース測定領域を組み込むことによって、プロセスフローの遅延がほとんどなく、プロセス流体に曝されることなく、且つ制御された環境を離れることなく、例えば真空を破壊することなく、ワークピースが処理ツール間で移動する際にデータを取得することができる。「オンザフライ」データは、スタンドアロン計測ツールで実施される従来の破壊的方法で取得されるデータほど正確でない場合があるものの、プロセスフローに関するほぼ瞬間的なフィードバックと、プロセスフローを中断したり歩留まりを犠牲にしたりすることなくリアルタイム調整を行う能力は、大量製造では非常に有利である。
図14Aのプロセスフロー1430を更に参照すると、当該方法は、統合的な方法の全体を通した任意の様々な時点で、制御された環境を離れることなく、例えば、真空を破壊することなく、能動的阻止制御システムを使用して、ワークピースを検査すること、例えば、計測を実施すること、即ち、測定データを取得することを含んでもよい。ワークピースの検査又は測定は、ワークピースの1つ以上の属性を特徴付けることと、属性が目標条件を満たしているかどうかを判定することとを含んでもよい。例えば、検査は、属性に関連する測定データを取得することと、欠陥、厚さ、均一性、及び/又は選択性条件がその条件の目標を満たしているかどうかを判定することとを含んでもよい。能動的阻止制御システムは、本明細書に記載するように、共通の製造プラットフォーム上に1つ以上の測定/計測モジュール又はワークピース測定領域を含んでもよい。特定の分岐点における様々な測定/計測操作及びそれに続く能動的阻止工程は、例えば図14Aの想像線によって示されるように任意であってもよいが、有利には、ワークピースが仕様内であることを確実にするために、プロセスフロー内の1つ以上のポイントで実施され得る。一実施形態では、測定データは、共通の製造プラットフォーム上で行われる統合的な処理工程のシーケンスの各工程の後に取得される。測定データは、共通の製造プラットフォームを離れる前に1つ以上の能動的阻止/改善/補正モジュールでワークピースを補修するために使用してもよい、並びに/又は後続の工程及び/若しくは後続のワークピースのために統合的な処理工程のシーケンスのパラメータを変更するために使用してもよい。
広義には、付加材料の選択的堆積に関連する統合的な処理工程のシーケンス中に、制御された環境内で、測定データが取得されてもよく、この測定データに基づいて、付加材料の層の欠陥、厚さ、均一性、及び/又は選択性が目標条件を満たすかどうかの判定が行われてもよい。欠陥、厚さ、均一性、及び/又は選択性が目標条件を満たしていないと判定された場合、或いはワークピースの属性が不適合であると判定された場合、ワークピースを更なる能動的阻止処理に供してもよい。例えば、ワークピースは、統合的な処理工程のシーケンスの次の処理工程を実施する前に、不適合属性を除去する、最小限にする、又は補償するために、共通の製造プラットフォーム上の補正/改善モジュールとみなされてもよい1つ以上のモジュールにおいて処理されてもよい。補正アクションは、例えば、ターゲット表面又は非ターゲット表面をエッチングすること、ワークピース上に更なる付加材料を堆積させること、ワークピース上のバリア層を補修すること、ワークピースを熱処理すること、又はワークピースをプラズマ処理することを含んでもよい。検出された不適合又は欠陥によっては、他の工程もまた、能動的阻止の一部であってもよい。
一例では、SAMを用いた処理では、不適合が、SAMによる非ターゲット表面の不完全な被覆若しくは不完全な遮蔽に少なくとも一部基づく場合、又は非ターゲット表面の露出面積の量が所定の露出領域閾値よりも大きい場合、又はSAM表面上の付加材料の量が所定の閾値よりも多い場合、補正アクションは、SAMを除去することを含んでもよい。別の例では、不適合が、ターゲット表面と非ターゲット表面との間の段差距離が所定の段差閾値未満であること、又は非ターゲット表面の露出面積の量が所定の露出領域閾値未満であることに少なくとも部分的に基づく場合、補正アクションは、付加材料の層の少なくとも一部分を除去することを含んでもよい。更に別の例では、不適合が、ターゲット表面上の付加材料の厚さが所定の厚さ閾値未満であることに少なくとも一部基づく場合、補正アクションは、ワークピースに更なる付加材料を付加することを含んでもよい。別の更なる例では、不適合が、非ターゲット表面上に残存する付加材料又は非ターゲット表面上に残存する自己組織化単層が所定の残存厚さ閾値を超えることに少なくとも一部基づく場合、補正アクションは、ワークピースをエッチングすることを含んでもよい。別の例では、不適合なワークピース属性が、ワークピースからの反射率が所定の反射率閾値未満であることに少なくとも一部基づく場合、補正アクションは、ワークピースを熱処理又はプラズマ処理することを含んでもよい。
補正モジュールは、共通の製造プラットフォーム上で補正モジュールとして指定された異なる膜形成モジュール及びエッチングモジュールであってもよい、又は共通の製造プラットフォーム上に統合された、熱アニーリングモジュールなどの別のタイプのトリートメントモジュールであってもよい、又は付加材料を選択的に堆積させ、膜核をエッチングするために使用した同じ膜形成モジュール及びエッチングモジュールであってもよい。
ここで、ASDの目標厚さにいつ達したかを判定するために及び/又は非コンフォーマル性(non-conformality)が存在するかどうかを判定するために、ワークピースの属性を特徴付けるために使用する任意の検査又は計測操作を有する、図14Aのプロセスフロー1430について詳述する。操作1432は、ターゲット表面及び非ターゲット表面を有するワークピースを共通の製造プラットフォームに受け取ることを含む。操作1450は、任意選択的に、ターゲット表面及び/又は非ターゲット表面の属性などの投入されるワークピースの属性に関連する測定データを取得するために測定/計測を実施することを含み、測定データは、操作1434~1438のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよい。
操作1434は、任意選択的に、ワークピースを前処理することを含む。前処理は、共通の製造プラットフォーム上で実行される単一の操作であっても複数の操作であってもよい。操作1452は、任意選択的に、前処理後に、ワークピースの属性に関連する測定データを取得するために計測を実施することを含む。複数の前処理操作が実施される場合、測定データは、全ての前処理が完了した後及び/又は任意の個々の前処理工程の後に取得されてもよい。一例では、ワークピースは、SAMが形成された後に、被覆が完全であるかどうか、又は処理表面の露出面積が閾値を超えるかどうかを判定するために検査される。測定データは、操作1434~1438のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよい、操作1432又は操作1434で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、ワークピースを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、非ターゲット表面上のSAMによる被覆が不完全な場合、1つ以上の処理モジュールにおいて、SAMを除去すること及びSAMを再塗布することなどの補正アクションが取られてもよい。
操作1436は、共通の製造プラットフォーム上にホストされた膜形成モジュール内でワークピース上に付加材料を選択的に堆積させることを含む。操作1454は、任意選択的に、選択的堆積の影響を受ける付加材料の層、非ターゲット表面、及び/又は前処理された表面の属性などの、ターゲット表面上に形成された付加材料の層を有するワークピースの属性に関連する測定データを取得するために計測を実施することを含み、測定データは、操作1438~1442のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1432又は操作1434~1436で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、付加材料の層又は非ターゲット表面を補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、付加材料の欠陥、厚さ、均一性、又は選択性が目標条件を満たしていない場合、ターゲット表面上に追加の付加材料を選択的に堆積させること、非ターゲット表面又はターゲット表面から付加材料を除去すること、非ターゲット表面から前処理層を除去すること、ワークピースを熱処理又はプラズマ処理すること、又はこれらの2つ以上の組み合わせなどによって、1つ以上の補正モジュールで補正アクションが取られてもよい。
操作1438は、非ターゲット表面を露出させるために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いてワークピースをエッチングすることを含む。操作1438は、非ターゲット表面上若しくは非ターゲット表面上に形成されたSAM上に堆積された膜核をエッチングすること、又は非ターゲット表面上若しくは非ターゲット表面上に形成されたSAM上に堆積された付加材料の完全層を、ターゲット表面上に形成された付加材料の層の厚さ未満の厚さにエッチングすることを含んでもよい。操作1438はまた、同じエッチング工程又は後続のエッチング工程のいずれかにおいて、非ターゲット表面からSAM又は他の前処理層を除去することを含んでもよい。操作1456は、任意選択的に、エッチングの影響を受ける付加材料の層の属性、エッチングによって露出させる非ターゲット表面の属性、及び/又はSAM若しくは非ターゲット表面上のSAMから膜核をエッチングすることにより影響を受ける他の前処理層の属性などの、ターゲット表面及びエッチングされる非ターゲット表面上に付加材料の層を有するワークピースの属性に関連する測定データを取得するために測定/計測を実施することを含み、測定データは、操作1442毎のシーケンスの繰り返しにおける工程1434~1438を含む操作のうちいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1432又は操作1434の~1438で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよく、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、付加材料の層又は非ターゲット表面のために、ワークピースは補正モジュールに搬送されてもよい。例えば、付加材料の欠陥、厚さ、均一性、又は選択性が目標条件を満たしていない場合、ターゲット表面上に追加の付加材料を選択的に堆積させること、非ターゲット表面又はターゲット表面から付加材料を除去すること、非ターゲット表面から前処理層を除去すること、ワークピースを熱処理又はプラズマ処理すること、又はこれらの2つ以上の組み合わせなどによって、1つ以上の補正モジュールで補正アクションが取られてもよい。更に、判定1440がいいえとなるように、付加材料の層の厚さが目標厚さ未満であることを測定データが示す場合、ワークピースに、操作1442毎のシーケンスの繰り返し工程を施してもよい。判定1440がはいとなるように、付加材料の層の厚さが目標厚さに達したことを測定データが示す場合、ワークピースは、共通の製造プラットフォームを出てもよい。
上述したようなプロセスパラメータは、ガス流量;エッチャント、堆積反応物、パージガス等の組成;チャンバ圧;温度;電極間隔;電力等などであるが、これらに限定されない処理モジュール内の任意の操作変数を含んでもよい。能動的阻止システムのインテリジェンスシステムは、検査システムから測定データを収集し、例えば、処理中のワークピースのために、後続の処理モジュールの処理パラメータに対してその場調整を行うことによって、又は後続のワークピースのために1つ以上の処理モジュールのプロセスパラメータを変更することによって、共通の製造プラットフォーム上で実行される統合的な処理工程のシーケンスを制御するように構成されている。したがって、取得された測定データは、統合的な処理工程のシーケンス中にワークピースに必要な補修を特定してワークピースを廃棄する必要を回避するために、及び/又は測定データが取得された後、同じワークピースで実施される工程のために、若しくは後続のワークピースを処理して、後続のワークピースに関して目標条件が満たされないことの発生を減少させるために、統合的な処理工程のシーケンスの処理パラメータを調整するために使用されてもよい。
図示される例のいくつかは、誘電体層上の及び金属酸化物膜のASD層を示すが、本発明は、メタルオンメタル(MoM)選択的堆積又は誘電体オン誘電体(DoD)選択的堆積にも適用することができる。
本発明はまた、本発明のシステムで行われるような自己整合マルチパターニングプロセスでの能動的阻止のために実施されてもよい。このような状況では、本明細書に記載されているように、能動的阻止システムは、共通の製造プラットフォーム上の1つ以上の測定/計測モジュール又はワークピース測定領域であってもよい。様々な測定又は計測操作は、図14Bに示されるように任意選択的に実施されてもよいが、有利には、ワークピースが仕様内であることを確実にし、欠陥及びEPEを低減するために、プロセスフロー内の1つ以上のポイントで実施され得る。一実施形態では、測定データは、共通の製造プラットフォーム上で行われる統合的な処理工程のシーケンスの各工程の後に取得される。測定データは、共通の製造プラットフォームを離れる前にワークピース改善又は補正モジュールで能動的阻止及び補修を開始するために使用してもよい、並びに/又は後続のワークピースのために統合的な処理工程のシーケンスのパラメータを変更するために使用してもよい。
マルチパターニングプロセスにおいては、例えば、制御された環境内で、側壁スペーサパターンの形成に関連する統合的な処理工程のシーケンス中に測定データが取得されてもよく、この測定データに基づいて。例えば、共通プラットフォーム内のTMM/測定モジュール又は測定領域は、側壁スペーサパターンの厚さ、幅、又はプロファイルに関するデータを提供してもよく、データは、側壁スペーサパターンの測定された厚さ、幅、又はプロファイルが目標条件を満たしているかどうかを判定するために、阻止制御システムによって分析されてもよい。側壁スペーサパターンの厚さ、幅、又はプロファイルが目標条件を満たしていないと判定された場合、能動的阻止が必要な場合があり、ワークピースは、側壁スペーサパターンを変更するために、共通の製造プラットフォーム上の処理モジュールで処理されてもよい。一実施形態では、側壁スペーサパターンの目標厚さ、幅、又はプロファイルが満たされていない場合、側壁スペーサパターンは補修されてもよい。一例では、ワークピースは、構造上に追加の材料を選択的に堆積させるために、膜形成モジュールに送られてもよい。或いは、プロセスモジュールは、構造上に追加の材料をコンフォーマルに堆積させるために使用されてもよい。また更に、能動的阻止では、構造を再形成する、構造をエッチングする、構造にドーパントを注入する、構造の材料層を除去及び再塗布するために、1つ以上のプロセスモジュールを使用してもよい。また、制御システムによって指示される適切な能動的阻止のために、様々な改善補正工程を組み合わせてもよい。
一実施形態では、共通の製造プラットフォーム上の膜形成モジュールで塗布された薄膜の付きまわりコンフォーマル性又は均一性が、この薄膜の目標のコンフォーマル性又は目標の均一性を満たしていない場合、薄膜を補修するために補正又は能動的阻止アクションが取られてもよい。一例では、コンフォーマルに塗布された薄膜を補修することは、薄膜を除去することと、薄膜を再塗布することとにより行われてもよい。したがって、ワークピースは、1つ以上のエッチング及び/又は洗浄プロセスモジュールに送られ、その後、膜を再塗布するために膜形成モジュールに送られてもよい。別の能動的阻止の例では、ワークピースは、追加の薄膜をコンフォーマルに塗布するために膜形成モジュールに、又は薄膜をエッチングするためにエッチングモジュールに、又は膜形成とエッチングとをある程度組み合わせたものに進んでもよい。例えば、ワークピースは、薄膜を除去するために若しくは薄膜を部分的にエッチングするために補正エッチングモジュールに搬送されてもよい、及び/又はワークピースは、薄膜が除去された後にそれを再塗布するために又は既存の薄膜若しくは部分的にエッチングされた薄膜の上に追加の薄膜を塗布するために補正膜形成モジュールに搬送されてもよい。
一実施形態では、共通の製造プラットフォーム上のエッチングモジュールで形成された側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサを補修するための補正アクションが取られてもよい。側壁スペーサを補修することは、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせにより行われてもよい。例えば、ワークピースは、スペーサ材料を選択的に堆積させるために補正膜形成モジュールに搬送されてもよい、又は側壁スペーサ再形成プロセスを実施するために1つ以上の補正膜形成及び/又はエッチングモジュールに搬送されてもよい。
補正モジュールは、共通の製造プラットフォーム上で補正/改善モジュールとして指定された異なる膜形成モジュール及びエッチングモジュールであってもよい、又は共通の製造プラットフォーム上に統合された、熱アニーリングモジュールなどの別のタイプのトリートメントモジュールであってもよい。或いは、能動的阻止で使用されるモジュールは、薄膜をコンフォーマルに塗布し、薄膜をエッチングし、マンドレルパターンを除去するために使用されるのと同じ膜形成モジュール及びエッチングモジュールであってもよい。
ここで、任意の計測操作を伴う図14Bのプロセスフロー1460について詳述する。操作1462は、第1のマンドレルパターンを有するワークピースを共通の製造プラットフォームに受け取ることを含む。操作1480は、第1のマンドレルパターン及び/又はマンドレルパターンが形成され、最終的なパターンが転写される下地層の属性などの、投入されるワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含む。測定データは、操作1464~1478のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよい。
操作1464は、共通の製造プラットフォーム上にホストされた膜形成モジュールを用いて、第1のマンドレルパターン上に第1の薄膜をコンフォーマルに塗布することを含む。操作1482は、第1の薄膜、薄膜堆積の影響を受ける第1のマンドレルパターン、及び/又は薄膜堆積の影響を受ける、最終的なパターンが転写される下地層の属性などの、コンフォーマルな第1の薄膜が塗布されたワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含み、測定データは、操作1464~1468のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1462又は操作1464で、入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、コンフォーマルに塗布された第1の薄膜を補修するために、ワークピースはプロセスモジュールに搬送されてもよい。例えば、第1の薄膜のコンフォーマル性又は均一性が第1の薄膜の目標のコンフォーマル性又は目標の均一性を満たしていない場合、薄膜を除去し、薄膜を再塗布すること、追加の薄膜をコンフォーマルに塗布すること、薄膜をエッチングすること、又はこれらの2つ以上の組み合わせなどの補正アクションが1つ以上のプロセスモジュールで取られてもよい。
操作1466は、第1の側壁スペーサを形成するために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第1のマンドレルパターンの上面及び第1のマンドレルパターンに隣接する下面から(例えば、下地層から)第1の薄膜を除去すること(スペーサエッチングと呼ばれる)を含む。操作1484は、第1の側壁スペーサ、スペーサエッチングの影響を受ける第1のマンドレルパターン、及び/又はスペーサエッチングの影響を受ける下地層の属性などの、第1の薄膜をエッチングして第1のマンドレルパターンの側壁上に第1の側壁スペーサを形成したワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含み、測定データは、操作1468~1478のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1462又は操作1464~1466で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、マンドレルパターンの側壁上の第1の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上のプロセスモジュールで取られてもよい。
操作1468は、第1の側壁スペーサを残すために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第1のマンドレルパターンを除去すること(マンドレルプルと呼ばれる)を含む。操作1486は、マンドレルプルの影響を受ける第1の側壁スペーサ及び/又はマンドレルプルの影響を受ける下地層の属性などの、第1の側壁スペーサを有するワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含み、測定データは、操作1470~1478のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1462又は操作1464~1468で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第1の側壁スペーサを補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上のプロセスモジュールで取られてもよい。
自己整合ダブルパターニング実施形態では、プロセスフロー1460は、操作1486を伴わずに又は操作1486の後に、フロー1470を通って、後述する操作1478に進んでもよい。操作1472は、共通の製造プラットフォーム上にホストされた膜形成モジュールを用いて、第2のマンドレルパターンとして機能する第1の側壁スペーサ上に第2の薄膜をコンフォーマルに塗布することを含む。操作1488は、第2の薄膜、薄膜堆積の影響を受ける第2のマンドレルパターン、及び/又は薄膜堆積の影響を受ける下地層の属性などの、コンフォーマルな第2の薄膜が塗布されたワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含み、測定データは、操作1474~1478のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1462又は操作1464~1468で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、コンフォーマルに塗布された第2の薄膜を補修するために、ワークピースは補正モジュールに搬送されてもよい。例えば、第2の薄膜のコンフォーマル性又は均一性が第2の薄膜の目標のコンフォーマル性又は目標の均一性を満たしていない場合、薄膜を除去し、薄膜を再塗布すること、追加の薄膜をコンフォーマルに塗布すること、薄膜をエッチングすること、又はこれらの2つ以上の組み合わせなどの補正アクションが1つ以上のプロセスモジュールで取られてもよい。
操作1474は、第2の側壁スペーサを形成するために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第2のマンドレルパターンの上面及び第2のマンドレルパターンに隣接する下面から(例えば、下地層から)第2の薄膜を除去すること(スペーサエッチングと呼ばれる)を含む。操作1490は、第2の側壁スペーサ、スペーサエッチングの影響を受ける第2のマンドレルパターン、及び/又はスペーサエッチングの影響を受ける下地層の属性などの、第2の薄膜をエッチングして第2のマンドレルパターンの側壁上に第2の側壁スペーサを形成したワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含み、測定データは、操作1476~1478のうちのいずれか1つのプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1462又は操作1464~1474で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第2のマンドレルパターンの側壁上の第2の側壁スペーサを補修するために、ワークピースはプロセスモジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上のプロセスモジュールで取られてもよい。
操作1476は、第2の側壁スペーサを残すために、共通の製造プラットフォーム上にホストされたエッチングモジュールを用いて、第2のマンドレルパターンを除去すること(マンドレルプルと呼ばれる)を含む。操作1492は、マンドレルプルの影響を受ける第2の側壁スペーサ及び/又はマンドレルプルの影響を受ける下地層の属性などの、第2の側壁スペーサを有するワークピースの属性に関連する測定データを取得するために、任意選択的に測定/計測を実施することを含み、測定データは、操作1478のプロセスパラメータを調整する及び/又は制御するために使用してもよく、操作1462又は操作1464~1476で、ワークピースの入力属性に対して後続のワークピースのための調整を行うために使用してもよい、又は処理を継続する前にワークピースを補修するために使用してもよい。一実施形態では、1つ以上の属性が目標条件を満たしていないことを測定データが示す場合、第2の側壁スペーサを補修するために、ワークピースはプロセスモジュールに搬送されてもよい。例えば、側壁スペーサの厚さ、幅、又はプロファイルが側壁スペーサの目標厚さ、幅、又はプロファイルを満たしていない場合、側壁スペーサ上に追加の材料を選択的に堆積させること、側壁スペーサを再形成すること、側壁スペーサにドーパントを注入すること、又はこれらの2つ以上の組み合わせなどによって、補正アクションが1つ以上のプロセスモジュールで取られてもよい。
上述したようなプロセスパラメータは、ガス流量;エッチャント、堆積反応物、パージガス等の組成;チャンバ圧;温度;電極間隔;電力等などであるが、これらに限定されない処理モジュール内の任意の操作変数を含んでもよい。能動的阻止システムのインテリジェンスシステムは、検査システムから測定データを収集し、例えば、処理中のワークピースのために、後続の処理モジュールの処理パラメータに対してその場調整を行うことによって、又は後続のワークピースのために1つ以上の処理モジュールのプロセスパラメータを変更することによって、共通の製造プラットフォーム上で実行される統合的な処理工程のシーケンスを制御するように構成されている。したがって、取得された測定データは、統合的な処理工程のシーケンス中にワークピースに必要な能動的阻止工程若しくは補修を特定してワークピースを廃棄する必要を回避するために、及び/又は測定データが取得された後、同じワークピースで実施される工程のために、若しくは後続のワークピースを処理して、後続のワークピースに関して目標条件が満たされないことが生じるのを低減するために、統合的な処理工程のシーケンスの処理パラメータを調整するために使用されてもよい。
能動的阻止は、コンタクト形成プロセスでも実施してよい。ワークピース上のコンタクト形成は、共通の製造プラットフォーム上で実施することができる。一実施形態では、コンタクトは、パターニングされたマスク層を用いて、トランジスタのコンタクト領域を複数のプロセス(例えば、洗浄、金属堆積、アニーリング、金属エッチング)に選択的に曝し、形成されてもよい。別の実施形態では、コンタクトは、パターニングされたマスク層を使用することなく、トランジスタのコンタクト領域に金属を塗布し、そこから金属を除去する選択的堆積及びエッチングプロセスを用いて形成されてもよい。
パターニングされたマスク層の実施形態では、共通の製造プラットフォームは、パターニングされたマスク層を介して形成され、露出された1つ以上のコンタクトフィーチャを有するワークピースを受け取ってもよい。コンタクトフィーチャは、コンタクトフィーチャの底部に露出した半導体コンタクト表面を有し、半導体コンタクト表面は、シリコン、又はゲルマニウム、又はその合金を含有する。共通の製造プラットフォームは、半導体コンタクト表面から汚染を除去するために、1つ以上のエッチングモジュールのうちの1つで、半導体コンタクト表面の処理を開始してもよい。一実施形態では、処理に先立って、コンタクトフィーチャ内の汚染レベルを検出するために、投入されるウェーハに対してX線光電子分光測定を実施してもよい。或いは、半導体コンタクト表面上の酸化物の量を決定する又は概算するために、エリプソメトリ(ellispometry)(例えば、厚さ測定)が行われてもよい。そうすることにより、共通の製造プラットフォームは、エッチングモジュールで材料を除去するための処理プロセスを最適化してもよい。
処理後、汚染又は酸化膜が適切に除去されたことを確認するために、汚染及び厚さの測定が再度行われてもよい。適切に除去されていない場合、共通の製造プラットフォーム及びその能動的阻止制御システムは、エッチングモジュールによってワークピースを追加で1回以上処理することにより、改善アクションを取ってもよい。この測定及び処理プロセスは、汚染又は酸化物が所定の閾値レベルを下回るまで繰り返されてもよい。いくつかの場合では、コンタクトフィーチャの寸法を測定するために、高分解能光学測定システムが、TMM/測定モジュール(例えば、高分解能光学イメージング及び顕微鏡技術、ハイパースペクトル(マルチスペクトル)イメージング、干渉法、分光法、フーリエ変換赤外分光法(FTIR)反射光測定、スキャトロメトリ、分光エリプソメトリ、旋光分析、屈折計、又は非光学イメージングシステム(例えば、SEM、TEM、AFM)で用いられてもよい。
次いで、共通の製造プラットフォームは、半導体コンタクト表面上のコンタクトフィーチャ内に金属層を堆積させるために、ワークピースを金属堆積モジュールに移動させる。TMM又は測定モジュールの測定システムは、共通の製造プラットフォームに組み込まれた1つ以上の測定/計測システム(例えば、光学的又は非光学的技術)を使用して、堆積させた層の膜特性(例えば、厚さ、抵抗、均一性、コンフォーマル性)を測定してもよい。測定及び/又はプロセス性能データに基づいて、能動的阻止制御システムは、金属層の厚さを増加又は減少させるためにワークピースに対して改善アクションを実施してもよく、測定に基づいて所望の結果を得るために、ワークピースを、適宜、膜形成モジュール又はエッチングモジュールに移動させる。或いは、制御システムは、金属層を除去し、第1の金属層に代わる第2の金属を再塗布するために、ワークピースを適切に移動させてもよい。この例では、金属層は、例えば、1つ以上のトランジスタ構成要素の誘電体材料と物理的に接触している。
金属層はトランジスタの誘電体材料と物理的に接触しているが、金属と誘電体材料との間の界面抵抗が、金属と誘電体材料との間の急激な変化によって高くなりすぎるため、コンタクトはまだ完全には形成されていない。抵抗を低減させるための1つの手法は、ワークピースをアニーリング又は加熱して金属-誘電体合金を形成することであり、この合金の抵抗は、誘電体材料よりも低く、金属よりも高い。熱処理後、能動的阻止制御システムは、膜抵抗率計測システムを用いて抵抗を測定し、合金形成が所定の範囲内であることを確認するために、ワークピースを移動させてもよい。この例では、能動的阻止制御システムはまた、合金材料を完全に形成して所望の抵抗を得るために追加の熱処理が必要であると判断してもよく、このような工程のために、共通の製造プラットフォーム内のワークピース搬送機構がそれに応じて操作される。
熱処理後、ワークピースは、金属層の非合金化部分を除去して、コンタクトフィーチャ内の合金を露出させるために、エッチングモジュールに移動させてもよい。この場合も、能動的阻止制御システムは、抵抗を測定して、金属層の非合金化部分が適切に除去されたかどうかを判定するために、ワークピースをTMM又は測定モジュール又はそれ以外の測定システム内に配置してもよい。エッチングプロセスは、上述の条件が達成されるまで、能動的阻止制御システムによって繰り返されてもよい。しかしながら、いくつかの実施形態では、金属層は、合金処理の結果、完全に消費されてもよい。この例では、金属エッチングプロセスは必要ない場合がある。
いくつかの実施形態では、パターニングされたマスク層のプロセスは、金属層又は合金層をキャッピングして金属酸化物又は他の汚染を防ぐために、1つ以上の膜形成モジュールのうちの1つで、堆積金属層又は合金化層上に導電性キャッピング層を塗布することを含んでもよい。
他の実施形態では、共通の製造プラットフォームは、トランジスタ構成要素に電気信号を提供する、トランジスタの上方に後に形成されるメタル線にコンタクトを接続するためのビア構造(例えば、W、Co、Ru)をコンタクトの上方に形成するように構成され、制御されてもよい。
別の実施形態では、コンタクト形成は、領域選択的堆積(ASD)技術を使用して実施されてもよく、この技術は、堆積させた膜が特定の露出した材料上でのみ成長する又ははるかに高速で成長するように、互いに選択的に相互作用する、ワークピース上に露出した材料及び堆積させた膜の化学的性質に依存する。したがって、パターニングされたマスク層は、投入されるワークピースから省略されてもよい。しかしながら、ASD実施形態は依然として、2つの主な相違を伴って、パターニングされたマスク層の実施形態と同じ工程の多くを使用する。それは、自己組織化単層の塗布及び除去であり、SAMは金属堆積前に塗布され、金属堆積後に除去される。SAM層はパターニングされたマスク層に代わって、ブランケット金属堆積をコンタクトフィーチャ上に選択的に堆積させることを可能にする。例えば、マスク実施形態では、金属層は、コンタクトフィーチャ及びマスク層上に堆積し、ワークピース上に金属のブランケット層を形成する。これに対し、ASD実施形態では、金属は、SAM層によって覆われていないコンタクトフィーチャ上に選択的に堆積され、SAM上に金属層を形成せず、コンタクトフィーチャ上に同じ金属層の厚さを有することになる。
ASD実施形態では、共通の製造プラットフォーム及び能動的阻止制御システムは、様々な測定/計測システムを使用して、SAMの被覆及び/若しくは密度がワークピース上の非コンタクトフィーチャを適切に覆っているか、並びに/又はワークピース上のコンタクトフィーチャを露出させているかを確認する。同様に、能動的阻止制御システム及び共通の製造プラットフォームは、測定/計測システムを使用して、SAM材料がワークピースから適切に除去されていると判定することができる。計測システムとしては、高分解能光学(例えば、高分解能光学イメージング及び顕微鏡技術)、ハイパースペクトル(マルチスペクトル)イメージング、干渉法、分光法、フーリエ変換赤外分光法(FTIR)反射光測定、スキャトロメトリ、分光エリプソメトリ、旋光分析、又は屈折計が挙げられ得る。
自律学習エンジン
ここで図面を参照して本発明を記載する。なお、全体を通して同じ要素を指すために同じ参照番号を使用している。以下の記載では、本発明の完全な理解をもたらすために、説明の目的で、多くの具体的な詳細が示されている。しかしながら、これらの具体的な詳細がなくても、本発明を実行できることは明らかであり得る。他の例では、本発明の説明を容易にするために、周知の構造とデバイスとが、ブロック図の形で示されている。
本明細書で使用される場合、「オブジェクト」、「モジュール」、「インターフェース」、「コンポーネント」、「システム」、「プラットフォーム」、「エンジン」、「ユニット」、「ストア」、等の用語は、コンピュータに関連するエンティティ、又は特定の機能を有する演算機械に関連するエンティティを指すことを意図している。エンティティは、ハードウェア、ハードウェアとソフトウェアとの組み合わせ、ソフトウェア、又は実行中のソフトウェアのいずれかであり得る。例えば、コンポーネントは、プロセッサ上で実行されるプロセス、プロセッサ、オブジェクト、エグゼキュータブル、実行スレッド、プログラム、及び/又はコンピュータであり得るが、これらに限定されない。例として、サーバで実行されるアプリケーションとサーバとの両方がコンポーネントであり得る。1つ以上のコンポーネントが、プロセス及び/又は実行スレッド内にあってもよく、コンポーネントが、1つのコンピュータに配置されていてもよい及び/又は2つ以上のコンピュータ間に分散されていてもよい。また、これらのコンポーネントは、様々なデータ構造を記憶した様々なコンピュータ可読媒体から実行することができる。コンポーネントは、例えば1つ以上のデータパケットを有する信号に従って、ローカル及び/又はリモートプロセスを介して通信することができる(例えば、1つのコンポーネントからのデータは、ローカルシステム、分散型システムにおいて別のコンポーネントと、及び/又はインターネットのようにネットワークを通して他のシステムと、信号を介して相互作用する)。
更に、用語「又は」は、排他的な「又は」ではなく、包括的な「又は」を意味することを意図している。即ち、特に指定されている場合を除いて、又は文脈から明らかである場合を除いて、「XはA又はBを用いる」は、通常の包括的な置換のいずれかを意味することを意図している。即ち、XはAを用いる、XはBを用いる、或いは、XはA及びBの両者を用いる、である場合、「XはA又はBを用いる」は、上述の例のいずれにおいても満たされる。更に、本明細書及び請求項で使用されている冠詞「a」と「an」は、特に指定されている場合を除いて、又は単数を指すことが文脈から明らかである場合を除いて、通常は、「1つ以上」を意味すると解釈されるべきである。
図面を参照すると、図17は、能動的阻止制御システムによって実装されてもよい、例示的な生物学に基づく自律学習システム1700を示す。適応的推論エンジン1710は、目標コンポーネント1720に接続されている。有線又は無線通信リンク1715が、このようなコンポーネントを接続している。目標コンポーネント1720によって設定又は遂行される特定の目標のために、適応的推論コンポーネント1710は、目標を達成するために用いることができる、本明細書で捕捉される測定データ、プロセスパラメータデータ、プラットフォーム性能データなどの入力1730を受け取り、遂行又は達成される目標の態様を表す又は記録することができる出力1740を伝達する。加えて、適応的推論エンジン1710は、データストア1750からリンク1755を介してデータを受信することができ、このようなデータストアにデータ又は情報を記憶することができる。例えば、記憶される情報は、有線又は無線リンク1765を介して伝達される出力1740の一部であり得る。(i)入力1730、出力1740、及びデータストア1750中のデータ(並びに入力、出力、及びデータストア中のデータの履歴)が、適応的推論エンジン1710の動作に対するコンテキストを含み、(ii)そのコンテキストを、リンク1715、リンク1755、及びリンク1765を介してエンジンにフィードバックすることで、コンテキストに基づく適応を容易にすると理解されるべきである。特に、目標コンポーネント1720は、フィードバックされたコンテキストを活用して、特定の最初の目標を適応させ、そうすることで、適応させた目標を設定し、遂行することができる。
入力1730は、外部からのデータ又は情報と見なすことができ、外部からのデータ又は情報は、共通の製造プラットフォームからの測定モジュールデータ、検査システムデータ、処理モジュールパラメータデータ、プラットフォーム性能データ等、並びにプロセスシーケンスデータを含むことができる。このデータは、命令、記録、測定結果等を含むことができる。出力1740は、入力1730と性質上ほぼ同じであることができ、内部からのデータと見なすことができる。入力と出力は、適応的推論コンポーネント1710中に存在し得る、入力と出力のインターフェース及び製造プラットフォームとの接続(例えば、USBポート、IR無線入力)によって、それぞれ、受け取ることと、伝えることができる。上述したように、入力1730及び出力1740は、適応的推論エンジン1710に対するコンテキストの一部であり得る。更に、適応的推論コンポーネント1710は、目標を遂行する結果として、入力1730を要求することができる。
生物学に基づく自律システム1700中のコンポーネントは、再帰的に定義することができ、これは、基礎的な基本コンポーネントを伴う有能な又はコンポーネント学習複雑さの実質的な程度を自律システム1700に与えることができる。
各リンク1715、1755、又は1765は、通信インターフェースを含むことができ、通信インターフェースは、送信又は受信されるデータ又は情報の操作を容易にすることができ、データの保存及びデータの検索のためにデータベースを利用することができ、アクターから情報を受信すること及びアクターに情報を伝達することができる。リンク1715、1755、又は1765の有線の実施形態は、撚り対線、T1/E1電話回線、AC線、光ファイバ線、及び対応する回路を含むことができるのに対して、無線の実施形態は、ウルトラモバイル広帯域リンク、ロングタームエボリューションリンク、又はIEEE802.11リンク、及び関連する電子機器を含むことができる。データストア1750に関しては、単一の要素として示されているが、異なる物理的又は論理的位置にデータメモリセットが配備される分散型データウェアハウスとすることもできる。
例示的なシステム1700において、適応的推論エンジン1710及び目標コンポーネント1720は、別個のコンポーネントとして示されている。しかしながら、このようなコンポーネントのうちの一方が他方の中に存在する場合があると理解されるべきである。
目標コンポーネント1720は、1つ以上の分野(例えば、半導体製造などの科学的分野、又は半導体製造に関連する事業部門(例えば、市場部門、産業部門、研究部門等)に属し得る。更に、目標は、典型的には、複数の分野にわたり且つ複数の市場に焦点を当てる場合があるため、目標コンポーネントは、1つ以上の特定の分野又は部門内に複数の異なる目標を設定することができる。目標を遂行するために、目標コンポーネントは、機能コンポーネント及び監視コンポーネントを含むことができる。目標を達成するための特定の動作は、機能コンポーネントによる影響を受けるのに対して、目標の達成に関する変数の条件は、監視コンポーネントによって決定される。更に、機能コンポーネントは、目標コンポーネント1720によって達成され得る目標空間を決定することができる。目標空間は、特定の機能を用いて到達することができる実質的に全ての目標を含む。機能コンポーネントによってもたらされるこのような特定の機能に関して、特定の目標のコンテキストに即した適応により、目標空間内で第1の目標を第2の目標に適応させることができると理解されるべきである。目標空間内の最初の目標は、1つ以上のアクターによって決定され得る。アクターは、機械又は人間のエージェント(例えば、エンドユーザ)であり得る。適応推論エンジン1710は、目標のドリフティングによって、目標コンポーネント1720を細かく複雑な対象に向かわせることができるので、最初の目標は、包括的な高レベルの対象であり得ることに留意すべきである。次いで、目標、目標コンポーネント、及び目標適応について説明する。
図18は、コンテキストに即した目標適応を表す図1800である。目標(例えば、目標1810、又は目標1810)は、典型的には、目標コンポーネント(例えば、コンポーネント1720)の機能に関連する抽象的概念であり得る。目標は、高レベルの抽象的概念であり得る。即ち、即ち、「退職後の生活のために貯蓄する」、「利益を確保する」、「楽しむ」、「料理を学ぶ」、「現地に行く」、「データベースを開発する」、「製品を製造する」、等である。更に、目標は、「60,000~80,000ドルの範囲内の年収で貯金をして、早く退職する」、「オフシーズンに、宿を含む旅行費用を5,000ドル以下にして、アメリカから日本に旅行する」、又は、「就職面接会場に着いたら、将来の雇用者である共同経営者たちに35分のプレゼンテーションをする」など、より具体的に絞り込んだものであってもよい。更に、目標(例えば、1810)は、関連するコンテキスト(例えば、1820)を有する。上述したように、適応的推論エンジン1710に接続された目標コンポーネント1720は、概して、設定された目標(例えば、目標1810、又は目標1810)に適合する。例えば、「製品を製造する」という目標(例えば、目標1810)は、標準仕様又はカスタム仕様を採用して製品を製造する分子線エピタキシーリアクタなどの製造ツールシステム(例示的な目標コンポーネント1720)に依存し得る。このような目標(例えば、目標1810)の達成中、出力1740は、製造された製品を含むことができる。更に、適応的推論コンポーネント(例えば、コンポーネント1710)は、「製品を製造する」という目標(例えば、目標1810)を、コンテキスト(例えば、コンテキスト18201)に基づいて適応させる(例えば、適応1830)ことができる。コンテキストは、ツールシステムの仕様によって、又は目標コンポーネント中の監視コンポーネントによって収集されたデータによって生成することができる等のものである。具体的には、最初の高レベルの目標(例えば、目標1810)は、「半導体デバイスを製造する」(例えば、目標1810)に適合させることができる。上述したように、目標コンポーネント1720は、目標を達成するために、複数の機能コンポーネントから構成され得る。更に、目標コンポーネント1720は、目標を適応させる際に目標サブコンポーネントが組み込まれ得るモジュール式とすることができる。一例として、「製品を製造する」という目標を遂行する目標コンポーネントは、「分子エレクトロニクスコンポーネントを利用するマルチコアプロセッサを製造する」という目標(例えば、目標1810)に適応させる(例えば、1830)ために、様々な市場の市場条件を分析することができる超並列インテリジェントコンピューティングプラットフォームに接続された複数市場評価及び予測コンポーネントを含むことができる。このような適応は、いくつかの中間適応1830~1830N-1と、中間適応させた目標1810~1810N-1とを含むことができ、中間適応は、前に遂行した目標から生成された中間コンテキスト1820~1820に基づくことに留意されたい。
目標、目標コンポーネント、及び目標適応の別の説明において、目標は、「映画AのDVDを店Bで購入する」ことであり得る。目標コンポーネント1720は、適応的推論エンジン1710を含む、ナビゲーションシステムを備えた車両であり得る。(この説明では、適応的推論エンジン1710は、目標コンポーネント1720内に存在することに留意すべきである)。アクター(例えば、車両の運転者)は、店Bの場所を入力又は選択することができ、目標コンポーネントは、目標を達成するための指示を生成することができる。アクターが店に向かっている間に、店Bが映画Aの在庫を持つのを止めたという入力1730を適応的推論エンジン1710が受信した(例えば、RFIDリーダが在庫データベースを更新し、更新メッセージがコンポーネント1710に同報通信された)場合、適応的推論エンジン1710は、(i)映画Aの在庫がある店Cを特定するための追加の入力1730を要求し、(ii)店Cに到着するためにアクターが利用可能な資源を評定し、(iii)目標の達成におけるアクターの関心レベルを評価することができる。(i)~(iii)に示されるように、入力1730を通して発生した、修正されたコンテキストに基づいて、目標コンポーネントは、「映画AのDVDを店Cで購入する」という目標に適応させる指示を受信することができる。
適応的推論エンジン1710は、目標コンポーネント1720によって決定された目標に関連する副目標を設定することができるとされるべきである。適応的推論エンジンが、補完的なタスクの達成を可能にすること、又は目標に関連する概念の学習を可能にすることによって、副目標は、目標の達成を促すことができる。
以上をまとめると、生物学に基づく自律システム1700は、コンテキストに即した目標適応を備えた目標駆動型システムである。受け取ったコンテキストに基づく目標適応は、実施可能な情報の出力1740を生成するために、入力情報の分析に、追加の適応層を導入するものと理解されるべきである。(a)情報又はデータ分析のプロセスを適応させる能力、及び(b)コンテキストに基づいて最初の目標を適応させる能力は、システムを非常に適応性又は自律性のあるものにする。
図19は、例示的な生物学に基づく自律学習ツール1900の高レベルのブロック図を示す。実施形態1900において、自律学習システムは、機能コンポーネント1915を含むツールシステム1910は、ツールシステムにその特定の機能を与え、単一の機能ツールコンポーネント又は実質的に同一の若しくは多種多様な機能ツールコンポーネントの集合を含むことができる機能コンポーネント1915と、ツールによって実施される、半導体ウェーハの熱処理等のプロセスに関連するいくつかの観測可能な規模を調べることができるセンサコンポーネント1925と、を含み、プロセスに関連するアセット1928を生成する。生産プロセスデータ又はテストランデータなどのデータアセットを含む収集されたアセット1928は、アセット1928を受け取るためのインターフェースとしての役割を果たすことができるアダプタコンポーネント1935と、受け取ったアセット1928を処理することができる相互作用マネージャ1945と、受け取って処理したデータを記憶することができるデータベース1955とを含む相互作用コンポーネント1930に伝達され得る。相互作用コンポーネント1930は、ツールシステム1910と、生物学に基づく自律学習システム1960との相互作用を容易にする。製造プラットフォームツールシステム1910によって実施されるプロセスにおいて生成されたデータに関連する情報は受信され、自律学習システム1960にインクリメンタルに供給され得る。例えば、ワークピースに関連する測定データ及びプラットフォームのプロセスモジュールに関連する処理パラメータデータは、相互作用コンポーネント1930に案内される。
生物学に基づく自律学習システム1960は、メモリプラットフォーム1365を含む。メモリプラットフォーム1365は、受信された情報1958(例えば、データ、変数、及び対応する関係、因果グラフ、テンプレート等)を記憶する。受信された情報1958は、知識ネットワーク1975を介して、処理プラットフォーム1985に通信され得る。処理プラットフォーム1985は、受信された情報を操作することができ、処理した情報を、知識ネットワーク1975を介してメモリプラットフォーム1965に通信することができる。自律学習システム1960のコンポーネントは、概して、脳の生物学的態様に似せることができ、メモリが、情報を操作し、知識を生成するための処理コンポーネントとネットワーク化されている。更に、知識ネットワーク1975は、相互作用コンポーネント1930から情報を受信することができ、相互作用コンポーネント1930に情報を伝達することができる。相互作用コンポーネント1930は、相互作用マネージャ1945を介して、ツールシステム1910又はアクター1990に情報を通信することができる。情報1958が自律学習システム1960によって受信され、記憶され、処理され、伝達されると、それに依存するツールシステム1910及びアクターに、複数の改善がもたらされ得る。即ち、改善には、(a)時間の進行に伴って、自律学習システム1960及びツールシステム1910が次第に独立し、アクターの介入(例えば、人間の指示及び及び管理)の必要が低減することと、(b)自律システムが、アクターへのその出力の品質を改善すること(例えば、故障の根本原因をより良く識別すること、又はシステムの故障をその発生前に予測すること)と、(c)自律学習システム1960が、時間の経過と共に、その性能を向上させること、即ち、自律システム1960が、より高速に、より少ない消費資源で、向上した結果を提供することと、を含む。
メモリプラットフォーム1965は、ツールシステム1910の初期設定又は構成中に受け取った知識(例えば、情報1958)(例えば、先験的知識)を記憶するように構成され得る、機能メモリコンポーネントの階層を含む。先験的知識は、相互作用コンポーネント1930を介して、情報入力1958として伝達され得る。更に、メモリプラットフォーム1965は、(a)ツールシステム1910の初期設定/構成後に自律学習システム1960をトレーニングするために用いられるトレーニングデータ(例えば、情報入力1958)と、(b)自律学習システム1960によって生成された知識とを記憶することができる。知識は、相互作用コンポーネント1930を通し、相互作用マネージャ1945を介して、ツールシステム1910又はアクター1990に伝えられ得る。
例えば人間のエージェントであるアクター1990によって供給される情報入力1958(例えば、データ)は、プロセスに関連する変数を識別するデータ、2つ以上の変数間の関係、因果グラフ(例えば、依存関係グラフ)、又はエピソード情報を含むことができる。このような情報は、学習プロセスにおいて、生物学に基づく自律システム1960を導くのを助けることができる。更に、一態様では、アクター1990によるこのような情報入力1958は重要であると考えられ、その重要性は、ツールシステム1910によって実施される特定のプロセスに対する情報の関連性に関連し得る。例えば、酸化物エッチングシステムのオペレータ(例えば、アクター1990は人間のエージェントである)は、エッチングレートが製造プロセスの成果にとって重大であると判断する場合がある。これにより、エッチングレートが、自律学習システム1960に通信される属性となり得る。別の態様では、アクター1990によって供給される情報入力1958が、プロセスの変数間の特定の関係を学習する指示を生成するヒントになる場合がある。一例として、ヒントは、特定の堆積工程内で、ツールシステム1910の堆積チャンバ内の圧力の挙動を、チャンバ容積と、排気圧と、入力ガスフローとの関数として学習するような示唆を伝えることができる。別の例として、ヒントは、チャンバ圧に関する詳細な時間関係を学習するように指示し得る。このような例示的なヒントによって、複数のプロセス変数に対する圧力の関数従属性を学習することができる自律学習システム内の1つ以上の機能処理ユニットがアクティブにされ得る。更に、このようなヒントによって、アクター1990が利用可能なモデル又は経験に基づく関数に対して、学習した関数を適用し、比較することができる1つ以上の機能ユニットがアクティブにされ得る。
例えば半導体製造ツールであるツールシステム1910は複雑となり得るため、異なるアクターを、異なるタイプの特定の、完全な又は不完全な知識を通して、ツールシステムの操作及び動作に特化させることができる。一例として、人間のエージェント、例えば、ツールエンジニアは、異なるガスが異なる分子量を有することで異なる圧力を生成し得ることを知り得る。これに対して、プロセス/ツールエンジニアは、第1のガスから得られる圧力の読み取り値を、第2のガスから得られる等価の圧力に、いかにして変換するかについて知り得る。このような知識の基本的な例は、圧力の読み取り値を、1つの単位(例えば、Pa)から別の単位(例えば、lb/in、又はPSI)に変換することであり得る。生物学に基づく自律学習システムにおいて存在する別のタイプの一般的でより複雑な知識は、ツールシステムの特性(例えば、チャンバの容積)と、ツールシステムで実施された測定(例えば、チャンバ内で測定された圧力)との間の関数的関係であり得る。例えば、エッチングエンジニアは、エッチングレートがエッチングチャンバ内の温度に依存することを知っている。知識の多様性と、このような知識が不完全なものであり得るということを考慮に入れて、アクター(例えば、エンドユーザなどの人間のエージェント)は、伝達される知識の複数の程度、即ち、(i)特定の知識がない。アクターは、自律学習システムに手引きを提供しない。(ii)基礎知識。アクターは、ツールシステムの特性とツールシステムにおける測定値との間の有効な関係を伝達することができる。例えば、アクターは、関係(例えば、エッチングレート(κ)とプロセス温度(T)との間の関係(例えば、relationship(κ,T))を伝達する。それ以上の詳細は有しない。(iii)識別された出力を備えた基礎知識。アクターは、ツールシステムの特性とツールシステムの測定値との間の関係に加えて、従属変数に対する特定の出力を、関係(例えば、relationship(output(κ),T)で提供することができる。(iv)関係に関する部分的な知識。アクターは、ツールシステム特性及び測定値と、関連する従属変数及び独立変数との間における数式の構造(例えば、κ=k-k2/T、k又はkに対する具体的な値はない)を知っている。しかしながら、アクター1990は、関係の関連する1つ以上の定数の正確な値を知ることはできない。(v)完全な知識。アクターは、関数的関係の完全な数学的記述を有する、を通して、自律学習システム1960を導くことができる。このような手引きは、時間の経過に伴い、自律学習システム1960が進化して、ツールの関数的関係を自律的に学習しようとするにつれて、インクリメンタルに提供され得ることに留意すべきである。
知識ネットワーク1975は、知識のバスであり、設定された優先順位に従って、情報(例えば、データ)を通信する又は電力を供給する。優先順位は、情報源コンポーネント又はプラットフォームと、情報の宛先コンポーネント又はプラットフォームとの対によって設定され得る。更に、優先順位は、送信される情報に基づき得る(例えば、この情報はリアルタイムで送られなければならない)。優先順位は、静的ではなく、動的であり、自律学習システム1960における学習の進歩に応じて、及び生物学に基づく自律学習ツール1900中に存在する1つ以上のコンポーネントにおける1つ以上の要求を考慮して、変わり得ることに留意すべきである。例えば、問題の状況が認識され、通信が認められ、それに応じて実行され得る。知識ネットワーク1975を介しての通信と電力供給は、有線リンク(例えば、撚り対線、T1/E1電話回線、AC線、光ファイバ線)、又は無線リンク(例えば、UMB、LTE、IEEE802.11)により行うことができ、1つの機能プラットフォーム(例えば、メモリプラットフォーム1965及び処理プラットフォーム1985)内のコンポーネント(不図示)間で行われ得る、又は異なるプラットフォームのコンポーネント間で行われ得る(例えば、自己認識のメモリプラットフォーム中のコンポーネントは、自己認識の別のサブコンポーネントと通信する)。或いは、通信は、コンポーネント間で行われ得る(例えば、認識コンポーネントは、概念化コンポーネントと通信する)。
処理プラットフォーム1985は、機能処理ユニットを含む。機能処理ユニットは、情報を操作する。即ち、特定のタイプ(例えば、数字、シーケンス、時系列、関数、クラス、因果グラフ等のような、特定のデータ型)の入力情報を受信又は検索する。処理ユニットによって計算が行われ、特定のタイプの出力情報が生成される。出力情報は、知識ネットワーク1975を介して、メモリプラットフォーム1965内の1つ以上のコンポーネントに伝達され得る。一態様において、機能処理ユニットは、メモリプラットフォーム1965に記憶されているデータ構造又はデータ型のインスタンスを読み出して修正し、新たなデータ構造をその中に入れることができる。別の態様では、機能処理ユニットは、適切性、重要性、活性化/抑制エネルギー、及び通信の優先順位のような様々な数値的属性に対する調整を行うことができる。各機能処理ユニットは、情報を操作する階層を決定する、動的な優先順位を有し、優先順位の高いユニットのデータを、優先順位の低いユニットよりも先に操作する。特定の情報を操作した機能処理ユニットが、ツールシステム1910の動作に関連する良い実行と悪い実行とを区別するランキング数又はランキング関数の生成等の新たな知識を生成(例えば、学習)しなかった場合、その機能処理ユニットに関連する優先順位を下げることができる。これとは逆に、新たな知識が生成された場合、その処理ユニットの優先順位は上げられる。
処理プラットフォーム1985は、優先順位を付けられた機能処理ユニットを通して、特定の状況(例えば、特定のデータ型)において第1の動作を試みる人間の傾向をエミュレートし、その動作が新たな知識を生成する場合は、後の実質的に同じ状況において、その動作を活用すると理解されるべきである。これとは逆に、第1の動作が新たな知識を生成しない場合は、その状況に対処するために第1の動作を用いる傾向を弱めて、第2の動作を利用する(例えば、活性化を伝播する)。第2の動作が新たな知識を生成しない場合は、その優先順位を下げて、第3の動作を用いる。処理プラットフォーム1985は、新たな知識が生成されて、別の動作がより高い優先順位を獲得するまで1つの動作を用い続ける。
一態様では、アクター1990は、プロセスレシピパラメータ、命令(例えば、イオン注入されたウェーハのアニーリングサイクルに関する温度プロファイル、半導体の気相成長におけるシャッタ開/閉シーケンス、イオン注入プロセスにおけるイオンビームのエネルギー、又はスパッタリング堆積における電界の大きさ)、並びに自律学習システム1960の初期設定パラメータを提供することができる。別の態様では、アクター1990は、ツールシステム1910の保守に関連するデータを供給することができる。更に別の態様では、アクター1990は、ツールシステム1910によって実施されたプロセスのコンピュータシミュレーションの結果を生成し、提供することができる。このようなシミュレーションにおいて生成された結果は、生物学に基づく自律学習システムをトレーニングするためのトレーニングデータとして用いることができる。更に、シミュレーション又はエンドユーザは、プロセスに関連する最適化データを、ツールシステム1910に提供することができる。
自律学習システム1960は、1つ以上のトレーニングサイクルを通してトレーニングされ得る。各トレーニングサイクルを利用して、生物学に基づく自律学習ツール1900を発展させ、(i)外部からの介入なしに、より多数の機能を実施すること、(ii)製造システムの健康状態の根本原因を診断する場合に、より良い応答、例えば改善された精度又は正確さを提供すること、及び(iii)性能を向上させること、例えば、応答時間を早めること、メモリ消費量を低減すること、又は製品の品質を改善すること、ができるようにする。ツールシステム1910で実行されたプロセスの較正又は基準に関連するデータ1928からトレーニングデータが収集される場合、トレーニングデータは、アダプタコンポーネント1935又は相互作用マネージャ1945を介して自律学習システム1960に供給することができ、このようなデータは、内部からのものであると見なされ得る。トレーニングデータがデータベース1965(例えば、外部プローブによって行われた外部での測定に関連するデータ、又はツールシステム1910における補修の介入の記録)から取り出される場合、このようなトレーニングデータは、外部からのものと見なされ得る。トレーニングデータがアクターによって供給される場合、データは、相互作用マネージャ1945を介して伝達され、外部からのものと見なされ得る。内部又は外部トレーニングデータに基づくトレーニングサイクルは、自律学習システム1960がツールシステム1910の予想される挙動を学習するのを容易にする。
上述したように、機能コンポーネント1915は、本明細書に記載される製造プラットフォームのツール別の半導体製造能力に関連する複数の機能ツールコンポーネント(図示せず)を含むことができる。複数の機能ツールコンポーネントは、ツールを使用して、(a)半導体基板(例えば、ウェーハ、フラットパネル、液晶ディスプレイ(LCD)等)を製造すること、(b)エピタキシャル気相成長又は非エピタキシャル気相成長を行うこと、(c)イオン注入又はガスクラスターイオン注入を促進すること、(d)プラズマ又は非プラズマ(ドライ又はウェット)酸化物エッチング処置を実施すること、(e)リソグラフィプロセス(例えば、フォトリソグラフィ、電子ビームリソグラフィ等)を実施すること、等を可能にする。ツールシステム1910は、炉;制御された電気化学的環境内で動作する露光ツール;平坦化デバイス;電気めっきシステム;光学的、電気的、及び熱的特性に関する測定モジュール又は検査システムデバイスであり、寿命(動作サイクルによる)測定を含むことができるもの;様々な測定及び計測モジュール、ウェーハ洗浄機械、等において具現化され得る。
ツールシステム1910によって行われるプロセスにおいて、検査システムのセンサコンポーネント1925を含むセンサ及びプローブは、様々な変換器及び技術を通して、記載されているように、ワークピースの属性に関連し、プロセスモジュールの異なる物理的性質(例えば、圧力、温度、湿度、質量密度、堆積速度、層厚み、表面粗さ、結晶配向、ドーピング濃度等)、並びにプロセスモジュール及び製造プラットフォームの機械的特性(バルブ開口部又はバルブ角度、シャッタのオン/オフ動作、ガスフラックス、基板の角速度、基板の向き等)に関するデータ(例えば、データアセット)を、収集されるデータの使用目的に応じた様々な複雑度で収集することができる。このような技術としては、本明細書に記載されるような、記載のデータを取得して、不適合及び欠陥を検出し、能動的阻止を提供するための様々な測定及び計測技術が挙げられ得るが、これに限定されない。センサ及び測定モジュール検査システムは、ツールシステムからのデータを提供すると理解されるべきである。このようなデータアセット1928は、ツールシステム1910の製造プラットフォームによって製造又は製作されたワークピースの測定データを効果的に特徴付けることも理解されるべきである。
一態様では、センサコンポーネント又は検査システム1925内のデータソースを、アダプタコンポーネント1935に接続することができる。アダプタコンポーネント1935は、データアセット1928をアナログ又はデジタル形態で収集するように構成され得る。アダプタコンポーネント1935は、プロセス実行中に収集されたデータ1968を、このデータがメモリプラットフォーム1965内に入れられる前に、自律学習システム1960におけるそのデータの目的の用途に従って構成又は分解するのを促すことができる。アダプタコンポーネント1935中のアダプタは、センサコンポーネント/検査システム1925内の1つ以上のセンサと関連付けることができ、1つ以上のセンサからデータを読み出すことができる。外部データソースのアダプタは、ツールの外部から送り込まれたデータを引き出す能力とデータを通過させる能力とを有し得る。例えば、MES/履歴データベースアダプタは、どのようにMESデータベースを調べて、様々なオートボットの情報を抽出して、そのデータを自律システムの1つ以上のコンポーネントのワーキングメモリにパッケージ化する/入れるかを知っている。一例として、ツールがワークピースを処理するときに、アダプタコンポーネント1935は、ウェーハレベルの実行データを、一度に1つのワークピース又はウェーハずつ収集することができる。次いで、アダプタコンポーネント1935は、個々の実行をバッチに統合して、「ロットレベルデータ」、「保守間隔データ」等を形成することができる。或いは、ツールシステム1910がロットレベルデータの1つのファイル(又はコンピュータ製品アセット)を出力する場合、アダプタコンポーネント1935は、ウェーハレベルデータ、工程レベルデータ等を抽出することができる。更に、分解されたデータ要素(例えば、変数、及びセンサコンポーネント1925中の圧力コントローラが動作する時間)を、ツールシステム1900の1つ以上のコンポーネントに関連付けることができる。上述のように、受信されたデータ1928を処理又はパッケージ化した後、アダプタコンポーネント1935は、処理されたデータをデータベース1955に記憶することができる。
データベース1955は、(i)検査システム/センサコンポーネント1925内のセンサにより実施された測定によってツールシステム1910で生成されたデータ、(ii)製造実行システム(MES)データベース若しくは履歴データベース、又は(iii)ツールシステム1910のコンピュータシミュレーション、例えば、アクター1990によって実施された半導体ウェーハ製造のシミュレーションで生成されたデータを含むことができる。一態様では、MESは、製造プロセス及びプロセスシーケンスを測定及び制御すること、機器の可用性及び状態を追跡すること、在庫を制御すること、警報を監視することができるシステムである。
ツールシステム1910によって製作された製品又は製品アセットは、相互作用コンポーネント1930を介して、アクター1990に伝達され得ると理解されるべきである。製品アセットは、アクター1990によって分析され、得られた情報又はデータアセットは、自律学習システム1960に伝達され得ると理解されるべきである。別の態様では、相互作用コンポーネント1930は、アダプタコンポーネント1935を介して、製品アセット1928の分析を実施することができる。
更に、実施形態1900において、相互作用コンポーネント1930及び自律学習システム1960は、ツールシステム1910に対して外部に配備されていることに留意されたい。組み込み配備(embedded deployment)などの、生物学に基づく自律学習ツール1900の別の配備構成を実現することができる。組み込み配備では、相互作用コンポーネント1930及び生物学に基づく自律学習システム1960は、1つの特定のツールコンポーネント内の製造プラットフォームツールシステム1910内に、例えば1つの組み込みモードで、又はプラットフォームのツール構成要素のクラスター内に、例えば複数の組み込みモードで存在することができる。このような配備に代わるものは、階層的手法で実現され得る。階層的手法では、自律学習システムが、グループツール若しくはプラットフォーム、又はツール複合体を形成する一連の自律学習ツールをサポートする。このような複雑な構造については以下で詳述する。
次いで、例証的なツールシステム2000を、図20に関連して記載し、生物学に基づく自律学習システム1960の例示的なアーキテクチャを、図21~図25に関連して提示し、詳述する。
図21は、生物学に基づく自律学習システムの例示的なアーキテクチャ2100の高レベルのブロック図を示す。実施形態2100において、自律学習システム1960は、長期メモリ(LTM)2110、短期メモリ(STM)2120、及びエピソードメモリ(EM)2130を含む、機能メモリコンポーネントの階層を含む。このような機能メモリコンポーネントのそれぞれは、図19に関連して記載されているように動作する知識ネットワーク1975を介して通信することができる。更に、自律学習システム1960は、オートボットコンポーネント2140を含むことができる。オートボットコンポーネント2140は、オートボットとして識別される機能処理ユニットを含み、処理プラットフォーム1985に関連して記載した機能ユニットと実質的に同じ特性を有する。オートボットコンポーネント2140は、処理プラットフォーム1985の一部であり得ることに留意すべきである。
更に、自律学習システム1960は、1つ以上の主要機能ユニットを含むことができる。1つ以上の主要機能ユニットは、自己認識コンポーネント2150、自己概念化コンポーネント2160、及び自己最適化コンポーネント2170を含む。第1のフィードフォワード(FF)ループ2152は、フォワードリンクとして機能することができ、自己認識コンポーネント2150と自己概念化コンポーネント2160との間でデータを通信することができる。更に、第1のフィードバック(FB)ループ2158は、リバースリンクとして機能することができ、自己概念化コンポーネント2170と自己認識コンポーネント2150との間でデータを通信することができる。同様に、自己概念化コンポーネント2160と自己最適化コンポーネント2170との間における、フォワードリンク及びリバースリンクのデータ通信を、それぞれ、第2のFFループ2162及び第2のFBループ2168を介して達成することができる。FFリンクでは、データを受信し、これを更に処理するコンポーネントに通信する前に、データを変換することができるのに対して、FBリンクでは、次のデータ要素が、データを受信するコンポーネントによって変換されてから、データが処理されると理解されるべきである。例えば、FFリンク2152を介して伝達されるデータは、自己概念コンポーネント2160にデータを通信する前に、自己認識コンポーネント2150によって変換され得る。更に、FFリンク2152及びFFリンク2162は、コンポーネント2150とコンポーネント2170との間におけるデータの間接的な通信を促進することができるのに対して、FBリンク2168及びFBリンク2158は、コンポーネント2170とコンポーネント2150との間におけるデータの間接的な通信を促進することができると理解されるべきである。更に、データは、知識ネットワーク1975を介して、コンポーネント2150と、コンポーネント2160と、コンポーネント2170との間で直接伝達され得る。
長期メモリ2110は、初期設定/構成後に自律学習ツールシステム1900をトレーニングするために、ツールシステムの初期設定又は構成中に相互作用コンポーネント1930を介して供給された知識(例えば、先験的知識)を記憶することができる。更に、自律学習システム1960によって生成された知識も長期メモリ2110に記憶することができる。LTM2110は、メモリプラットフォーム1965の一部であり得るため、メモリプラットフォーム1965の特性と実質的に同じ特性を示し得ると理解されるべきである。長期メモリ2110は、概して、知識ベースを含むことができ、知識ベースは、製造プラットフォームコンポーネント(例えば、処理モジュール、測定モジュール、検査システム、搬送モジュール等)に関する情報、関係、処理工程、及び手続きを含む。知識ベースの少なくとも一部分は、データ型(例えば、数列、平均、又は標準偏差)、データ型間の関係、及び第1のデータ型セットを第2のデータ型セットに変換するための手続きを記述又は分類する意味ネットワークであり得る。
知識ベースは、知識要素又は概念を含み得る。一態様では、各知識要素は、2つの数値的属性、即ち、知識要素又は概念の適切性(ξ)及び慣性(ι)に関連し得る。このような属性は、共同で、概念の優先順位を決定する。十分に定義された関数、例えば、これらの2つの数値的属性の加重和、幾何平均は、概念の状況スコア(σ)であり得る。例えば、σ=ξ+ιである。知識要素の適切性は、特定時における、ツールシステム又は目標コンポーネントの状況に対する知識要素(例えば、概念)の関連性と定義することができる。一態様では、第2の要素よりも高い適切性スコアを有する第1の要素又は概念は、より低い適切性スコアを有する第2の要素に比べて、自律学習システム1960の現在の状態及びツールシステム1910の現在の状態により関連があり得る。知識要素又は概念の慣性は、知識要素の利用に関連する難しさとして定義することができる。例えば、低い第1の慣性値は、数の要素(element)に与えられ得る。第1の値よりも高い第2の慣性値は、数のリスト(list)に属し得る。数の数列(sequence)は、第2の値よりも高い第3の慣性値を有し得る。数の行列(matrix)は、第4の慣性値を有し得る。第4の慣性値は、第3の値よりも大きい。他の知識又は情報構造は、例えば、グラフ、データベース中のテーブル、オーディオファイル、ビデオフレーム、コードスニペット、コードスクリプト等である。後者のアイテムは、実質的に全て、入力1730の一部であり得ることに留意されたい。本発明は、適切性と慣性との十分に定義された関数を提供する。この関数は、知識要素が検索され適用される尤度に影響を及ぼし得る。最高の状況スコアを有する概念は、処理ユニットによる処理のために短期メモリ2120に提供される可能性が最も高い概念である。
短期メモリ2120は、ワーキングメモリ(例えば、作業域又はキャッシュ)として、又は特定のアルゴリズム若しくは手続きに関連する、協働/競合する動作若しくはオートボットがデータ型を操作することができる場所として利用できる一時記憶である。STM2120に含まれるデータは、1つ以上のデータ構造を有し得る。STM2120におけるこのようなデータ構造は、オートボット及びプランナユーバボット(ueberbot)(例えば、プランニング専用のオートボット)によって実施されるデータ変換の結果として変更することができる。短期メモリ2120は、データ、相互作用マネージャ1945によって提供された学習命令、長期メモリ2110からの知識、1つ以上のオートボット若しくはユーバボットによって提供及び/若しくは生成されたデータ、及び/又はアクター1990によって提供された初期設定/構成コマンドを含むことができる。短期メモリ2120は、その中に記憶されているデータを変換するために使用される1つ以上のオートボット及び/又はユーバボットの状態を追跡することができる。
エピソードメモリ2130は、エピソードを記憶する。エピソードは、プロセスに関連し得る、アクターに識別された、パラメータ及び概念のセットを含み得る。一態様では、エピソードは、外部からのデータ又は入力1730を含むことができ、自律学習システム1900に特定のコンテキストを提供することができる。概して、エピソードは、目標を遂行しているときに(例えば、ツールシステム1910、目標コンポーネント1720、又は自律学習システム1960によって)識別又は生成された特定のシナリオに関連付けられ得ることに留意すべきである。エピソードを識別するアクターは、プロセスエンジニア、ツールエンジニア、フィールドサポートエンジニア等のような人間のエージェントであり得る、又は機械であり得る。エピソードメモリ2130は、特定のシナリオに関連付けられた知識、例えばエピソードが、エピソードを生成した学習過程を思い出すことなく提示及びアクセス可能であり得る人間のエピソード記憶に似ていると理解されるべきである。エピソードの導入又は定義は、通常、トレーニングサイクルの一部であるか、又は実質的に任意の外部から提供された入力であり、生物学に基づく自律学習システム1960が、エピソードに関連するデータ中に存在し得るデータパターン又は入力パターンを特徴付けるように学習しようとすることにつながり得る。エピソードに関連する、特徴付けられたデータのパターンは、エピソードと、エピソードの名前と共に、エピソードメモリ2130に記憶され得る。エピソードメモリ2130にエピソードを追加すると、エピソードに固有のオートボットの生成をもたらすことができる。エピソードに固有のオートボットは、ツールシステム1910又は一般に目標コンポーネント1720によって行われるプロセスの一連のパラメータがエピソードで定義される動作範囲に入ると、アクティブになり得る。エピソードに固有のオートボットは、遂行されている目標又はプロセスに関連する第1の特徴が認識されると、十分な活性化エネルギーを受け取る。受け取ったエピソードによって設定されている基準をパラメータが満たしている場合、エピソードに固有のオートボットは、エピソード中のデータのパターンと、利用可能な現在のデータとを比較する。ツールシステム1910又は目標コンポーネントの現在の状況(認識されたデータパターンにより定義される)が、記憶されているエピソードと一致する場合、警報を発生させて、ツール保守エンジニアが状況に気づき、機能コンポーネント1915、又はセンサコンポーネント1925、又はツールプロセスに用いられている材料に対する更なる損傷を軽減するための予防処置を取ることができるようにする。
オートボットコンポーネント2140は、入力データ型(例えば、行列、ベクトル、数列等)に対して特定の動作を実施するオートボットのライブラリを含む。一態様では、オートボットは、オートボットの意味ネット中に存在し、各オートボットは、関連する優先順位を有し得る。オートボットの優先順位は、その活性化エネルギー(E)とその抑制エネルギー(E)との関数である。オートボットコンポーネント2140は、オートボットの編成されたリポジトリであり、自己認識コンポーネント2150に対するオートボットと、自己概念化コンポーネント2160に対するオートボットと、自己最適化コンポーネント2170に対するオートボットと、更なるオートボットとを含むことができる。更なるオートボットは、コンポーネント間及び様々なメモリユニット間において、データを変換すること及び渡すことに関与し得る。オートボットによって実施され得る特定の動作としては、数列の平均、数列の順序付け、第1のベクトルと第2のベクトルとの間でのスカラ積、第1の行列と第2の行列との乗算、時間に関する時系列の微分、数列の自己相関の計算、第1の数列と第2の数列との間の相互相関演算、全ての基底関数中の1つの関数の分解、時系列の数値データストリームのウェーブレット分解、又は時系列のフーリエ分解が挙げられ得る。入力データ、即ち、画像、音の記録、又はバイオメトリックインジケータ中の特徴抽出、ビデオフレームの圧縮、環境音又は音声コマンドのデジタル化等に応じて、更なる動作が実施され得ると理解されるべきである。オートボットによって実施される各動作は、1つ以上の入力データ型を変換して1つ以上の出力データ型を生成する名前付き関数であり得る。オートボットコンポーネント2140中のオートボットが存在する各機能は、LTM中の要素を有し得る。そのため、イーサボット(itherbot)は、合計「注意スパン」と自律学習システム1960の要求とに基づいて、オートボットの活性化/抑制エネルギーを決定することができる。自律学習システム1960と同様に、オートボットコンポーネント2140中のオートボットは、時間の経過に伴ってその性能を改善することができる。オートボットにおける改善としては、生成された結果(例えば、出力)のより良好な品質、より良好な実行性能(例えば、より短い実行時間、より多数の計算を行う能力等)、又は特定のオートボットに対する入力ドメインの拡張された範囲(例えば、オートボットが操作できる追加のデータ型を含むこと)が挙げられ得る。
LTM2110、STM2120、及びEM2130に記憶されている知識、即ち、概念及びデータは、主要機能ユニットによって用いられ得る。主要機能ユニットはその機能の一部を、生物学に基づく自律学習システム1960に与える。
自己認識コンポーネント2150は、ツールシステム1910の第1の許容動作状態と、後にツールシステムが劣化したときの後の状態との間で、ツールシステムの劣化のレベルを決定することができる。一態様では、自律学習システム1960は、許容動作状態を特徴付けるデータと、このような許容状態で製作されたワークピースなどの製品アセットに関連するデータとを受信することができる。このようなデータアセットは、標準データとして識別され得る。生物学に基づく自律学習システム1960は、標準データを処理することができ、関連する結果(例えば、重要なパラメータに関する統計、ワークピースにおける不適合及び欠陥に関するデータ、ワークピースの1つ以上の測定された属性若しくはパラメータにおいて観測されたドリフト、ツールパラメータに関する予測関数等)は、自己認識コンポーネント2150によって記憶され、情報入力1958(例えば、生産プロセスデータ又はテストランデータ又はワークピースのパターン)として供給されたデータと比較するために用いられ得る。標準データの生成された学習結果と、デバイスプロセスの実行データ又はパターンとの間の差が小さい場合、生産システムの劣化は小さいと見なされ得る。或いは、標準データの記憶されている学習結果と、サンプルのプロセスデータ又は他のワークピースデータとの間の差が大きい場合、相当なレベルの不適合又は欠陥がワークピースに存在し得る。相当なレベルの不適合及びプロセス劣化は、プロセス又は目標の、コンテキストに即した調整を行うことにつながり得る。本明細書に記載される劣化は、劣化ベクトル(Q,Q,...,Q)から計算することができる。ここで、劣化ベクトルの各成分Qλ(λ=1,2,...,U)は、異なる観点の利用可能なデータセットであり、例えば、Qは、多変量平均であってもよく、Qは、関連する多変量偏差であってもよく、Qは、プロセス工程における特定の変数に対する一連のウェーブレット係数であってもよく、Qは、予測圧力と測定圧力との間の平均差であってもよい、等である。正常なトレーニングの実行により、各コンポーネントに対する値の特定のセット(例えば、トレーニングデータアセット)が生成される。これらの値は、各コンポーネントからの実行データ(例えば、実行データアセット)を用いて生成された成分Q~Qと比較され得る。劣化を評価するために、適切な距離メトリックを用いて、{Q}空間中のその「正常な位置」から実行劣化ベクトルまでの(例えば、ユークリッド)距離を比較することができる。このようなユークリッド距離が大きいほど、ツールシステムはより劣化しているとされる。加えて、第2のメトリックは、2つのベクトル間のコサイン類似度メトリックを計算することであり得る。
自己概念化コンポーネント2160は、重要な製造プラットフォーム及びツールシステム1910の関係(例えば、1つ以上のプロセスチャンバの挙動の関数)並びに記述(例えば、要求及び測定されたパラメータに関する統計、劣化に対するパラメータの影響等)の理解を構築するように構成され得る。関係及び記述は、データアセット又はソフトアセットでもあると理解されるべきである。理解は、自律学習システム1960によって、又はアクター1990(例えば、人間のエージェント)が供給した手引きを通じて、(例えば、入力データに基づく、推論及びコンテキストに即した目標適応によって)自律的に確立される(推論は、例えば、多変量回帰、又は遺伝子アルゴリズムなどの進化的プログラミングによって達成され得る)。自己概念化コンポーネント2160は、ツールシステム1910の1つのパラメータ、又は一般にはコンポーネント1720のような目標コンポーネントの挙動の関数の記述を構築することができ、例えば、半導体生産システム内の膜形成モジュール内の圧力を、特定の堆積工程中における時間の関数として記述する。更に、自己概念化コンポーネント2160は、入力情報1958の特定のセットに対する従属変数の関数的関係等の、ツールシステムに関連する挙動を学習することができる。一態様では、自己概念化コンポーネント2160は、特定のガスフロー、温度、排気弁の角度、時間等の存在下で、所与の容積の堆積チャンバ内の圧力の挙動を学習することができる。更に、自己概念化コンポーネント2160は、予測の目的で使用され得る、システムの関係及び特性を生成することができる。学習した挙動の中から、自己概念化コンポーネント2160は、正常な状態を特徴付ける関係及び記述を学習することができる。このような正常な状態は、通常、基準状態として、自律学習システム1960により用いられる。観測ツールの挙動の変化は、基準状態と比較される。
(a)製造プラットフォーム/ツールシステム1960の潜在的な不適合の原因、又は(b)製造プラットフォーム/ツールシステムの劣化の根本原因の1つ以上のソースを、自律学習システム1960によって収集された情報に基づいて特定するために、自己最適化コンポーネント2170は、予測値(例えば、自己概念化コンポーネント2160によって学習された関数従属性又は関係及び測定値に基づく予測)間におけるツールシステム1910の偏差のレベルに基づき、生物学に基づく自律学習システム1900の健康状態又は性能を分析することができる。自己最適化コンポーネント2170は、自律学習システム1960が不適合又は欠陥に関する誤差の根本原因を最初に誤って特定したかどうかを、時間の経過に伴って学習することができる。学習システム1900は、実際の根本原因を正確に特定するために、保守ログ又はユーザの手引きの入力を可能にする。一態様では、自律学習システム1960は、将来の診断の精度を向上させるために、学習と共に、ベイズ推論を利用して、その診断の基準を更新する。或いは、最適化計画を適応させることができ、このような適応させた計画は、後の検索、採用、及び実行のために、最適化事例の履歴に記憶され得る。更に、ツールシステム1910によって行われるプロセス、又は一般に、目標コンポーネント1720によって遂行されている目標に対する一連の適応は、最適化計画を通して達成することができる。自己最適化コンポーネント2170は、プロセス又は目標の最適化を促すことができる適応計画を立てるために、データフィードバック(例えば、リンク1965、1955、及び1915を介して実行されるループ)を活用することができる。
実施形態2100では、生物学に基づく自律学習システム1960は、プランナコンポーネント2180と、システムコンテキストコンポーネント2190とを更に含み得る。機能メモリコンポーネント2110、2120、及び2130の階層と、主要機能ユニット2150、2160、及び2170とは、知識ネットワーク1975を介して、プランナコンポーネント2180及びシステムコンテキストコンポーネント2190と通信することができる。
プランナコンポーネント2180は、オートボットコンポーネント2140中のより高レベルのオートボットを活用し且つ含むことができる。このようなオートボットは、プランナユーバボットとして識別され、適切性、重要性、活性化/抑制エネルギー、及び通信の優先順位のような様々な数値的属性に対する調整を実施することができる。プランナコンポーネント2180は、例えば、短期メモリ2120中で利用可能な特定の知識と特定のオートボットとを介して、特定のデータ型又はデータ構造を、短期メモリ2120中で強制的に操作することができる一連のプランナユーバボットを生成することによって、厳密で大域的な手法を実施することができる。一態様では、プランナコンポーネント2180によって生成されたオートボットをオートボットコンポーネント2140内に置き、知識ネットワーク1975を介して利用することができる。或いは又は加えて、プランナコンポーネント2180は、自律学習システム1960の現在のコンテキスト、ツールシステム1910の現在の状況、短期メモリ2120の内容(その内容で動作することができる関連するオートボットを含み得る)、及び様々なオートボットの利用費用/利益分析に応じて、間接的で大域的な手法を実施することができる。本発明の生物学に基づく自律学習ツール1900は、プランナコンポーネントの動的拡張を提供することができると理解されるべきである。
プランナコンポーネント2180は、調整コンポーネントとして機能することができる。調整コンポーネントは、自律的な生物学に基づくツール1900におけるプロセス又は目標の適応によりその劣化を生じさせないことを確実にすることができる。一態様では、調整機能は、計画されたプロセス又は目標の適応に基づいて動作条件を推論する調整ユーバボットの生成により、直接的な大域的手法によって、実施することができる。このような推論は、調整ユーバボットが作用するデータ型の意味ネットワークを介して実施することができ、この推論は、費用/利益分析によってサポート又は補完され得る。プランナコンポーネント2180は、目標のドリフティングを、目標コンポーネント、例えば、ツールシステム1910に対する特定の損傷を軽減することができる目標空間の特定領域内に維持することができると理解されるべきである。
システムコンテキストコンポーネント2190は、自律学習システム1960を活用する生物学に基づく自律学習ツール1900の現在のコンピテンシーを捕らえることができる。システムコンテキストコンポーネント2190は、状態識別子を含み得る。状態識別子は、(i)内部のコンピテンシーの程度に関連する値(例えば、製造プラットフォーム/ツールシステム1910がプロセスを実施する(又は目標を遂行する)有効性の程度、プロセスを実施している間に用いられる一連のリソース、最終製品又はサービスの品質評価(又は遂行されている目的の成果)、デバイスの納期等)と、(ii)自律学習ツール1900の状態を示すためのラベル又は識別子と、を含む。例えば、ラベルは、「初期状態」、「トレーニング状態」、「監視状態」、「学習状態」、又は「知識の適用」などの状態を示すことができる。コンピテンシーの程度は、所定の範囲内における、数値又はメトリックによって特徴付けられ得る。更に、システムコンテキストコンポーネント2190は、特定の期間にわたり自律学習システム1960によって実施された学習の概要と、実施された学習を考慮して実施され得る可能なプロセス又は目標適応の概要とを含み得る。
図22Aは、例示的なオートボットコンポーネント2140を示す。オートボット2215~2215は、それぞれが特定の動的な優先順位2225~2225を有する、オートボット及びユーバボットのライブラリを示す。オートボット2215~2215は、メモリ(例えば、長期メモリ又は短期メモリ又はエピソードメモリ)と通信することができる。上述したように、オートボットの優先順位は、オートボットの活性化エネルギー及び抑制エネルギーによって決定される。オートボットによって処理され得るデータがSTM内にある場合、オートボット(例えば、オートボット2215又は2215)は、(ユーバボットを介して)活性化エネルギーを得る。オートボット(例えば、オートボット2215)の活性化エネルギーと抑制エネルギーとの加重和、例えば、I=w+wによって、オートボットがその機能タスクを実施するために自身をいつアクティブにすることができるかを決定することができる。オートボットは、Σ>ψである場合に、自身をアクティブにする。式中、ψは、所定の、組み込まれた閾値である。本発明の生物学に基づく自律学習ツール1900は、オートボットの動的拡張を提供することができると理解されるべきである。
図22Bは、オートボットの例示的なアーキテクチャ2250を示す。オートボット2260は、オートボットコンポーネント2140に含まれているオートボットの実質的にいずれかであり得る。機能的コンポーネント2263は、オートボット2260が入力データに対して実施することができる動作の少なくとも一部を決定し、実行する。プロセッサ2266は、オートボット2260によって実施される動作の少なくとも一部を実行することができる。一態様では、プロセッサ2266は、機能的コンポーネント2263のコプロセッサとして動作することができる。オートボット2260は、以前に実施された動作の一連の結果がある内部メモリ2269を含むこともできる。一態様では、内部メモリは、動作に関連する入力データ、E及びEの現在の値及び以前の値、オートボットの動作履歴のログ等を記憶するキャッシュメモリとして動作する。内部メモリ2269はまた、誤差の特定の種類及び量がオートボット2260にフィードバック又は逆伝播されたときに、次回の結果の品質をいかにして向上させるかをオートボット2260が学習するのを促すことができる。したがって、オートボット2260は、一連のトレーニングサイクルを通して、特定の入力データを特定の手法で操作するようにトレーニングされ得る。
オートボット(例えば、オートボット2260)はまた、(a)オートボットが操作又は要求することができる入力データの1つ以上のタイプ、(b)オートボットが生成することができるデータのタイプ、及び(c)入力情報及び出力情報に対する1つ以上の制約をオートボットが指定することができるという点で、自己記述型であり得る。一態様では、特定のツールのシナリオに従ってユーバボットが活性化/抑制エネルギーをオートボットに供給するように、インターフェース2275は、オートボット2260が自己記述し、それにより、オートボットの可用性及び性能をユーバボットに対して示すのを促すことができる。
図23は、生物学に基づく自律学習システム1960における自己認識コンポーネントの例示的なアーキテクチャ2300を示す。自己認識コンポーネント2150は、製造プラットフォーム/ツールシステム(例えば、ツールシステム1910)における、学習した正常な状態に対する現在の劣化レベルを決定することができる。ワークピースの不適合及び劣化は、ツールシステムの機械部品の消耗;製造プラットフォーム/ツールシステムを1つ以上の最適範囲外で強制的に動作させる可能性のあるレシピ(例えば、データアセット)又はプロセスを開発するための不適当な操作若しくは開発操作;製造プラットフォーム/ツールシステムの不適当なカスタマイズ;又は保守計画に対する不適切な固執などの複数のソースから生じ得る。自己認識コンポーネント2150は、(i)メモリプラットフォーム1965の一部であり得る認識メモリなどの、メモリの階層、(ii)オートボットコンポーネント2140内に存在し、処理プラットフォーム1985の一部であり得る認識オートボットなどの機能動作ユニット、及び(iii)一連の認識プランナ、を介して再帰的にアセンブル又は定義され得る。自律学習システム1960は、劣化のレベルに基づいて、利用可能なデータアセット1928及び情報1958を分析し、考えられる障害をランク付けすることができる。一態様では、過度な不適合のレベルに応じて、自律学習システムは、プラットフォームを介して補正処理の制御を提供することができる。例えば、補正処理アクティビティの前に行われた更なる測定/計測及び関連データ(例えば、データアセット及びパターン、関係、及びこのような組み合わせから抽出される他の実質的にあらゆる種類の理解)によって補正処理の成功が確認された場合、自律学習システム1960によって保持され得る。したがって、次に、データアセットから自律的に集められた新たな理解及び分析によって、学習した徴候が識別された場合、製造プラットフォーム及びプロセスシーケンスは、更なる不適合を防ぐように適合されてもよい。
認識ワーキングメモリ(AWM)2310は、認識感覚メモリ(ASM)2320として識別されるメモリの特定領域を含み得るSTMである。ASM2320は、データ、例えば情報入力1958を記憶するのに利用され得る。データは、センサコンポーネント1925内のセンサ又はアクター1990から得られ、アダプタコンポーネント1935中の1つ以上のアダプタによってパッケージ化され、知識ネットワーク1975によって受信され得る。自己認識コンポーネント2150は、複数の特定の機能オートボットも含むことができる。複数の特定の機能オートボットは、オートボットコンポーネント2140内に存在し、認識プランナユーバボット(AP)を含むことができる。
更に、自己認識コンポーネント2150は認識知識メモリ(AKM)2330を含み得る。AKM2330は、LTMの一部であり、自己認識コンポーネント2150の動作に関連する複数の概念、例えば、属性;クラス又は因果グラフなどのエンティティ;関係、又は手続きを含むことができる。一態様では、半導体製造プラットフォームツールの自己認識コンポーネント2150は、工程、実行、バッチ、保守間隔、ウェット洗浄サイクル等のようなドメイン固有概念、並びに数、リスト、数列、集合、行列、リンク等のような汎用概念を含むことができる。このような概念は、より高レベルの抽象的概念に入り得る。例えば、ワークピースの実行は、工程がレシピパラメータの設定(例えば、目標値)と1つ以上の工程の測定値との両方を有する、順序付けられたプロセス工程のシーケンスとして定義され得る。更に、AKM2330は、2つ以上の概念をリンクさせることができる関数的関係、例えば、平均、標準偏差、範囲、相関、主成分分析(PCA)、マルチスケール主成分分析(MSPCA)、ウェーブレット、又は実質的にあらゆる基底関数等を含み得る。複数の関数的関係を同じ概念に適用可能であり、したがって、関連付けることができることに留意されたい。例えば、数のリストが、(関数的)関係、標準偏差の関係、及び最大値の関係等である平均によって、実数のインスタンスにマッピングされる。1つ以上のエンティティから別のエンティティに対する関係が関数又は汎関数(例えば、関数の関数)である場合、関数を実行するためにユーバボットが実行することができる関連の手続きが存在し得る。概念の正確な定義は、UML、OMGL等のような適切なデータスキーマ定義言語で表現され得る。更に、(ツールシステム)の実行時に、システムを停止することなくAKM2330の内容を動的に拡張できることに留意すべきである。
AKM2330中の各概念を、本明細書に記載される知識ベース内の任意の概念のように、適切性の属性及び慣性の属性に関連付けて、概念の固有の状況スコアを生成することができる。最初、自律システムにデータが提供される前は、AKM2330内の全要素に対する適切性の値はゼロであるが、全ての概念に対する慣性は、ツールに依存し、アクターによって割り当てられ得る、又は履歴データ(例えば、データベース1955内のデータ)に基づき得る。一態様では、数の集合から平均を生成する手続きの慣性は著しく低くなり得る(例えば、t=1)。なぜなら、平均の計算は、収集したデータセットが関与する実質的に全ての状況に適用可能な極めて単純な演算と見なされ得る、又はコンピュータシミュレーションからもたらされるからである。同様に、数の集合を変換する最大化手続き及び最小化手続きにも著しく低い慣性値が与えられ得る。或いは、範囲の計算及び標準偏差の計算は、このような知識要素の適用がより困難であることから、より高い慣性値(例えば、t=2)が与えられ得る。PCAの計算は、より高レベルの慣性を示すことができ、MSPCAの計算は、更により高い慣性の値を有することができる。
状況スコアを用いて、AKM2330及びAWM2310の中から、どの概念を通信するかを決定することができる(以下を参照)。状況スコア閾値を超えた知識要素又は概念は、AWM2310に伝達するのに適格である。このような概念は、概念を保持するのに十分に利用可能な記憶域がAWM2310内にあり、且つより高い状況スコアを有する異なる概念がAWM2310に伝達されなかった場合に、伝達され得る。AWM2310内の概念の適切性、したがって、概念の状況スコアは、時間の進行と共に減衰し得る。これにより、メモリ内に既にある1つ以上の概念がもはや不要であるかもはや適用できないかである場合に、より高い適切性を有する新たな概念を、認識ワーキングメモリ2310に入れることができる。概念の慣性が大きくなるほど、概念をAWM2310に伝達することと、概念をAWM2310から取り出すことの両方により時間がかかることに留意されたい。
例えば、スパッタリングターゲットが交換される、電子ビーム銃が加えられる、堆積プロセスが終了する、その場プローブが開始される、アニーリングステージが完了する等など、製造プラットフォーム/ツールシステムの状態が変化すると、認識プランナ2350のユーバボットは、AKM2330内のこのような各概念のうちのどの概念(例えば、知識要素)を新たな状態に適用することができ、適切性の値、したがって状況スコアを増加させることができるかを報告することができる。同様に、特定のオートボットの活性化エネルギーを低減させ、新たな状況に適したオートボットのEを増加させるために、ユーバボットによって、オートボット2215~2215の活性化エネルギーを調整することができる。適切性(及び状況スコア)の増分を、プランナユーバボットによって、それらの概念に対する第1の隣接概念(neighbor)、次いで、第2の隣接概念に等に伝播させることができる。AKM2330内の第1の概念の隣接概念は、トポロジー的な意味で、選択した測度(例えば、ホップ数、ユークリッド距離等)に従って、第1の概念から特定の距離内にある第2の概念であり得ると理解されるべきである。適切性の最初の増分を受け取った第1の概念から第2の概念が離れるほど、第2の概念の適合性の増分が小さくなることに留意されたい。したがって、適切性(及び状況スコア)の増分は、「概念上の距離」に応じて減衰される伝播を示す。
アーキテクチャ2100において、自己認識コンポーネント2150は、認識スケジュールアダプタ(ASA)2360を含む。ASA2360は、認識プランナコンポーネント2350の拡張部であることができ、(例えば、センサコンポーネント1925を経て相互作用コンポーネント1930を通った、入力1730を介した、又は(フィードバック)リンク1755を介した)外部からのデータ又は内部からのデータの変更をまとめて要求及び実施することができる。一態様では、認識スケジュールアダプタ2360は、データサンプリング頻度の調整を導入することができる。例えば、認識スケジュールアダプタ2360は、アダプタコンポーネント1935中の異なるアダプタが、ASM2320を宛先として知識ネットワーク1975にデータ(例えば、情報入力1958)を伝達することができるレートを調整することができる。更に、認識スケジュールアダプタ2360は、データの正常パターンの記述に関与しないプロセス変数に関連するデータの集合、又は適応的推論エンジン1710で受信されたデータから推論される目標の達成を前進させない変数を、低い頻度でサンプリングすることができる又は実質的に排除することができる。これとは逆に、ASA2360は、データの正常パターン中で広範囲に使用されている又は目標を積極的に前進させることができる変数のセットをより高い頻度でサンプリングすることができる。更に、製品の品質又はプロセスの信頼度が正常なデータパターンから徐々に逸脱している(又は目標のドリフトにより目標空間内の最初の目標からの顕著な逸脱がもたらされるか又は不適合が存在する)ことを測定データが示し、自律学習システム1960が、製造プラットフォーム/ツールシステム1910の状態の変化(又は特定の目標に関連する状況の変化)を認知すると、自律学習システムは、ASA2360を介して、より大量の使用可能な情報(例えば、入力1730)を収集するようにデータのより迅速なサンプリングを要求することができ、不適合を効果的に検証し、劣化を処理し、適切な補正処理アクション又は能動的阻止を発することができる。
アクター1990(例えば、人間のエージェント)は、自己認識コンポーネント2150を複数の手法でトレーニングすることができる。複数の手法には、1つ以上のエピソード(例えば、適応に成功した目標の説明を含む)の定義を含み得る。自己認識コンポーネント2150を介した、エピソードに対する自律学習システム1960のトレーニングは、以下のように行われ得る。アクター1990はエピソードを作成し、このエピソードに固有の名前を与える。その後、新たに作成されたエピソードに関するデータが、自律学習システム1960に与えられ得る。このデータは、ツールシステム1910の1つの特定の動作工程の最中の特定のセンサのデータ、1つの特定の工程の最中の一連のパラメータ、ある実行に対する1つのパラメータの平均等であり得る。
或いは又は追加的に、より基本的な手引きがアクター1990によって提供され得る。例えば、フィールドサポートエンジニアが、ツールシステム1910に対して予防的なツール保守(PM)を実施することができる。PMは、定期的に計画して行うことも、計画しないこともでき、或いは、非同時であり得る。予防的なツール保守は製造システムに対し、自律学習システム1960による要求に応じて、ルーチン予防保守に応じて、又は計画外の保守に応じて実施することができると理解されるべきである。連続するPMの間には経過する時間間隔があり、このような時間間隔の最中に、ツールシステム内で1つ以上のプロセス(例えば、ウェーハ/ロット製造)が行われ得る。データ及び製品アセット、並びに実施された計画内及び計画外の保守(effected planned and unplanned maintenance)などの関連する情報によって、自律学習システムは、「故障のサイクル」を推論することができる。したがって、自律学習システムは、アセット1928を利用して、平均故障間隔(MTBF)を推論することができる。このような推論は、重要データ及び製品アセットの関数として、故障時間のモデルによりサポートされる。更に、自律学習システム1960は、情報I/O1958として受信された異なるアセット間の関係を通じて、又は専門家のアクターによって提供された教師ありトレーニングセッションから生じた履歴データを通じて、モデルを開発することができる。専門家のアクターは、トレーニングされた異なる自律学習システムと相互作用する異なるアクターであり得ると理解されるべきである。
アクター1990は、ウェーハレベルの実行データを平均して、PM間隔にわたる重要パラメータのドリフトを評価できることをシステムに知らせることによって、自律システムをガイドすることができる。自律システムは、アクター1990が学習命令によって、計画外の各PMの前にウェーハの平均レベルにおけるデータのパターンを特徴付けることを学習するように自律学習システム1960に指示する、より困難な訓練も実施することができる。このような命令によって、自律学習システム1960が、計画外のPMに先立って、データのパターンを学習するように促すことができ、データのパターンが認識オートボットによって識別され得る場合、自己認識コンポーネント2150は、時間の経過と共に、このようなパターンを学習することができる。パターンの学習中、認識コンポーネント2150は、自己概念化コンポーネント2160、又はオートボットコンポーネント2140内に存在する認識オートボットからの支援(又はサービス)を要求することができる。ツールシステムのパターンが高い信頼度(例えば、PCA分解係数、K-クラスタアルゴリズムにおける主要クラスターのサイズ、又は異なるパラメータセットと時間との関数としての第1のパラメータの大きさの予測等に反映されるパターンの再現性の程度によって測定される)で学習されると、生物学に基づく自律学習システム1960は、参照エピソードの発生前に警報が発せられるように、ツールの保守を必要とすることになり得る動作不良に関連する参照エピソードを作成することができる。オートボットコンポーネント2140内に存在し得る認識オートボットは、動作不良の参照エピソードのデータパターン、又は計画外の保守を必要とし得る実質的にあらゆる具体的な状況を、それが必要となる前に、完全に特徴付けることはできないことに留意されたい。それでもなお、深層行動及び予測関数解析(deep behavioral and predictive functional analysis)を含み得る、ツールシステム1910のこのような予防的な健康状態管理は、自己概念化コンポーネント2160内のオートボットによって実施され得ると理解すべきである。
図24は、認識ワーキングメモリ2320において動作することができるオートボットの図2400である。図示されているオートボット、即ち、定量化器2415、予想エンジン2425、サプライズスコア生成器2435、及び要約生成器2445は、認識エンジンを構成し得る。認識エンジンは、仮想創発的コンポーネントであり、その創発的性質は、基本構成要素、例えば、オートボット2415、2425、2435、及び2445の協調的な動作から生じる。認識エンジンは、協働するオートボットの集合体を1つ以上の計画ユーバボットがどのように使用して高度な活動を行うことができるかの一例であると理解されるべきである。計画ユーバボットは、様々なオートボット(例えば、平均、標準偏差、PCA、ウェーブレット、微分等)、又は自己概念化コンポーネント1560のサービスを用いて、生物学に基づく自律学習システムで受け取ったデータのパターンを特徴付ける。各工程、実行、ロット等に対するデータは、トレーニング中に、外部のエンティティによって正常又は異常としてラベル付けされ得る。計画ユーバボットは、定量化器2415を用いて、正常なデータを利用してプロトタイプの正常プロセスのデータパターンを学習することができる。更に、定量化器2415は、ASM2320に置かれたラベル付けされていないデータセット(例えば、情報入力1958)を評価し、正常データパターンを、ラベル付けされていないデータのデータパターンと比較することができる。正常なデータに対する予想パターン、又は正常なデータに関するパラメータを予測するための式は、予想エンジン2425を介して記憶され、操作され得る。複数のメトリックによれば、ラベル付けされていないデータのパターンは、正常なデータパターンと様々な点で異なり得ることに留意すべきである。例えば、ホテリングT2統計量(PCA及びMS-PCAに適用され、トレーニングの実行により導出される)の閾値を超え得る;ラベル付けされていないデータセットのデータサブセットの平均は、正常なトレーニング実行データを用いて計算した平均から36(又は他の所定の偏差間隔)を超えて異なり得る;測定されたパラメータのドリフトが、正常な実行に関連するデータで観測されたものと大幅に異なり得る等である。したがって、要約生成器2445は正常なデータの成分のベクトルを生成し、その一方で、サプライズスコア生成器1835は、ベクトルの成分におけるこのような実質的に全ての差を組み込み、ランク付け又は重み付けし、ツールシステムの正味の劣化サプライズスコアを計算することができる。正味の劣化サプライズスコアは、ツールシステムの健康状態を反映し、且つツールシステムがどれほど「正常から離れているか」を反映する。正常なメトリックとラベル付けされていないメトリックとの間の差は、時間の関数として変化し得ると理解されるべきである。したがって、正常なデータの収集量を増やすことにより、自律学習システム1960は、時間の経過と共に、様々な動作限界を、より高レベルの統計的信頼を伴って学習することができ、それに応じて、製造プロセスレシピ(例えば、目標)を調節することができる。サプライズスコアを通じて測定された劣化状態は、例えば、要約生成器2445を介してアクターに報告され得る。
図25は、生物学に基づく自律学習システムの自己概念化コンポーネントの及び例示的実施形態2500を示す。自己概念化コンポーネントの機能は、重要な半導体製造ツールの関係及び記述の理解を構築することである。このような理解を用いて、製造プロセス(例えば、目標)を調整することができる。この獲得される理解は、自律的に、又はエンドユーザ(例えば、アクター1990)により供給される手引きと併せて、構築される。他の主要な機能コンポーネント2150及び2160と同様に、自己概念化コンポーネント2160は、メモリの階層、動作ユニット、又はオートボット、及びプランナに関して再帰的にアセンブル又は定義される。このようなコンポーネントは、優先順位を付けられた知識ネットワークと通信することができる。
実施形態2500は、自己概念化コンポーネント2160の動作に必要な概念(例えば、属性、エンティティ、関係、及び手続き)を含む概念化知識メモリ(CKM)2510を示す。CKM2510内の概念は、(i)ドメイン固有概念、例えば、工程、実行、ロット、保守間隔、ウェット洗浄サイクル、工程測定値、ウェーハ測定値、ロット測定値、ウェーハ上の位置、ウェーハ領域、ウェーハの中心、ウェーハエッジ、最初のウェーハ、最後のウェーハ等、並びに(ii)汎用の、ドメイン独立概念、例えば、数、定数(例えば、e、π)、変数、数列、時系列、行列、時間行列、きめの細かい挙動、きめの粗い挙動等を含む。自己概念化コンポーネントはまた、広範囲の汎用関数関係、例えば、加算、減算、乗算、除算、二乗、三乗、累乗、指数関数、対数、正弦、余弦、正接等の、並びに様々なレベルの詳細を提示することができ、適応的概念化テンプレートメモリ(ACTM)2520内に存在し得る他のドメイン固有関数関係を含む。
ACTM2520はCKM2510の拡張部であり、ツールシステム1910(半導体製造プラットフォームツール)と相互作用するアクター(例えば、エンドユーザ)にとって完全に既知又は一部既知のいずれかである関数的関係を保持することができる。ACTMはCKMの論理的拡張部ではあるものの、実際のメモリストレージは自己概念化コンポーネント2160内の1つのストレージユニットのように思われ得るので、オートボット、プランナ、及び他の機能コンポーネントは、このような分離の影響を受けないことに留意されたい。自己概念化コンポーネント2160はまた、概念化ワーキングメモリ(CWM)2540の拡張部である概念化目標メモリ(CGM)2530を含み得る。CGM2530は、現在の目標のオートボットが、例えば、(f、圧力、時間、工程を)学習すること、即ち、特定のプロセス工程に関して、圧力の関数f(ここで、関数は時間に依存する)を学習することを促すことがでる。関数fの学習は、ツールシステム1910を用いた半導体デバイスの製造という目標の達成を促進することができる副目標を表す。
ACTM2520内の概念はまた、状況スコアをもたらすことができる、適切性の数値的属性と慣性の数値的属性とを有する。慣性値は、概念が学習される尤度を示すことができる。例えば、行列の概念に対する慣性値がより高く、時系列概念に対する慣性がより低いと、自己概念化コンポーネント2160が、行列中のデータの関数的挙動ではなく、時系列の関数的挙動を学習し得るという状況をもたらし得る。自己認識コンポーネント2150と同様に、より低い慣性の概念がCKM2510からCWM2540に伝達される可能性が高くなる。
概念プランナ(CP)は、現在のコンテキスト、ツールシステム1910(又は一般に、目標コンポーネント1720)の現在の状態、CWM2540の内容、又はCWM2540においてアクティブな現在のオートボットに応じて、様々なオートボットに活性化エネルギーを提供すると共に、CKM2510及びACTM2520内の様々な概念に状況エネルギーを提供する。適応的推論エンジンによる推論は、概念の伝播という点に基づくものであり得るので、活性化エネルギー及び状況エネルギーの変化は、CWM2540又はCKM2510内の概念に対する意味ネットワークが変化した結果として生成された知識に基づく(例えば、学習に基づく)目標適応をもたらし得ると理解されるべきである。
上述したように、CTM2520の内容は、知識を記述することができる概念であり、したがって、これらの概念は、適切性の数値的属性及び慣性の数値的属性を有し得る。CTM2520の内容は、オートボットが、ツールシステム1910の関数的挙動を学習するために使用され得る(より低い慣性を有する概念が、より高い慣性を有する概念よりも活性化される可能性が高くなるという制約の対象となる)。全ての手引きが同じ慣性を有する必要はなく、例えば、両概念が完全な関数を表していたとしても、第1の完全な関数は、第2の完全な関数よりも低い慣性を与えられる可能性がある。
部分的に定義された式などの部分的な知識がCWM2540にアップロードされると、例えば、既存の知識によってこれを完成させることができる。CPはオートボットを、利用可能なデータを用いて、まず、未知の係数に対する値を識別するように調整する。したがって、一連のアドホック係数が、部分的に定義された式の概念を完全な関数の概念に完成させることができる。次いで、この完全な式の概念を、加算、乗算等などの予め構築された関数的関係の概念で用いることができる。出力を備えた基礎知識(例えば、relationship(output(κ),T))は、CWM2540内のオートボットが、κとTとの間の関係を記述することができる最良の関数を特定するためにκ及びTのデータを含む様々な関数的記述を構築し、評価するのを促すことができる。或いは、出力を備えていない基礎知識は、オートボットが、CPの支援を得て、出力として変数又は独立変数を指定し、それを残りの変数の関数として表現しようとするのを促すことができる。良好な関数の記述が見つからない場合、別の変数を独立変数として指定することができ、適切な関数的関係に収束するまでプロセスを繰り返す、又は適切な関数的関係が見つからないことを、自律学習システム1960が例えばアクター1990に示す。特定された良好な関数的関係は、CKM2510に提示され、自律学習システム1960内のオートボットによって、CPによって割り当てられた慣性のレベルと共に、利用され得る。例えば、割り当てられる慣性は、特定された関係の数学的複雑さの関数であり得る。2つの変数間の線形関係は、複数の変数、パラメータ、及び作用素(例えば、勾配、ラプラシアン、偏微分等)を含む非線形関係に割り当てられる慣性よりも低い慣性値を割り当てられ得る。
概念化エンジン2545は、認識オートボットと概念化オートボットとの協調活動を提示し得る「仮想コンポーネント」であり得る。一態様では、自己認識コンポーネント2150は、変数のグループ(例えば、グループ内の変数は、良好な対を成す相関特性を示すものであり得る)を自己概念化コンポーネント2160に(FFループ2152を介して)フィードフォワードすることができる。フォワードされた情報は、自己概念化コンポーネント2160が、関数関係テンプレートに関してCKM2510及びACTM2520を確認することを促すことができる。テンプレートを利用できることで、概念化エンジン2545内に存在し得る概念化学習部(CL)のオートボットが、フォワードされたグループ内の変数間の関数的挙動をより迅速に学習することを可能にできる。このような関数的挙動の学習は、主目標のうちの副目標であり得ると理解されるべきである。CPオートボットの支援を得るCLオートボットはまた、概念化検証部(CV)のオートボットを使用することができる。CVオートボットは、提案された関数的関係の品質(例えば、予測値と測定との間の平均誤差が機器の分解能の範囲内である)を評価することができる。CLオートボットは、自律的に、又はアクターにより供給された手引きを介して、のいずれかにおいて、関数的関係を独立して学習することができる。このようなアクターにより供給される手引きは、外部からのデータと見なすことができる。CLによって学習された関数は、目的の変数のグループとして(例えば、FBリンク2158を介して)自己認識コンポーネント2150にフィードバックされ得る。例えば、κ(例えば、漸近エッチングレート)及びU(例えば、活性化バリア)がCLに既知である特定の値を有する関数κ=κexp(-U/T)の学習後、自己概念化コンポーネント2160は、手引きのグループ(output(κ,T)を自己認識コンポーネント2150にフィードバックすることができる。このようなフィードバック通信により、自己認識コンポーネント2150はこのような変数のグループに関するパターンを学習することができるため、変数のグループに関する劣化を迅速に認識し、必要であれば、警報(例えば、警報サマリー、検証された警報受信者のリスト)を生成し、発することができる。メモリ2560は、概念化エピソードメモリである。
CL及びCVに関連する以下の2つの態様に留意されたい。第1に、CLは、(例えば、記号処理を介して)式を単純化することができるオートボットを含み得る。これにより、関数的関係を簡潔な数式として記憶するのを容易にすることができる。一例として、関係P=((2+3)Φ)((1+0)÷θ)は、P=3Φ÷θに単純化される。式中、P、Φ及びθは、それぞれ、圧力、流量、及び排気弁の角度を示す。第2に、CVは、関数的関係の品質を決定するときに、式の構造の複雑さを考慮に入れることができる。例えば、予測値対測定値の平均誤差のような、実質的に同じ特徴を有するパラメータに関しては、より複雑な式ではなく、より単純な式が好ましい場合がある(例えば、より単純な式は、より低い概念の慣性を有し得る)。
更に、自己認識コンポーネント2150から自己概念化コンポーネント2160へのFF2152による情報の重要な通信、及び自己概念化コンポーネント2160から自己認識コンポーネント2150へのFB2158による通信は、エピソードに対するデータのパターンを特徴付けるために、認識オートボット及び概念化オートボットの協働を伴うことができる。図21に関連して上述したように、自己認識コンポーネント2150がエピソードの学習に失敗した場合、自己概念化コンポーネント2160は、一連の関数的関係を提供することにより、自己認識コンポーネント2150を支援することができる。例えば、エピソードの特徴付けに、ツールシステム1910で実行されるプロセスの安定化工程における圧力の時間依存性に関するきめの細かい記述が必要な場合がある。自己概念化コンポーネント2160は、安定化工程における圧力のこのような詳細な(例えば、1秒毎の)時間依存性を構築することができる。したがって、FBループ2158を通して、自己認識コンポーネント2150は、正常なツール状況における安定化工程の最中の圧力のパターンを特徴付け、学習した圧力時間依存性を特定のエピソードデータにおける圧力のパターンと比較することを学習することができる。一例として、エピソード内のデータにおける安定化工程前の測定圧力のスパイクの存在と、正常なツール動作中の圧力データのスパイクの不存在は、生物学に基づく自律学習ツール1900において、エピソードの発生を識別するデータパターンとして検出され得る。
同様に、計画外のPMの予測は、ツールシステムデータの重要な測定値の時間的変動に関する知識と、自己概念化コンポーネント2170によって伝達される一連の予測関数の可用性とに依存し得る。予測が時間の関数としての一連の変数の投影値に依存する場合、予測関数は、計画外のPMが発生する状況を自己認識コンポーネント(例えば、コンポーネント2150)が予測するのを支援することができる。
図26は、生物学に基づく自律学習システムの自己最適化コンポーネントの例示的実施形態2600を示す。上述したように、自己最適化コンポーネントの機能は、補正処理の提供に必要な製造プラットフォームの制御を提供するために、製造プラットフォーム/ツールシステム1910の現在の健康状態(例えば、性能)を分析し、その後、不適合が検出されたかどうかを決定し、現在の健康状態の分析結果に基づいて、ツールシステム1910の健康状態の劣化の実質的に全ての潜在的原因及びこのような不適合の原因を診断又はランク付けし、自律学習システム1960によって獲得された学習に基づいて、不適合の根本原因を特定することである。他の主要な機能コンポーネント2150及び2160と同様に、自己最適化コンポーネント2170は、メモリプラットフォーム1965に属し得るメモリの階層と、処理プラットフォーム1985の一部であり得るオートボット及びプランナとから再帰的に構築される。
最適化知識メモリ(OKM)2610は、製造プラットフォーム/ツールシステム1910の挙動の診断及び最適化に関連する概念(例えば、知識)を含む。挙動は、目標又は副目標を含み得ると理解されるべきである。したがって、OKM2610は、工程、工程データ、実行、実行データ、ロット、ロットデータ、PM時間間隔、ウェット洗浄サイクル、プロセスレシピ、センサ、コントローラ等などの、ドメイン又は目標に固有の概念を含む。後者の概念は、半導体デバイスを製造するツールシステム1910に関連する。更に、OKM2610は、測定値(例えば、測定モジュールからの測定値)、シーケンス、比較器、ケース、ケースインデックス、ケースパラメータ、原因、影響、因果依存度、エビデンス、因果グラフ等を含み得る、ドメイン独立概念を含む。更に、OKM2610は、比較、伝播、ランク付け、解答等のような一連の関数関係を含み得る。このような関数関係は、オートボットコンポーネント2140内に存在し得るオートボットによって活用され、手続きの実行によって、その機能の少なくとも一部をOKM2610に与えることができる。OKM2610に記憶される概念は、適切性の数値的属性と、慣性の数値的属性と、そこから導出される状況スコア属性とを有する。適合性、慣性、及び状況スコアの意味は、自己認識コンポーネント2150及び自己概念化コンポーネント2160における意味と実質的に同じである。したがって、工程データよりも低い慣性が実行データに与えられた場合、自己最適化コンポーネント2170プランナ(例えば、ユーバボット)は、OMK2610から最適化ワーキングメモリ(OWM)2620に、実行データの概念を通信する可能性が高くなる。更に、実行データと工程データとの間のこのような慣性関係によって、実行に関連する概念によって動作する最適化オートボットの活性化率を増加させることができる。
自己認識コンポーネント2150及び自己概念化コンポーネント2160は、FFリンク2152及びFFリンク2162を通して、OKM2610に記憶されている概念の状況スコアと、最適化プランナコンポーネント2650内に存在し得る最適化プランナ(OP)を介する最適化オートボットの活性化エネルギーとに影響を及ぼすことができることに留意されたい。OKM2610に記憶されており、自己認識コンポーネント2150及び自己概念化コンポーネント2160を介して影響される概念は、特定のコンテキストの関数として最適化される特定の目標の態様を決定することができると理解されるべきである。一例として、プロセス工程に対するデータのパターンが著しく劣化し、ワークピースに不適合が生成されたと自己認識コンポーネント2150が認識した場合、関連する工程概念の状況スコアを増加させることができる。したがって、その後、OPは、プロセス中に実行される一連の工程を修正し、(例えば、目標を遂行している間に)補正処理を提供するために、追加の活性化エネルギーを供給して、この工程概念に関連するオートボットを最適化することができる。同様に、自己概念化コンポーネント2160が製品ロットに関するツール測定値間に新たな関数的関係を特定した場合、自己概念化コンポーネント2160から(例えば、FF2162を介して)受け取ったFF情報により、自己最適化コンポーネント2170は、(1)ロットの概念の状況スコア、及び(2)ロットの概念に依存する機能を有する最適化オートボットの活性化エネルギーを増加させることができ、したがって、ロットの概念の態様(例えば、ロット内のウェーハの数又はタイプ、ロットのコスト、ロットに利用されるリソース等)を修正する。
ツールシステム1910の健康状態評価は、記載されるように、診断エンジン2425によって実施され得る。健康状態評価は、製造プロセスの副目標であり得ることに留意されたい。診断エンジン2425は、依存関係グラフを自律的に作成し、アクター1990が依存関係グラフを拡張できるようにする。(このような依存関係グラフは、外部からのデータ又は内部からのデータと見なすことができる。)因果グラフは、ツールシステム1910によって行われるプロセスの動力学及びアクター1990によって考案され得る診断計画に従って、インクリメンタルに伝達され得る。例えば、因果グラフは、「圧力」異常が、4つの原因、即ち、堆積チャンバが漏れを有する、チャンバへのガスフローに不良がある、排気弁の角度(ガスフローの規模を制御する)に不良がある、又は圧力センサに誤差がある、のうちの1つに起因することを示すことができる。ツールシステム1910のコンポーネントは、故障の先験的確率(例えば、チャンバの漏れは、0.01の確率で発生し得る。ガスフローは、0.005の確率で不良になり得る等)を有する。更に、アクター1990又は自己概念化コンポーネント2160は、圧力異常に関する条件付き依存性を定義することができる。この条件付き依存性は、条件付き確率として表され得る。例えば、チャンバが漏れを有することを前提とした圧力不良の確率は、p(P|leak)であり得る。概して、ツールの故障に原因的に関連するソースの条件付き確率は、アクター1990によって提供され得る。自律学習システム1960は、アクター1990によって定義された確率の割り当てが、物理的な確率(例えば、観測によって裏付けられた実際の確率)と大幅に異なり得ることの多い概算推定値であり得ると仮定することに留意されたい。因果グラフの例は、以下の図27A及び図27Bに関連して、次で提示及び記載する。
自己最適化コンポーネント2170はまた、ツールに関連する情報I/O1958を介して、製造プラットフォーム/ツールシステム1910の性能に関する一連の予知を生成することができる予知コンポーネント2660を含み得る。このような情報は、機能コンポーネントによって用いられる材料の品質、製造プラットフォーム/ツールシステム1910により作製された製品アセット1928の物理的性質、例えば、屈折率、光吸収係数、又は製品アセット1928にキャリアをドープしない場合の磁気輸送特性等を含み得る。予知コンポーネント2660は、複数の手法を利用することができる。この手法は、自己認識コンポーネントが情報1958を処理するときに用いることができる手法と実質的に同じ第1の特徴付け手法を含む。即ち、例えば、(i)フーリエ変換、ガボール変換、ウェーブレット分解、非線形フィルタリングに基づく統計的手法、スペクトル相関を用いた周波数分析、(ii)時間依存性スペクトル特性(センサコンポーネント1925によって測定され得る)、ポアンカレ写像及びリアプノフスペクトル手法などの非線形信号処理技術、を用いた時間的分析、(iii)実空間又は信号空間ベクトルの振幅及び角度の変動分析、(iv)異常予測技術等である。分析(i)、(ii)、(iii)又は(iv)を通じて生成された情報又はデータアセットは、ニューラルネットワーク推論、ファジー論理、ベイズネットワークによる伝播、遺伝子アルゴリズム等の進化的アルゴリズム、データ融合技術等などの予測技術によって補完され得る。分析技術と予測技術を併用して、OKM2610で利用可能な情報に加え、センサコンポーネント1925によってプローブされた特定のアセット又は性質の不調の傾向を特定することにより、最適化プランナコンポーネント2650及びコンポーネント2140内に存在し得る最適化オートボットによって生成された適切な補正策によるツールシステム1910の最適化を促すことができる。
図27Aは、自己概念化コンポーネント2130によって生成された例示的な因果グラフ2700を示す。因果グラフは、自己概念化コンポーネント2130によって予測される数学的関数又は関係の従属変数と独立変数との間の関係を表す。一例として、自己概念化コンポーネント2130は、圧力(P)、ガスフロー(Φ)、及びバルブ角度(θ)に関するデータにアクセスすることにより、カーブフィッティング、線形回帰、遺伝子アルゴリズム等などの1つ以上の数学的手法を使用して、目的の出力又は従属変数、例えば、圧力に対する予測関数2710を、データ入力又は独立変数、即ち、ガスフロー、バルブ角度、温度、湿度等の関数として概念化する又は学習することができる。学習された例示的な予測関数2710は、圧力と2つの入力変数Φ、θとの間の、以下の関係、即ち、P=2π(Φ/θ)であり得る。このような学習した関数から、自己概念化コンポーネント2160は、依存関係グラフ2700を自律的に構築する。
自己概念化コンポーネント2160は、依存関係グラフ2700を生成するために、2つの工程で進むことができる。(i)1つの学習した関数2710を入力として受け取るルートノードとして比較器2720が導入される。比較器2720における不合格は、生物学に基づく自律学習システムを用いる製造プラットフォーム/ツールシステム1910における不合格を示唆する。比較器における不合格は、例えば、ワークピース属性の測定値と学習した関数2710によって生成された予測値との比較に基づき得るブール値(例えば、「合格/不合格」2730)の結果であり得る。自己概念化コンポーネント2160は、予測圧力値と(例えば、センサコンポーネント内に存在する圧力センサによって報告された)収集圧力データとの間の平均差がユーザが指定した範囲内に留まっていない場合に(例えば、平均差は、予測圧力の5%以内に留まるべきである)、比較器2720で不合格にフラグを立てる。比較器2720の不合格は、予測関数2710の出力に依存する。したがって、比較器の不合格は、圧力の読み取り値(P2740)の不合格に依存する(に影響を受ける)。圧力の読み取り値(P2740)は、圧力センサ(P2743)が不合格であること又は物理的圧力(例えば、物理量P2746)が不合格であることが理由で不合格になり得る。物理的圧力PP2746は、圧力機構(P2749)が不合格になり得ることが理由で不合格になり得る。したがって、システムは、P2740と{P2743、P2746}との間と、P2740と{P2749}との間の依存関係を自律的に作成する。
(ii)学習した関数2710内の従属変数は、以下のように、依存関係グラフを完成させるために用いられる。学習した関数2710内の従属変数において、ガスフローの読み取り値(Φ2750)が不合格になると又はバルブ角度読み取り値(θ2760)が不合格になると、物理的機構P2749は、不合格になり得る。したがって、自己概念化コンポーネント2160は、P2749と{θ11150、Φ2760}との間に依存関係を作成する。自己概念化コンポーネント2160は、読み取り値の不合格に対して実質的に同じ処理又は根拠を用いて、Φ2750と{Φ2753、Φ2756}との間に、及びθ2760と{θ2763、θ2766}との間に依存関係を作成することができる。次いで、自己概念化コンポーネント2160は、Φ2756と{Φ2759}との間に、及びθと{θ}との間に依存関係を追加することができる。物理量(例えば、P2746、Φ2756、θ2766)と関連する機構(例えば、P2749、Φ2759、及びθ2769)との間の関係は冗長であり、明確さを高めるために示されていることに留意されたい。機構ノード(例えば、ノード2749、2759、及び2769)は削除することができ、それらの小要素を、関連する物理的規模のノード(例えば、ノード2746、2756、及び2769)の小要素にすることができる。
依存関係グラフ2700などの依存関係グラフにおいて、リーフレベルノードは、物理的な不合格点であり、例えば、ノード2740、2743、2746、及び2749、ノード2740、2753、2756、及び2759、並びに2760、2763、2766、及び2769である。一態様では、アクター(例えば、アクター1990であり、ユーザであり得る)は、生物学的な自律学習システムに、全ての物理的な不合格点に対する先験的確率を供給することができる。このような先験的確率は、コンポーネントの製造仕様書、フィールドデータ、MTBFデータ等から取得することができる、又は製造ツール内に存在し、関連する製造処理に関与する部品の性能のシミュレーションによって生成され得る。アクターはまた、条件付き確率を、以前の経験、判断、フィールドデータ、及び考えられる不合格モード(例えば、第1の不合格の存在は、第2の不合格の可能性を排除し得る、又は第1の不合格は、第2の不合格の発生の確率を上昇させ得る等)に基づいて供給することができる。例えば、コンポーネント1940などの相互作用コンポーネントを介して先験的確率及び条件付き確率を受け取ると、自律システムは、ベイジアンネットワークによる伝播を学習に用い、自律システムに提示された実際の不合格データに基づいて、確率を更新することができる。したがって、アクターによって提供された最初の確率が誤りの場合、自律システムは、フィールドデータが不合格結果と矛盾する又はこれを裏付ける際に、確率、即ち、比較器の合格又は不合格の結果を調整する。
アクター(例えば、アクター1990であり、ユーザであり得る)は、機構の不合格に基づいて自律的に生成された依存関係グラフ(例えば、依存関係グラフ)に依存関係を追加することができることに留意されたい。このような追加は、例えば、相互作用マネージャ1955によって実施され得る。一態様では、一例として、依存関係グラフ2700は、PLEAK2770及びPALT2773とラベル付けされた2つのノードで拡張され、{Φ2750、θ2760、PLEAK2770、及びPALT2773}に対するP2749の依存関係を生じさせる。依存関係グラフ2700は、より深いグラフでも拡張することができると理解すべきである。ノードPLEAK2770の追加により、自己概念化コンポーネント2160を介して自律システムに、ガスフロー読み取り値又はバルブ角度読み取り値の不合格以外に、ツール内に漏れが存在する場合にも圧力機構が不合格になり得ることを知らせる。ノードPALT2773は、システムの故障における漏れの結果を機構が代替する尤度を表すという点で、ノード2770を補完する。ノード又はより深いグラフを追加する際、アクターは、ノードの先験的確率と依存関係を記述する関連する条件付き確率とを割り当てる。
学習した関数は、上述した関数P=F(Φ,θ)よりも複雑になり得ると共に、大幅に多くの独立変数を含み得るが、因果グラフは、実質的に同じ手法で作成することができると理解されるべきである。
図27Bは、予測比較器及びレシピ比較器を有する、学習した関数の例示的な依存関係グラフの図2780である。生物学に基づく自律学習システムは、学習した関数の比較器(例えば、比較器2720)に加えて、1つ以上のレシピ比較器を生成することができる。レシピ比較器(例えば、比較器A2795又は比較器B2795)は、レシピパラメータの設定値を、ツールシステム(例えば、ツールシステム1910)内の関連するセンサから生じた対応する平均測定値又は読み取り値と比較する。一態様では、関連するセンサ値及び関連する規定値を有するレシピパラメータ(例えば、θ2785又はΦ2785)の集合が与えられると、自律システムは、各パラメータセットに対してレシピ比較器を生成する。予測関数比較器と同様に、設定レシピ値と読み取り値とが、特定の閾値(これはアクター(例えば、アクター1990)によって決定可能である)だけ異なる場合、レシピ比較器は、不合格を知らせる。図2780においては、プロセス圧力が特定の値に設定されていないため、圧力に対するレシピ比較器は生成されないことに留意されたい。
根本原因、例えば最大の不合格確率を有する物理的な不合格点を特定するために、生物学に基づく自律学習システムは、1つ以上の予測器又はレシピ比較器の不合格を用いて、依存関係グラフ内に存在する全ての物理的な不合格点をランク付けすることができる。一態様では、1つ以上の比較器を有する完全な依存関係グラフにするために、生物学に基づく自律学習システムは、ベイズ推論を使用して、比較器の不合格シグネチャが与えられた確率を伝播することができる。したがって、システムは、各比較器の特定の合格/不合格結果(例えば、比較器A2795の結果2798又は比較器B2795の結果2798)に対する不合格の確率を計算することができる。一例として、予測器比較器2720及びレシピ比較器A2795が不合格であるのに対し、比較器B2795は合格であると仮定する。自律システムは、比較器の不合格が与えられたそれぞれの物理的な不合格点の不合格確率を計算することができる。(例えば、比較器2795及び比較器A2795が不合格であり、比較器B2795が合格である場合の圧力センサの不合格の確率は何であるか)。その後、各不合格点は、不合格となる可能性が最も高いもの(計算された最大の確率)又は最も可能性の高い根本原因から不合格となる可能性が最も低いもの(計算された最小の確率)まで順序付けられる。実施可能なインテリジェンス(例えば、出力1740)であると考えることができる根本原因の特定は、更なる処理、例えば、新しい部品の注文、保守サービスの要求(アクターは、ツールの製造者の場所と通信するかその場所に存在する)、ソフトウェアアップデートのダウンロード、新たなトレーニングセッションの計画等、のために、相互作用マネージャを介してアクターに伝達され得る。
図28は、生物学に基づく自律学習ツールシステムの例示的なグループ配備の高レベルのブロック図2800を示す。自律ツールシステム2820~2820のグループは、生物学に基づく自律学習ツール1960によって制御され得る。生物学に基づく自律学習ツール1960は、情報1958を受信(入力)し、インターフェース1930に伝達(出力)する。インターフェース1930は、アクター1990が自律ツールシステム2820~2820のグループ及び自律学習システム1960と相互作用するのを容易にする。自律ツールシステム2820~2820のそれぞれは、個々に、関連する自律学習システム2850によってサポート又は支援される。このような学習システムは、学習システム1960と実質的に同じ機能を有する。グループ2810において、自律ツール2820~2820のそれぞれは、関連付けられたローカルアクター1990~1990とのそれぞれ独立した相互作用を可能にすることができると理解されるべきである。このようなアクターは、上述の図19に関連して記載したように、アクター1990と実質的に同じ機能を有する。更に、自律ツール2820~2820との相互作用は、相互作用コンポーネント2840を介して、ツール固有の情報(例えば、2848~2848)及びアセット(例えば、アセット2850~2850)(通常、両者ともツールシステムに固有)を提供及び受信することによって、自律システム1900の場合と実質的に同じ手法で行われる。特に、グループ配備2812においては、アクター1990~1990のそれぞれが、その関連するシステムツール(例えば、システムツール2820)の動作の異なる側面を監視することができると理解されるべきである。一例として、ローカルアクター1990~1990が、特定の出力のセット(例えば、2860~2860)を重要であると設定することができる。このような決定は、履歴データ又は設計(例えば、プロセスのレシピ)に基づくことができる、又は生成されたパターン、構造、関係等を通じて自律的に生成することができる。このような決定がない場合、グループ自律学習システム1960は、グループ出力2865につながる実質的に全ての出力(例えば、2860~2860)が重要であると仮定する。
一態様では、自律学習システム1960は、通常の(例えば、不良でない)グループツール2800の動作中に、(システムに関連して上述した学習機構を介して)重要出力パラメータの期待値を学習することができる。一態様では、測定された出力2865が期待された出力から逸脱する場合、自律学習システム1960は、グループ2800の性能の性能メトリックを劣化したものとして識別することができる。後者の評価は、1つの自律ツールシステム1900に関連して記載したものと実質的に同じ手法で、即ち、自律学習システム1390内の自己認識コンポーネントを介して進行することができると理解されるべきである。自律グループツール2800が劣化した性能を呈し得る場合であっても、自律ツールシステム2801~2820Kのサブセットは、劣化でない出力を提供し、所定のメトリックに対する個々の期待値を満たすことができることに留意されたい。
更に、1つのツールシステム(例えば、ツールシステム1910)の場合と同様に、自律学習システム1960は、個々のツールに関連する出力パラメータの関数として、重要出力パラメータの予測モデルを構築することができる。このような出力パラメータは、アセット1928の入出力を通じて収集され得ると理解されるべきである。グループツール2800において、生物学に基づく自律学習システム1960は、ツールシステム2820~2820のそれぞれに存在するセンサコンポーネントを介して、ツール出力(例えば、2860~2860)の測定値を利用可能であり、測定値は、各自律学習システム(例えば、1960又は2850)に存在する配備された知識ネットワークを介してアクセスされ得ることに留意されたい。
更に、自律システム1960はまた、ツールグループ又はプラットフォーム2800のアセット1928、例えば、グループの入力データ、グループの出力、グループのレシピ、又はグループの保守活動の関数として、グループの故障時間の予測モデルを構築することができる。一態様では、グループの故障時間を決定するために、自律学習システム1960は、(例えば、一連のセンサコンポーネント又は検査システムによって)検出された故障間の時間、関連アセット2850~2850、出力2801~2860K、及び一連のツール2801~2820K内の実質的に全ての操作ツールの保守活動を含む故障データを収集することができる。(事前の故障評価の結果として、グループ2800内の一連のツール(例えば、ツール2820~2820)中の特定のツール(例えば、ツールシステム2 2820及びツールシステムK 2820)は、動作不能になり得ると理解されるべきである)。収集されたデータは、グループアセット(例えば、入力、レシピ...)、出力、及び保守活動の関数として、故障時間の予測関数を学習するために、(例えば、自律学習システム1960の処理コンポーネント1985を介して)自律的に分析され得る。収集されたデータから構築されたグループの故障時間のモデルは、グループツール2800の性能に影響を与える実質的に支配的な要因を即座に示すことができると理解されるべきである。
一態様では、グループツール2800内のツールシステムの個々のコンポーネント(例えば、2820~2820)に対して構築された故障時間モデルは、アクター1990(例えば、グループレベルのコントローラ)が、部品在庫を最適化し、保守計画を最適化するために用いることができる。このような最適化は、少なくとも部分的に、自律システム1960によって実施され得ると理解されるべきである。例えば、自律システムは、利用可能な部品の数を特定するために、MES(又はERP)システムにアクセスする。ツールシステム28201~2820Kに機能を提供し、特定の期間Δτ内に(例えば、交換のために)必要となることが予想され得る一連の部品(例えば、システム1910内のコンポーネント1915等の機能コンポーネント内のコンポーネントのうちの1つ以上の部品)が、利用可能な在庫の供給量を超える場合、追加の部品が注文され得る。或いは又は更に、部品が利用可能な場合には、必要な部品の予想スケジュールを分析し、新規注文を行うのに最適な又は適切な時間を決定することができる。
自律システム1360が部品の分析及び実質的に短期間で故障する可能性のある部品の特定に利用可能な機会を活用するために、保守スケジュールは、必要な、予め計画されている保守活動の最中に、再評価され、最適化され得ると理解されるべきである。更に、グループ又は個々の故障時間スケジュールは、一態様では、現在の保守サイクル中の部品の交換が、来たるべき定期保守サイクルでの部品の交換に対して有益であるかどうかを決定するために、部品のコスト、部品を交換する時間等のような追加情報で自律的に補完され得ると理解されるべきである。自律システム1960はまた、グループの出力製品(例えば、ワークピース等)あたりのコスト及びグループツール2800の動作中に特定の注文を生産するための総コストを計算するために、グループツール2800の動作に関連する様々なコストを入力として取ることができることに留意されたい。個々のツールアセット28501~2850K(例えば、レシピ)、出力2860~2860、及び保守活動の関数としてコストのモデルを構築した後、自律システム1960は、個々のツールシステム2820~2820を運転コストの高い順にランク付けすることができる。組み合わせたコストデータアセットを利用して、コスト対アセット、出力、及び個々のツールシステムに関連する保守活動の予測モデルを構築することができる。例えば、このような評価は、グループツールの運転又は保守コストに実質的に影響を与える動作アセット及び変数を特定することができる。一態様では、自律システム1960は、コストを最小限にするために、利用可能な履歴データアセットを用いて、生産ライン、又はプラントフロア(floor plant)内の機器構成を再設計することができる。更に、このような最適化プロセス中、自律システム1960は、別の動作パターンを活用するために、様々なツールシステムの運転停止に頼る場合がある。更に、自律システム1960は、費用便益分析を用いて、特定の出力の生産が特定の高コストのツールシステムの出力を伴わずに進む一連のトレードオフシナリオを決定することができる。
ツールシステム2820~2820は、実質的に同じであり得る又は異なり得る(例えば、ツールシステム2820~2820はステッパであり、ツール2820はステッパであり、2820K~2820Kは、ターボ分子真空ポンプである)。典型的には、同種(例えば、ツールシステムが似ている)と異種(例えば、ツールが異なる)との間の中心的な違いは、入力測定値及び出力測定値(例えば、測定アセット)が異なることにあり得る。例えば、ツールグループ又はプラットフォーム2800の目的とする重要な出力は、D1 CDの均一性であり得るが、グループツール又はプラットフォーム2800の一部であるコーティング又は膜形成システムは、そのような出力測定値を提供することができない。したがって、自律システム1960は、ツールグループの出力を、個々のツール(例えば、2820~2820)の出力の関数として表現するためのモデルを構築することができる。したがって、グループの性能が劣化しているように思われる場合、個々のツールに関連する個々の性能を分析して、性能劣化の原因となっている最大の重みを有するツールを分離することができる。
図29は、自律ツールシステムの複合体の配備の図を示す。複合体システム2910は、一連の自律ツール複合体2920~2920を含む。ツール複合体のそれぞれは、自律ツールの同種グループ又は異種グループ、例えば、自律製作設備(図示せず)又は一連の異なる自律製作設備を含み得る一連の異なる自律ツールグループを含み得る。例えば、ツール複合体は、製造プラットフォームを要求してもよい。自律複合体2920~2920は、典型的には、異なる地理的位置に位置し得ると理解されるべきである。同様に、製造プロセスが複数の工程を含み得るという観点から、工場内の自律ツールのグループは、プラント内の異なる位置に配備され得る。したがって、製品出力チェーン2965は、異なる自律ツール複合体2920~2920に、部分的に製造又は処理又は分析された製品を提供することを容易にすることができる。このような特徴は、複合体2920~2920に関連する出力/入力を表す双方向矢印2960~2960で示される。
複合体システム2910は、相互作用コンポーネント1940と、アクター1990と、自律学習システム1960とを含む自律学習システムによって自律的に支持され得る。一態様では、自律支持は、出力アセット(例えば、出力2965)の全体的な製作有効性(OFE)のメトリックの改善に向けることができる。更に、自律ツール複合体2920~2920のそれぞれは、順次、相互作用コンポーネント2930及び自律学習システム2940によって自律的に支持され得る。インターフェースコンポーネント2930は、自律学習システム2940とアクター2990~2990との間の相互作用を容易にする。このようなコンポーネントのそれぞれの機能は、システム1960及びシステム2800に関連して上述したそれぞれのコンポーネントの機能と実質的に同じである。相互作用コンポーネント2930及び自律システム2940間で通信される情報2948(I=1、2、...Q)は、それぞれの自律ツール複合体2920に関連付けられている。同様に、自律ツール複合体2920に伝達され、自律ツール複合体2920から受信されるアセット2950は、その固有のものである。
自律ツール複合体2910~2910の性能に対処するために、製作プロセスの多段階特性を、コンポジット複合体インデックスC(このインデックスは、複合体C(例えば、自律複合体2920)内の特定のツールグループを示す)と実行インデックス(R)とを用いて製品を識別する性能タグによって組み込むことができる。したがって、製品の品質、又は特定の製品に関連する性能メトリックがラベル(C;;R)により識別される。これは、「グループ層出力」と呼ばれ得る。このようなラベルは、各自律動作グループを個々のコンポーネントCとして識別することを容易にする。したがって、自律システム1960は、製作複合体(例えば、自律ツール複合体2910)の関数として、及び各製作複合体内のツールグループの関数として、品質及び性能メトリックをマッピングすることができる。後者は、複合体(例えば、製作設備)を最初に特定し、その後、劣化の評価に関連するツールの分析を実施することによって、貧弱な性能又は品質の根本原因分析を容易にする。インデックスCは、複数の複合体ツールで構成される自律システムにおいて生成された出力アセットが、第1の複合体(N)から第2の複合体(N’)に搬送され得ることを考慮に入れるものと理解されるべきである。したがって、(例えば、多段階製作プロセスの一部としての)アセットの搬送に関連する性能を追跡するための複合記号は、Cα;N→N’と解釈することができる。
自律ツール複合体の性能は、製品の歩留まりの関数として実施することができる。このような歩留まりを利用して、異なる複合体をランク付けする。一態様では、自律学習システム1960は、各自律ツール又は自律グループツールからの出力アセットに少なくとも一部基づいて、歩留まりのモデルを開発することができる。例えば、半導体製造に用いられるツール又はツールのグループにおいては、歩留まりは、測定データに基づいて検出されたワークピースの不適合の関数として表され得る。更に、他の歩留まりのメトリック、即ち、機器全体の効率(OEE)、サイクル時間の効率、納期厳守率、稼働率、手直し率、機械的ライン歩留まり、プローブ歩留まり及び最終試験歩留まり、アセット生産量、スタートアップ又はランプアップ性能率等、を用いて、特に、出力アセットが複合体間で搬送され得るツール複合体システム(例えば、2920~2920)を含む自律学習システムにおける歩留まりのモデルを決定することができる。一連の自律ツール複合体の動作をサポートする自律システムは、プロセスを再設計するために、又は歩留まりメトリックに関連する調整に関してアクター1990~1990と通信するために、歩留まりメトリック間の関係を自律的に特定することができることに留意されたい。
上述の歩留まり関数は、特定の歩留まりをもたらす影響の程度、又は重みに従って、グループ層出力をランク付けするために、静的分析及び動的分析(例えば、シミュレーション)の組み合わせによって分析され得る。ツール、ツールのグループ、又は複合体を、アセット出力、又は歩留まりに影響を及ぼす影響力に少なくとも一部基づいて、グループ層出力レベルでランク付けすることで、グループ又は複合体自律学習システム1960が、グループ又は複合体内のグループ内のツールのそれぞれに関連する自律システムを介して、歩留まりの低下の際に、特定のツールを主要ツールとして分離することができるかどうかを自律的に特定することを可能にできることに留意されたい。このようなツールが突き止められると、グループ又は複合体レベルの自律システム1960は、性能劣化の候補となり得る障害のランク付けに関する情報を伴った警報を保守部門に対して発することができる。
更に、最も低いランクの自律ツール複合体の歩留まりを用いて、歩留まりに対する影響が支配的なツールグループのグループ層出力を特定することができる。このようなツールグループの故障時間を、貧弱な性能の原因を特定するために、異なる自律複合体内の実質的に同じツールグループと比較することができる。更に、自律ツール複合体システムは、異なるツール複合体内の特定のツールグループ内のツールをランク付けする。自律ツール複合体のグループ(例えば、2920~2920)をサポートし、分析する自律学習システムは、複合体のそれぞれを、各複合体の推定故障時間に従ってランク付けすることができることに留意されたい。故障時間は、例えば、入出力アセット(例えば、アセット1958)の負荷を考慮し、運転時間間隔にわたって変化し得るので、故障時間予測を伴うデータベースは、特定の期間(例えば、毎週、毎月、四半期毎、又は毎年)で更新され得る。
また更に、グループツールの貧弱な性能の主因となっている個々のツール又はモジュール(例えば、グループツール内で最も低い性能にランク付けされるツール、例えば、均一なドーピング濃度又は均一な表面反射係数等の指定の目標品質特性を備えるアセットの出力に最も頻繁に失敗するツール)が特定されると、最も低い性能のツール又はそのような貧弱な性能のツールを含む複合体システムに関連する自律システムは、ツールの出力を分析して、最も低い性能のグループの出力に最も著しい影響を及ぼす出力を特定することができる。例えば、上述のように、均一性の低いアセットを出力するツールグループ又は複合体内のツールは、かなりの割合(例えば、60%)のツールグループに均一性のばらつき(例えば、高品質のディスプレイ上のコーティングの表面反射率に関する均一性の問題に起因する光学ディスプレイの表面反射率の均一性変化のばらつき)をもたらす可能性がある。そのために、一態様では、グループ内の各出力について、ツール自律システムは、ツール出力をツールアセット(例えば、入力、レシピ、及びプロセスパラメータ、ツールオペレータ又はアクター等)の関数として表現する関数を構築する。その後、このモデルは、貧弱な性能の支配的な要因を特定するために分析される。自律システムは、グループツール内の最も良い性能のツールを特定し、このツールが最も良い性能を有することになった原因、例えば、動作中のツールの真空レベルがグループツール内の異なるツールの真空レベルよりも一貫して低い、又はエピタキシャル堆積中、最も良い性能のツール内のウェーハは、堆積を行う異なるツール内よりも低速で回転し、そのため、ツールは一貫してより高いデバイス品質を達成する、を分析することができることに留意されたい。最高ランクのツール及び最低ランクのツールにおけるこのような要因は、複合体システム内の他のツールの同じパラメータと比較することができる。比較によって、最高ランクの性能及び最低ランクの性能の根本原因として特定された要因が、ツール複合体システムの全体にわたって実質的に同じであるように思われることが示される場合、新たなモデルを開発することができ、別の根本原因が特定され得る。このようなモデル開発及び検証の反復的な自律プロセスを、根本原因が特定され、最良の手法がエミュレートされ(例えば、ツール複合体11320pで用いられているコーティングレシピが、出力アセット性能を特定の望ましいマージンで向上させるという観点から、実質的に全てのツール複合体で採用される)、低い性能の根本原因が緩和される(例えば、トンネル塗装の使用温度における粘度により塗装製品の不均一な着色がもたらされる特定のブランドの塗料を破棄する)まで継続することができる。ツール、ツールのグループ、又はツールの複合体のランク付けは自律的であり、単一の自律ツールシステム(例えば、システム1960)と実質的に同じ手法で進行する。自律ツールの複合体の動作をサポートする自律システムは、このような自律複合体を、その内部構造の複雑さに関わらず、複合体に関連する自律システムを介してアクセス及び管理され得る単一コンポーネントと見なす。
図30は、上述のツールシステム又は製造プラットフォーム又はプロセスモジュール、例えば、個々の自律ツール1960、自律グループツール2800、及び自律複合体ツール2900、のクラス間のモジュール性及び再帰的結合を示す図3000である。自律システム3000では、目標、コンテキスト、及びアセットは、軸方向のゲートウェイとして示される知識ネットワーク1975を通って循環し、異なる自律ツールシステム1960、2800、及び2900に伝達される。このような情報及びアセットは、各自律システムにおいて作用を受ける。作用は、分析、修正、新たな情報及びアセットの生成を含み得る。このような作用は、自律システム1960、2800、2900の各表現の外側のベルト上に矢印として図示されている。処理され、生成されたアセットは、知識ネットワーク1975に伝達され、自律システム間で循環することができる。図3000では、アセットの処理及び生成は、方位角的に発生するものとして表される一方で、アセットの通信は放射状のプロセスである。図3000に示すように、自律ツールシステムは、実質的に同じ手法で機能する実質的に同じ要素に基づく。
図31は、アセットを生成するためのマルチステーションプロセスを評価し、報告する例示的なシステム3100を示す。生物学に基づく自律学習システム1960と、アクター1990と、関連する相互作用コンポーネント1930とを含む自律システム3105は、Nステーションのプロセス3110に由来するアセット1928を受信及び伝達し、後向き連鎖によって性能を評価することができる。Nステーションのプロセスは、一連のNプロセスステーション3110~3110によって実施される。一連のNプロセスステーション3110~3110は、出力3120を生成し、個々の自律ツール1960、自律ツールグループ2820、又は自律ツール複合体2920を含み得る。性能評価の結果として、自律システム3108は、特定の性能劣化の程度を有するプロセスステーション3110~3110内のツール又はツールのグループを突き止めることができる。更に、選択されたステーションについて、自律システム3108は、評価レポート、修理レポート、又は保守スケジュールを提供することができる。異なるプロセスステーションは実質的に同じ動作を実施することができると理解されるべきである。このようなシナリオは、アセット3115が生成され、更なる処理のために、異なるツール又はツールのグループに搬送された後、出力アセット3115が、更なる処理のために、特定のツール又はツールグループに戻る状況を反映する。
後向き連鎖において、出力をもたらすアクションフロー(例えば、プロセスフロー3130)は、典型的にアクションフローを評価するプローブフロー(例えば、評価フロー3140)と典型的には反対方向である。したがって、評価は、一般に、上から下に行われる。評価は、特定のアクションの高レベルステージ、例えば、完成アセット出力3120で行われ、特定のアクションの完了前に、特定のステージに対する評価の絞り込みを図る上で、低レベルステージに進む。自律システム3104によって適用される際、出力アセット3120は、プロセスステーションN3110を介して受信される。自律システム3104は、参照番号3146によって示されているように、プロセスステーション3110内の実質的に全ての動作コンポーネント(例えば、ツール、グループ、又は複合体ツール)に関して、予想される性能に少なくとも一部基づいて、特定の劣化ベクトル(図示せず)をもたらす一連の性能メトリック{P(C) N-1→N}を評価することができる。更に、プロセス3130において、出力アセット(例えば、アセット3115)は異なる地理的領域にわたって搬送することができ、したがって、自律システム3104によって評価される劣化ベクトルは、一部完成アセット3115をもたらすプロセスの経過中の部分に関連するメトリックを含み得ると理解されるべきである。例えば、プロセス3130が半導体プロセスに関連する場合、特定のプロセスプラットフォームにおいてワークピースがより少ない不適合又は欠陥を有する場合がある。このような評価の結果3149が、Nステーションの出力3120が不良であることを示す場合、自律システム3104は、プロセスステーションNに関連する不良ツール又はツールのグループ又はプラットフォームを分離し、レポート(例えば、評価レポート3150、修理レポート3160、又は保守スケジュール3170)を生成する。生成されたレポートは、1つ以上のアクター(例えば、アクター1990~1990)によって利用される情報を含み得る。更に、広範囲に利用可能なデータから典型的に利することができる自律的に開発された解決策に対して、アクターの介入が優先され得るように、レポートは、保存され、性能に関する特定の問題、特に、まれにしか出現しない問題に対する1つ以上の製造プラットフォームの解決策(又は「修正」)又は補正処理のレガシーを作成することができる。更に、レポートが利用できることによって、故障シミュレーション又は故障エピソードのフォレンジック分析を容易にすることができ、これにより、製造コストを以下の少なくとも2つのレベル、即ち、(a)高価な、まれにしか故障しない機器は、まれな条件下で故障すると予測され得る。これは自律システム1960によってシミュレートすることができ、機器の複雑さに相応しない経歴を持つアクターによる機器の操作により生じる、(b)評価レポート3150及び修理レポート3160に保存された履歴データに少なくとも一部基づく様々な故障シナリオの予測を通じた部品在庫の最適化、で低減させることができる。
プロセスステーションN3110の結果3149が、不良ツール、又はツールのグループ若しくはプラットフォームを生成しない場合、下位レベルのプロセスステーションN-3110N-1で評価が実施される。プロセスステーションN-3110N-1は、一部処理された出力アセット3115を生成し、出力3120を生成するためのプロセスサイクル3130の一部である。一連の異なる性能メトリック{P(C) N-2→N-1}の分析を通じて、劣化の程度を抽出することができ、関連するツール又はツールのグループ(例えば、複合体C)を突き止めることができる。自律ツールの複合体、又は自律ツールのグループ、又は個々の自律ツールの不良がない場合、自律システム3104は、最終出力3120の貧弱な性能の原因を突き止める目的で、逆方向の、上から下への評価フロー3140を継続する。
図32は、ツール複合体システムによって自律的に生成された出力アセットを配送することができる例示的な自律システム3200のブロック図である。システム3200において、ツール複合体2920は、一連の出力アセット3210を自律的に生成することができる。出力アセット3210は、(i)ツール複合体システム2920を構成し得る1つ以上のツールの、性能劣化状態を含む状態について収集又は推論された情報(例えば、構造及びデータパターン、自律ツール複合体2920を構成する同様の又は異なるツールグループにおける既存の劣化エピソード又は状態に対する救済策等の、測定変数間の関係など)、又は(ii)前記複合体によって製作された出力製品、であり得る。更に、システム3200において、出力アセット3220はアセットセレクタ3220によってフィルタリングされ、配送コンポーネント3230に伝達又は通信され得る。このような配送コンポーネント3230は、生物学に基づく自律学習システム1960の知的側面を活用することができる。配送コンポーネント3230は、パッケージングコンポーネント3245とデータを準備することができる暗号化コンポーネント3255とを操作することができる管理コンポーネント3235、並びにスケジューラ3265及びアセットモニタ3275を含む。パッケージングコンポーネント3245は、配送プロセスのために配送されるアセットを準備することができ、このような準備には、紛失防止だけでなく破損防止を含み得る。情報(例えば、閾値を上回る温度等の、部品仕様外の動作の結果として発生するシステムの望ましくない状態などのエピソードメモリ3130内のイベント)又はデータアセットに関して、パッケージングコンポーネント3245は、配送されるアセットの目的の受取者に少なくとも部分的に応じた情報を提示するように、特定のフォーマットを変更することができる。例えば、専有情報は、抽象化され、特定性を伴わずに提示され得る(例えば、ガスの明示的な名前は、「ガス」という言葉で置き換えることができ、特定のパラメータ間の関係は、変数間の関係に一般化することができる。このような「p(O)<10-8Torr」は、「p(ガス)<10-8Torr」としてパッケージ化され得る)。更に、パッケージングコンポーネント11645は、暗号化コンポーネント3255を利用し、目的の受取者におけるアセット伝送及びアセット回収中の情報の完全性を確保することができる。
更に、一態様では、管理コンポーネント3235は、(i)配送される予定のアセット又は配送されたアセットを典型的に含むアセットストア3283、(ii)特定のアセットの配送又は完了に関連する商業取引先を含む取引先ストア3286、(iii)選択されたアセットが配送された又は配送され得る、現在、過去、又は将来の顧客を含むことができる顧客ストア3289、(iv)ライセンシング、顧客サポート及び関係、アセットパッケージングの手順、スケジューリング手順、知的財産権の行使等などの、アセットの配送に関連する態様を決定することができるポリシーストア、にアクセスすることができる。ポリシーストアに含まれる情報は、知識、例えば、生物学に基づく自律学習システムによって学習又は生成された情報アセットに少なくとも一部基づいて動的に変化し得ると理解されるべきである。
アセットがパッケージ化され、配送が予定されると、配送の記録が保存され得る、又はアセットがデータアセットであれば、アセットのコピーが保存され得る。その後、アセットは、異なる自律ツール複合体P2920に提供され得る。
図33は、アセット(例えば、完成品、一部完成品...)に関する、設計してから製造して販売するまでの、自律的に決定される配送工程の一例を示す。六角形のセル3310は、特定の地理領域(例えば、市、郡、州、1つ以上の国)を表し、2つのクラスの自律ツール複合体、例えば、「円形」複合体3320、3330、3340、3350、及び3360と、「正方形」複合体3365及び3375とが、一連の製品又はアセットの製造チェーンに関与している。(地理的領域は、六角形のセルに加えて、実質的にあらゆる境界領域を含むことができることに留意されたい)。限定するものではなく、例示的シナリオなとして、アセットの製造は、複合体3320で開始される。複合体3320は、高山スポーツ(例えば、スキー、クライミング、パラグライディング等)の光学管理用の特別仕様のソリッドステートデバイスの設計を提供する複合体であり得る。設計は、原料物質の光学特性及びそれらの組み合わせの計算シミュレーションとデバイスシミュレーションの実施とで構成され得る。このような例では、複合体3320は、本発明の例では一連の自律ツールグループ(図28)として解釈され得る超並列スーパーコンピュータであり得る。シミュレーションコンピュータのネットワーク内の各コンピュータは、自律ツールグループと見なされる。複合体3320は、光デバイスの1つ以上の設計と、デバイスの記述に関連する一連のレポート、例えば、データアセットとを出力する。このような出力又はアセット(図示せず)は、(例えば、コンポーネントによる)適切な暗号化及びパッケージ後、無線リンクであり得る通信リンク3324を介して複合体3330に伝達され得る。
複合体3330は、データアセットを受け取ることができ、非限定的な例として、受け取ったアセットに従ってソリッドステートデバイスを製作するために堆積プロセスを開始する。そのために、複合体3330は、複合体3340とパートナーを組むことができ、両者は、2つの複合体の自律複合体ツール2910の一部である製作設備と見なすことができる。このような複合体は、受け取った仕様アセットに従って複数のデバイスを生産することができ、デバイスが製作されると、試験を行い、品質及び性能メトリックを割り当てることができる。このようなメトリックは、複合体3330及び複合体3340に入る自律ツールの中の「性能が悪い者(poor performer)」を突き止めるための後向き連鎖をもたらすことができる。複数のメトリックの決定によって、複合体3320及び複合体3330の動作を、デバイス又は出力アセットの生産を最適化するように、自律的に調整することが可能である。リンク3324は、内部リンクを示し、複合体3330及び複合体3340は、同じ製作プラントの一部であることに留意されたい。したがって、アセットは、車両での輸送ルートを提供するリンク3324を利用する場合と実質的に異なる条件で搬送され得る。リンク3344は、異なる地理的位置での商業パッケージングのためにデバイスを輸送するために用いることができる(このような搬送は、有利なパッケージングコスト、熟練の労働力、法人税優遇措置等により動機付けられ得る)。複合体3340における自律学習システムは、適時の、費用対効果の高い配送を確実にするために、出荷時間(例えば、スケジューラにより)及び経路(例えば、リンク3344)を最適化することができると理解されるべきである。アセットは複合体3350において梱包され、複合体3360において無線リンクを介して遠隔で試験される。一態様では、試験されるデバイスの量及びデバイスが試験されるロットは、複合体3360内の自律システムによって決定することができる。梱包されたデバイスが商業化の承認を受けると、アセットは、道路リンク3344を通って複合体3340に輸送され、その後、道路リンク3370を通って異なるクラスの複合体3375に輸送される。このような複合体は、取引先ベンダーであることができ、複合体3375は、ツールグループ複合体と見なされ得る保管倉庫であり得る。このような複合体は、内部的に、受け取ったアセットのショールームであり得る複合体3365に連結されている。
上で提示及び記載した例示的なシステムの観点から、本開示の主題に従って実施され得る手法は、図34、図35、及び図36のフローチャートを参照するとより良く理解されるであろう。説明を簡略化するために、手法は、一連のブロックとして示され、記載されているが、いくつかの行為は、本明細書に示され、記載されているものとは異なる順序で、及び/又は本明細書に示され、記載されているもの以外のブロックと同時に起こり得るので、本開示の態様は、行為の数又は順序によって限定されないことが理解及び認識されるべきである。更に、図示される行為の全てが、以下で説明する手法を実施するために必要とされるわけではない。ブロックに関連する機能は、ソフトウェア、ハードウェア、これらの組み合わせ、又は任意の他の適切な手段(例えば、デバイス、システム、プロセス、コンポーネント)によって実装されてもよいことを理解されたい。加えて、以下及び本明細書全体を通して開示される手法は、このような手法の、様々なデバイスへの搬送及び移転を容易にするために、製造物品に格納され得ることを更に理解されたい。当業者であれば、手法は、代替的に、状態図など、一連の相互に関係する状態又はイベントとして表され得ることを理解し、認識するであろう。
図34は、コンテキストに即した目標調節を伴う生物学に基づく自律学習の例示的な方法3400のフローチャートを示す。アクト3410において、目標が設定される。目標は、目標又は目的を達成するために用いられる目標コンポーネントの機能に関連する抽象的概念である。目標は、多分野にわたり、様々なセクター(例えば、産業、科学、文化、政治等)に及ぶことができる。概して、アクト3410は、学習システム(例えば、適応的推論エンジン)に結合され得る目標コンポーネントの外部の、又は外部に存在し得るアクターによって実行され得る。目標の多分野性を考慮すると、目標コンポーネントは、複数の機能を有するツール、デバイス、又はシステム、例えば、特定のプロセスを実施するツールシステム(例えば、ツールシステム1910)、又は一連の要求に対して特定の結果を提供するデバイス等であり得る。アクト3420において、ワークピースの測定データなどのデータが受信される。このようなデータは、内部からのもの、例えば、目標を遂行する目標コンポーネント(例えば、コンポーネント1720)で生成されたデータであり得る。一態様では、特定のプロセスを実施する一部として、測定モジュールに関連するセンサ又はプローブを有する一連の検査システムは、適応的知的コンポーネントで受信されたデータを収集することができる。受信されるデータは、人間のエージェント又は機械であり得るアクター(例えば、アクター1990)によって伝達されるデータなど、外部からのものでもあり得る。外部からのデータは、プロセスを推進するために、又は、概して、特定の目標の達成を推進するために利用されるデータであり得る。人間のエージェントは、ツールシステムのオペレータであり得、ツールによって実施されるプロセスに関連する命令又は特定の手続きを提供することができる。アクターの一例は、ツールシステムのシミュレーションを実施するコンピュータ、又は実質的にあらゆる目標コンポーネントであり得る。ツールシステムのシミュレーションは、ツールシステムの配備パラメータを決定するために、又はツールの別の動作条件(例えば、人間のエージェントに危険をもたらす可能性がある又は高コストとなり得る動作条件)を試験するために利用することができると理解されるべきである。受信されるデータは、特定のプロセスに関連するトレーニングデータ若しくは生産データ、又は一般に、特定のコードであり得る。
更なる態様では、受信されるデータは、データ型、又は手続き若しくは機能ユニットと関連付けられ得る。データ型は、実際のデータの高レベルの抽象的概念であり、例えば、ツールシステムでのアニーリング状態においては、アニーリングサイクルのスパンの最中、プログラムされたレベルで温度を制御することができ、ツールシステム内の温度センサによって測定された温度値の時間シーケンスは、シーケンスのデータ型に関連付けられ得る。機能ユニットは、受信した命令のライブラリ、又はツールの動作若しくはツールによって生成されたデータの分析に必要なデータを操作する処理コードパッチに対応し得る。機能ユニットは、ユニットの特定の機能に関連する概念に抽象化することができ、例えば、乗算コードスニペットを乗算概念に抽象化することができる。このような概念は、1つの概念を、(multiply(sequence))、(multiply(matrix))、又は(multiply(constant,matrix))などの複数のデータ型に依存させることができるという点で、オーバーロードさせることができる。更に、機能ユニットに関連する概念は、独立変数に関する2つのベクトルのスカラ積の微分を表す概念を示すことができる微分(derivative(scalar_product(vector,vector))のように、機能ユニットに関連する他の概念を継承することができる。機能的な概念は、それ自体が概念であるクラスと直接的に類似すると理解されるべきである。更に、データ型は、優先順位に関連付けることができ、優先順位に従って、意味ネットワーク内に置かれ得る。同様に、機能的な概念(又はオートボット)もまた、優先順位に関連付けることができ、異なる意味ネットワーク内に置かれ得る。概念の優先順位は動的であり、意味ネットワークにおける概念の活性化を促進することができる。
アクト3430において、受信されたデータから知識が生成される。これは、上述のように、意味ネットワークで表され得る。知識の生成は、意味ネットワーク内で活性化を伝播させることによって達成され得る。このような伝播は、スコアの組み合わせに加えて、概念に割り当てられた状況スコアによって決定することができる。一態様では、スコアの組み合わせは、2つのスコアの加重加算、又は2つ以上のスコアの平均であり得る。スコアの組み合わせの規則は、ツールシステムの条件又は外部アクターから受信した情報入力に応じて、必要に応じて変更することができると理解されるべきである。滅多に活性化されない概念を陳腐化させ、新しい概念により関連性を持たせるために、優先順位は、時間の進行と共に減衰し得ると理解されるべきである。
生成された知識は、完全な情報であり得る。例えば、堆積工程における定常圧力は、定常流量及び定常排気弁角度のような2つの独立変数の矛盾なく定義された数学的関数(例えば、関数に入れる全てのパラメータが、確率的又は未知であるのではなく、決定論的に評価される1価関数)である。或いは、生成された知識は、部分的な理解を表すこともできる。例えば、エッチングレートは、温度に対する既知の関数従属性(例えば、指数関数的依存性)を有し得るが、特定の関係、例えば、エッチングレートと温度との間の関数従属性を決定するパラメータの正確な値、は未知である。
アクト3440において、生成された知識は、更なる知識を自律的に生成するための後の利用のために保存される。一態様では、知識は、メモリの階層に保存され得る。階層は、メモリ内の知識の持続性と、追加の知識を生成するための知識の可読性とに基づいて決定することができる。一態様では、階層の第3層は、受信されたデータインプレッション及び知識が収集され得るエピソードメモリ(例えば、エピソードメモリ2130)であり得る。このようなメモリ層では、概念の操作は重要ではなく、その代わりに、メモリは、ツールシステム又は外部アクターから受信された利用可能な情報の貯蔵部として機能する。一態様では、このようなメモリは、複数のデータ型及び手続き概念が保存され得るメタデータベースとして識別され得る。第2層において、知識は、短期メモリに保存され得る。短期メモリでは、概念を著しく操作することができ、意味ネットワークにおける活性化伝播が起こり得る。このようなメモリ層では、機能ユニット又は手続き概念は、受信されたデータ、及び新たな知識、即ち学習を生成するための概念に対して動作する。第1層のメモリは、知識がアクティブな利用のために維持される長期メモリ(例えば、LTM2110)であり得る。重要な新たな知識は、このメモリ層に保存される。更に、長期メモリ内の知識は、短期メモリ内の機能ユニットによって利用され得る。
アクト3450において、生成された又は保存された知識が利用される。知識は、(i)保存された知識と新たに受信されたデータ(自己認識コンポーネント2150を参照)との間の差を特定することによって目標コンポーネント(例えば、ツールシステム1910)の劣化のレベルを決定するために用いることができ、受信されたデータは、外部からのもの(例えば、入力1730)又は内部からのもの(例えば、出力1740の一部)であり得る、(ii)例えば、(自己概念化コンポーネント2160などで)データパターンを特定すること又は変数間の関係を見出すことによって、外部からのデータ又は内部からのデータのいずれか又はその両方を特徴付けるために用いることができる。変数は、設定された目標を達成するために利用することができる、又は(iii)データを生成するツールシステム(例えば、自己最適化コンポーネント2170)の性能の分析を生成し、ツールシステムの劣化がツールの故障を引き起こす前に予防保守を実施するために、予測された故障又は既存の故障の根本原因の表示及び必要な補修を提供する、又は警報を発するために用いることができる。保存された知識及び生成された知識の利用は、受信されたデータ(それが外部からのものであるか内部からのものであるか)と、結果として生じる生成された知識の影響を受けることに留意すべきである。
アクト3460は、目標の達成度を、生成された知識の観点から検査することができる検証アクトである。設定された目標が達成された場合、例示的な方法3400は終了することができる。或いは、設定された目標が達成されなかった場合、アクト3470において、設定された目標を見直すことができる。後者において、現在の目標が修正又は適応される場合、方法2400のフローは、新たな目標の設定をもたらすことができる。例えば、目標適応は、生成された知識に基づくことができる。現在の目標の修正が遂行されない場合、方法3400のフローは、知識を生成するために戻される。この知識は、現在設定されている目標の遂行を継続するために利用され得る。
図35は、目標コンポーネントの状態に関連する概念の状況スコアを調整する例示的な方法のフローチャート3500を示す。アクト3510において、目標コンポーネントの状態が決定される。状態は、典型的には、様々なデータ入力(例えば、入力1730)により決定され得るコンテキストを通して、又は入力に関連し、特定の関係を示す概念のネットワークを通して確立される。入力データは、目標コンポーネントによって遂行される目標に関連し、例えば、特定の薄膜デバイスのコーティングプロセスのレシピは、「絶縁デバイスを堆積させる」目標に関連する入力と見なすことができる。アクト3520において、目標コンポーネントの状態に適用することができる一連の概念が決定される。このような概念は、アクト3510で入力されたデータ型の抽象的概念であり得る、又はメモリプラットフォーム(例えば、長期メモリ2110、又は短期メモリ2120)内の既存の概念であり得る。概して、記述的概念(例えば、機能コンポーネントのない概念)に作用し得る機能的な概念は、目標達成に向けてより頻繁に利用することができる。アクト3530において、目標状態に関連する一連の概念内の各概念に対する状況スコアが決定される。一連の状況スコアは、概念の利用又は適用の階層を確立することができ、これは、目標適応又は副目標の生成/無作為化のような目標の力学を決定することができる。特定の概念に関する状況スコアの調整は、目標適応の一部としての、目標空間内での目標達成及び伝播を推進することができる。
図36は、推論によって知識を生成する例示的な方法のフローチャート3600を示す。アクト3610において、概念がデータ型に関連付けられ、概念の優先順位が決定される。優先順位は、典型的には、概念の利用確率又は概念の重みに基づいて決定され得る。このような重みは、概念の利用しやすさ(例えば、データ型で操作する複雑さ)を表すことができるパラメータの関数(例えば、加重和又は幾何平均)によって決定され得る。このようなパラメータは、概念の慣性、及び状態を記述する概念(例えば、概念に関連し得るいくつかの隣接する概念)の適切性パラメータによって特定され得る。優先順位は、明示的に時間依存の慣性及び適切性パラメータの結果として、又は概念伝播の結果として、時間依存性であり得ると理解されるべきである。時間依存性の優先順位は、特定の概念に経時変化の側面を導入することができ、したがって、特定の知識シナリオ(例えば、優先順位ベースの知識ネットワークにおけるノード構造)において関連性を失う概念を通じて、知識の柔軟性(例えば、知識(例えば、ナノ構造化デバイスの作製用のレシピなどの、目標を遂行するために用いられるパラダイム)を促進することができる。アクト3620において、一連の優先順位を付けられた概念に対する意味ネットワークが確立される。意味ネットワークは、複数のサブネットワークを含むことができ、複数のネットワークのそれぞれは、クラス内の概念間の一連の関係を特徴付けることができると理解されるべきである。一例として、2層の意味ネットワークでは、第1のサブネットワークは、データ型に由来する概念間の関係を表すことができ、第2のサブネットワークは、データ型に基づいた変更に利用可能な操作を記述する機能的な概念(例えば、プランナオートボット、又は概念的なオートボットであるユーバボット)間の関係を含むことができる。アクト3630において、一連の優先順位が意味ネットワーク上に伝播され、推論が行われ、これにより、概念のネットワークに関連する知識を生成する。一態様では、このような伝播を利用して、目標適応の最適化計画を生成することができる、又は特定の目標を遂行するシステムの故障を予測することができる。
図37は、アセット配送の例示的な方法3700のフローチャートである。アセットは、個々の自律ツール、自律グループツール(例えば、システム2810)、又は自律複合体型ツールシステム(例えば、システム2910)によって提供することができる。アセットは、別の手法でも生成され得ると理解されるべきである。アクト3710において、アセットが受け取られる。一態様では、受け取られたアセットは、1つ以上の自律ツールによって生成された出力アセットから選択されたアセットであり得る。アクト3720において、受け取られたアセットは、配送のために処理される。上述のように、アセットは、典型的には、アセットの生成に用いた知識に関連する利点を持つ。したがって、アセットは、競合によるアセットのリバースエンジニアリングを防止するような手法でパッケージ化され得る。アセットの宛先に応じて、アセットに関連するパッケージング情報はカスタマイズすることができ、アセットを受け取るエンティティが商業取引先であるか、顧客であるか、アセットを製作する組織の他の支店、部門、若しくはグループであるかどうかに少なくとも一部基づいて、異なるレベルの情報を提供すると理解されるべきである。アセットと共にパッケージ化される情報のレベルは、特定のポリシー(例えば、ポリシーストア3292に格納されたポリシー)に従うことができる。更に、データアセット又はコンピュータプログラムアセットについては、このようなアセットは、アセットによって伝達される情報の完全性を保持するために、パッケージ化される間に暗号化され得る。更に、アセットを配送するための処理の一部は、適切な配送スケジュールに従う間、ストレージ(例えば、アセットストア3283)にアセットを保持することを含むことができる。一態様では、このようなスケジュールは、配送されるアセットを製作する又は生産するツールシステムをサポートする自律システム(例えば、システム2960)によって最適化され得る。
アクト3730において、処理されたアセットは配送される。配送は、通常、アセットの特徴及び特性、並びにアセットの宛先に応じる。例えば、アセットは、未完成車両(例えば、アセット)が異なる組立段階を通して搬送され得る組立ラインなどにおいてアセット生産を完了するために、工場プラント内で配送され得る。同様に、食品業界においては、冷凍食品(例えば、アセット)が食品調理プラントの全体にわたって配送される。或いは又は加えて、業界によっては、費用対効果の高い生産市場から利するために、未完成のアセットを、海外に配送して完成させることができる。
アクト3740において、配送されたアセットは、例えば、アセットの配送が該当する配送規制に準拠していることを確実にするために、又はアセットの配送ステータスにアクセスすることにより適切な在庫補充を確実にするために、監視される。更に、アセットの配送を監視することで、損失及び破損を軽減することができることに加えて、商業取引先及び顧客との相互作用を促進することができる。
本明細書に記載される様々な態様又は特徴は、標準的なプログラミング及び/又はエンジニアリング技術を用いて、方法、装置、又は製品として実装されてもよい。本明細書で使用される「製品」という用語は、あらゆるコンピュータ可読デバイス、キャリア、又はメディアからアクセス可能なコンピュータプログラムを包含することを意図している。例えば、コンピュータ可読媒体としては、磁気記憶デバイス(例えば、ハードディスク、フロッピーディスク、磁気ストリップ...)、光ディスク[例えば、コンパクトディスク(CD)、デジタル汎用ディスク(DVD)...]、スマートカード、及びフラッシュメモリデバイス(例えば、カード、スティック、キードライブ...)が挙げられ得るが、これらに限定されない。
上述したものには、請求の主題の例を含む。当然、請求の主題を説明する目的で、構成要素又は手法の考えられる全ての組み合わせを記載することは不可能であるが、当業者であれば、請求の主題の多くの更なる組み合わせ及び置換が可能であることを理解することができる。したがって、請求の主題は、添付の特許請求の範囲の範囲及び趣旨に含まれるそのような全ての変更、修正、及び変形を包含することを意図している。更に、「含む(include)」という用語が詳細な説明又は特許請求の範囲のいずれかで使用されている限り、そのような用語は、「含む(comprising)」がクレーム中で移行語として用いられる場合に解釈されるように、「含む(comprising)」という用語と同様に包括的であるものとされる。

Claims (32)

  1. 1つ以上の処理モジュールと共に実装される、ワークピース上に電子デバイスを製作するために前記ワークピースを前記1つ以上の処理モジュールに出入りさせるための搬送モジュールであって、
    前記ワークピースを移動させるための内部空間を有する搬送チャンバであって、前記ワークピースが処理される1つ以上の処理モジュールに結合されるように構成されている、搬送チャンバと、
    前記搬送チャンバの前記内部空間の内部に配置されており、1つ以上のワークピースを前記内部空間を通して移動させ、前記搬送チャンバに結合された前記1つ以上の処理モジュールに選択的に出入りさせるように構成されている搬送機構と、
    前記搬送チャンバの前記内部空間の専用領域内に位置する測定領域であって、前記ワークピースが処理モジュール内で処理される前又は後の少なくとも一方に、前記測定領域内に前記ワークピースを配置するために前記搬送機構によりアクセス可能である、測定領域と、
    前記測定領域内に配置された前記ワークピースに係合するように構成された検査システムであって、前記ワークピースの属性に関連するデータを測定するように動作可能である、検査システムと、
    を含み、
    前記検査システムは、前記測定領域内に配置されたワークピースを支持するための支持機構の一部として埋め込まれている、搬送モジュール。
  2. 前記搬送チャンバは、製造プラットフォームと結合されるように構成されており、前記製造プラットフォームは、プロセスシーケンスの複数のプロセスを通してワークピースを処理する複数の処理モジュールをホストする、請求項1に記載の搬送モジュール。
  3. 前記製造プラットフォームは、少なくとも1つのエッチングモジュールと少なくとも1つの膜形成モジュールとをホストする、請求項2に記載の搬送モジュール。
  4. 前記支持機構は、前記ワークピースの並進又は前記ワークピースの回転のうちの少なくとも1つを実施するように構成されている、請求項に記載の搬送モジュール。
  5. 前記ワークピースの前記並進は、前記搬送チャンバ内における垂直移動を含む、請求項に記載の搬送モジュール。
  6. 前記支持機構は、前記ワークピースの温度を制御するための少なくとも1つの温度制御要素を含む、請求項に記載の搬送モジュール。
  7. 前記支持機構は、少なくとも1つの自由度を提供するための磁気浮上式ステージを含む、請求項に記載の搬送モジュール。
  8. 前記検査システムは、前記搬送チャンバの前記内部空間の外に配置されており、前記検査システムは、前記ワークピースの属性に関連するデータを測定するために前記内部空間の外側から前記測定領域に検査信号を導くことによって前記ワークピースに係合するように構成されている、請求項1に記載の搬送モジュール。
  9. 前記搬送チャンバと結合されたアクセスポートを更に含み、前記アクセスポートは、前記検査システムから前記内部空間に入り前記測定領域に向かう前記検査信号の通過に対して透過的である、請求項に記載の搬送モジュール。
  10. 前記検査信号は、電磁信号、光信号、粒子ビーム、若しくは荷電粒子ビームのうちの少なくとも1つ、又はこれらの2つ以上の組み合わせを含む、請求項に記載の搬送モジュール。
  11. 前記アクセスポートは、窓、開口、バルブ、シャッタ、若しくは絞り、又はこれらの2つ以上の組み合わせを含む、請求項に記載の搬送モジュール。
  12. 前記検査システムは、前記搬送モジュールの上方に位置している、請求項に記載の搬送モジュール。
  13. 前記検査システムは、前記搬送チャンバの内部空間内に配置され、前記測定領域に近接し、前記検査システムは、前記ワークピースの属性に関連するデータを測定するために、前記測定領域に検査信号を導くことによって前記ワークピースに係合する、請求項1に記載の搬送モジュール。
  14. 前記検査システムは、前記搬送チャンバの内部空間内に配置され、前記測定領域に近接し、前記検査システムは、接触測定若しくは非接触計測、又はこれらの組み合わせのうちの少なくとも1つを実施することによって前記ワークピースに係合する、請求項1に記載の搬送モジュール。
  15. 前記検査システムは、前記搬送チャンバの内部空間内に配置され、前記測定領域に近接し、前記検査システムは、前記ワークピースの表側及び/又は前記ワークピースの裏側のうちの少なくとも1つの測定を実施することによって前記ワークピースに係合する、請求項1に記載の搬送モジュール。
  16. 前記検査システムは、単一の光ビームを生成するように構成された光源を含む、請求項1に記載の搬送モジュール。
  17. 前記検査システムは、前記ワークピース上の粒子を検出し、カウントする、請求項16に記載の搬送モジュール。
  18. 前記搬送チャンバの内部空間及び前記測定領域は、真空環境又は不活性ガス雰囲気のうちの少なくとも1つを含む制御された環境として維持される、請求項1に記載の搬送モジュール。
  19. 1つ以上の処理モジュールと共に実装される、ワークピース上に電子デバイスを製作するために前記ワークピースを前記1つ以上の処理モジュールに出入りさせるための搬送モジュールであって、
    前記ワークピースを移動させるための内部空間を有する搬送チャンバであって、ワークピースが処理される1つ以上の処理モジュールに結合されるように構成されている、搬送チャンバと、
    前記ワークピースを移動させるための内部空間を有する通過チャンバであって、前記搬送チャンバと別のチャンバとの間に配置されており、前記別のチャンバは、処理モジュール又は別の搬送チャンバを含む、通過チャンバと、
    前記搬送チャンバの前記内部空間の内部に配置されており、1つ以上のワークピースを前記内部空間を通して移動させ、前記1つ以上の処理モジュール、又は前記搬送チャンバに結合された前記通過チャンバに選択的に出入りさせるように構成されている、搬送機構と、
    前記通過チャンバの内部空間の専用領域内に位置する測定領域であって、前記ワークピースが処理モジュール内で処理される前又は後の少なくとも一方に、前記測定領域内に前記ワークピースを配置するために前記搬送機構によりアクセス可能である、測定領域と、
    前記測定領域内に配置された前記ワークピースに係合するように構成された検査システムであって、前記ワークピースの属性に関連するデータを測定するように動作可能である、検査システムと、
    を含み、
    前記検査システムは、前記通過チャンバの内部空間の外に配置されており、前記検査システムは、前記ワークピースの属性に関連するデータを測定するために前記内部空間の外側から前記測定領域に検査信号を導くように構成されている、搬送モジュール
  20. 複数の前記処理モジュールは、少なくとも1つの膜形成モジュールと少なくとも1つのエッチングモジュールとを含む、請求項19に記載の搬送モジュール
  21. 前記搬送チャンバと結合されたアクセスポートを更に含み、前記アクセスポートは、前記検査システムから前記内部空間に入り前記測定領域に向かう前記検査信号の通過に対して透過的である、請求項19に記載の搬送モジュール
  22. 前記検査システムは、
    電磁信号、光信号、粒子ビーム、又は荷電粒子ビームのうちの少なくとも1つを、前記測定領域内に配置された前記ワークピースの表面上に入射するように導くための少なくとも1つの信号源と、
    前記ワークピースの属性に関連するデータを測定するために、前記ワークピースの表面から反射された電磁信号、光信号、粒子ビーム、又は荷電粒子ビームのうちの少なくとも1つを受信するように配置された少なくとも1つの検出器と、
    を含む、請求項19に記載の搬送モジュール
  23. 前記検査システムは、以下、即ち、層厚さ、層の付きまわり、層の被覆範囲、層のプロファイル、エッジ配置位置、エッジ配置誤差(EPE)、クリティカルディメンション(CD)、ブロッククリティカルディメンション(CD)、グリッドクリティカルディメンション(CD)、ライン幅粗さ(LWR)、ラインエッジ粗さ(LER)、ブロックLWR、グリッドLWR、選択的堆積に関する特性、選択性エッチングに関する特性、物性、光学的性質、電気的性質、屈折率、抵抗、電流、電圧、温度、質量、速度、加速度、又はワークピース上に製作される電子デバイスに関連するこれらの組み合わせのうちの1つ以上を含む属性に関連するデータを測定するように動作可能である、請求項19に記載の搬送モジュール
  24. 前記検査システムは、以下の技術又はデバイス、即ち、
    反射光測定、干渉法、スキャトロメトリ、プロフィロメトリ、エリプソメトリ、X線光電子分光法、イオン散乱分光法、低エネルギーイオン散乱(LEIS)分光法、オージェ電子分光法、二次イオン質量分析法、反射吸収赤外分光法、電子ビーム検査、粒子計数デバイス、光学検査、ドーパント濃度計測、膜抵抗率計測、マイクロバランス、加速度計、電圧プローブ、電流プローブ、温度プローブ、ひずみゲージのうちの少なくとも1つを使用して、前記ワークピースの属性に関連するデータを測定するように動作可能である、請求項19に記載の搬送モジュール
  25. 前記通過チャンバの内部空間及び前記測定領域は、真空環境又は不活性ガス雰囲気のうちの少なくとも1つを含む制御された環境として維持される、請求項19に記載の搬送モジュール
  26. 1つ以上の処理モジュールと共に実装される、ワークピース上に電子デバイスを製作するために前記ワークピースを前記1つ以上の処理モジュールに出入りさせるための搬送モジュールであって、
    前記ワークピースを移動させるための内部空間を有する搬送チャンバであって、前記搬送チャンバの外周部の周りに配置された1つ以上の搬送ポートを含む、搬送チャンバと、
    前記搬送チャンバの前記内部空間の内部に配置されており、前記ワークピースを前記内部空間内の水平面に沿って移動させ、対応する搬送ポートに対向する前記1つ以上の処理モジュールに選択的に出入りさせるように構成されている、搬送機構と、
    前記搬送チャンバに結合された光検出システムであって、前記水平面に対向して、前記搬送チャンバの前記外周部内に配置された、前記ワークピースが前記搬送ポートを通って前記処理モジュールに出入りする際に前記ワークピースへのアクセスを提供する、センサ開口部を含む、光検出システムと、
    を含む、搬送モジュール。
  27. 前記センサ開口部は、対応する処理モジュールに近接して配置されている、請求項26に記載の搬送モジュール。
  28. 前記光検出システムは、画像捕捉デバイスと、光源と、メモリに記憶された画像を分析するための画像処理システムと、を含む、請求項26に記載の搬送モジュール。
  29. 前記光検出システムは、表面分析コンポーネントを含む、請求項26に記載の搬送モジュール。
  30. 前記光検出システムは、パターン分析コンポーネントを含む、請求項26に記載の搬送モジュール。
  31. 前記光検出システムは、厚さ分析コンポーネントを含む、請求項26に記載の搬送モジュール。
  32. 前記光検出システムは、応力分析コンポーネントを含む、請求項26に記載の搬送モジュール。
JP2020550721A 2018-03-20 2019-03-18 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 Active JP7402399B2 (ja)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201962787608P 2019-01-02 2019-01-02
US201962787607P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962787874P 2019-01-03 2019-01-03
US62/787,874 2019-01-03
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04
PCT/US2019/022707 WO2019182952A1 (en) 2018-03-20 2019-03-18 Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Publications (2)

Publication Number Publication Date
JP2021518672A JP2021518672A (ja) 2021-08-02
JP7402399B2 true JP7402399B2 (ja) 2023-12-21

Family

ID=67987486

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020550721A Active JP7402399B2 (ja) 2018-03-20 2019-03-18 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法

Country Status (6)

Country Link
US (2) US20200006100A1 (ja)
JP (1) JP7402399B2 (ja)
KR (1) KR102648517B1 (ja)
CN (1) CN112106182A (ja)
TW (2) TWI828666B (ja)
WO (1) WO2019182952A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200108876A (ko) * 2018-01-26 2020-09-21 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
US11241720B2 (en) 2018-03-22 2022-02-08 Tel Manufacturing And Engineering Of America, Inc. Pressure control strategies to provide uniform treatment streams in the manufacture of microelectronic devices
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US11681929B2 (en) * 2018-10-02 2023-06-20 Honeywell International Inc. Methods and systems for predicting a remaining useful life of a component using an accelerated failure time model
US11097485B2 (en) * 2019-05-07 2021-08-24 Solar Turbines Incorporated System and method for resource estimation of additive manufacturing
DE102019121281A1 (de) * 2019-08-07 2021-02-11 Netzsch-Gerätebau GmbH Datenerfassungssystem, System und Verfahren für Echtzeit-Inline-Überwachung von industriellen Herstellungsprozessen
JP2021108367A (ja) * 2019-12-27 2021-07-29 株式会社Screenホールディングス 基板処理装置、基板処理方法、基板処理システム、及び学習用データの生成方法
US11830779B2 (en) * 2020-08-12 2023-11-28 Applied Materials, Inc. In-situ etch material selectivity detection system
JP2022036757A (ja) * 2020-08-24 2022-03-08 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
TWI757907B (zh) * 2020-10-07 2022-03-11 財團法人國家實驗研究院 一種在真空環境中叢集式即時線上製程暨分析傳輸系統
KR20220056600A (ko) * 2020-10-28 2022-05-06 삼성전자주식회사 반도체 소자의 제조 장치
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
EP4256410A1 (en) * 2020-12-03 2023-10-11 Elisa Oyj Monitoring and control of a semiconductor manufacturing process
CN112880737B (zh) * 2021-01-14 2023-05-30 四川雅吉芯电子科技有限公司 一种单晶硅外延片检测用集成系统
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters
EP4318260A4 (en) 2021-03-31 2024-05-22 Fuji Corp DATA SAVING SYSTEM
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
KR102622144B1 (ko) * 2021-09-06 2024-01-05 주식회사 한화 반도체 증착 시스템 및 이의 동작 방법
US20230185268A1 (en) * 2021-12-10 2023-06-15 Applied Materials, Inc. Eco-efficiency monitoring and exploration platform for semiconductor manufacturing
US20230420276A1 (en) * 2022-06-28 2023-12-28 Inchfab, Inc. Integrated benchtop semiconductor processing cells and semiconductor fabs formed from such cells and semiconductor tool libraries
US20230416906A1 (en) * 2022-06-28 2023-12-28 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN117974719A (zh) * 2024-03-28 2024-05-03 深圳新联胜光电科技有限公司 一种光学透镜的加工跟踪与检测方法、系统及介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP2009543355A (ja) 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
US6830942B1 (en) * 1999-04-06 2004-12-14 Lucent Technologies Inc. Method for processing silicon workpieces using hybrid optical thermometer system
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
JP4696373B2 (ja) * 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US6642066B1 (en) * 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7129694B2 (en) * 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
CN101484973A (zh) * 2006-07-03 2009-07-15 应用材料股份有限公司 用于先进前段工艺的群集设备
US7428044B2 (en) * 2006-11-16 2008-09-23 Tokyo Electron Limited Drift compensation for an optical metrology tool
US7674350B2 (en) * 2007-01-22 2010-03-09 Infineon Technologies Ag Feature dimension control in a manufacturing process
JP4884345B2 (ja) * 2007-09-28 2012-02-29 株式会社山武 画像処理装置
WO2014088918A1 (en) * 2012-12-03 2014-06-12 Applied Materials, Inc Semiconductor device processing tools and methods for patterning substrates
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
JP2019140220A (ja) * 2018-02-09 2019-08-22 東芝メモリ株式会社 半導体処理装置および半導体処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP2009543355A (ja) 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Also Published As

Publication number Publication date
TWI828666B (zh) 2024-01-11
TWI828667B (zh) 2024-01-11
US20200043764A1 (en) 2020-02-06
JP2021518672A (ja) 2021-08-02
KR102648517B1 (ko) 2024-03-15
US20200006100A1 (en) 2020-01-02
WO2019182952A1 (en) 2019-09-26
TW201946178A (zh) 2019-12-01
TW201946177A (zh) 2019-12-01
CN112106182A (zh) 2020-12-18
KR20200124315A (ko) 2020-11-02

Similar Documents

Publication Publication Date Title
JP7348440B2 (ja) 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
JP7402399B2 (ja) 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
TWI524189B (zh) 用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置
TWI525407B (zh) 用於自主學習和自主改進半導體製造工具之方法和系統
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
KR20230140535A (ko) 기판 제조 동안 패턴화된 기판 속성들을 측정하기 위한 방법들 및 메커니즘들
TW202414132A (zh) 用於防止機器學習模型性能的波動的方法和機制

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231010

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20231031

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231031

R150 Certificate of patent or registration of utility model

Ref document number: 7402399

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150