KR20200124315A - 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법 - Google Patents

통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법 Download PDF

Info

Publication number
KR20200124315A
KR20200124315A KR1020207029988A KR20207029988A KR20200124315A KR 20200124315 A KR20200124315 A KR 20200124315A KR 1020207029988 A KR1020207029988 A KR 1020207029988A KR 20207029988 A KR20207029988 A KR 20207029988A KR 20200124315 A KR20200124315 A KR 20200124315A
Authority
KR
South Korea
Prior art keywords
measurement
module
transfer
data
platform
Prior art date
Application number
KR1020207029988A
Other languages
English (en)
Other versions
KR102648517B1 (ko
Inventor
로버트 클라크
에릭 리우
안젤리크 레일리
홀거 투잇제
케빈 세이퍼링
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200124315A publication Critical patent/KR20200124315A/ko
Application granted granted Critical
Publication of KR102648517B1 publication Critical patent/KR102648517B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4189Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the transport system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31014Synchronization between AGV movement and workpiece treatment chambers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시물은 시스템의 제어된 환경(예를 들어, 대기압 이하)을 벗어나지 않으면서, 반도체 공정 순서에서 소재를 처리 및 측정하기 위한 대량 제조 시스템에 관한 것이다. 시스템 공정 챔버들은 제어된 환경에서, 소재를 공정 챔버들 사이에서 이동시키기 위해 사용되는 이송 챔버를 통해 서로 연결된다. 이송 챔버는 측정 동안 소재를 병진 이동 및/또는 회전시킬 수 있는 전용 소재 지지 척과 함께 측정 영역을 포함한다.

Description

통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
관련 출원에 대한 상호 참조
본 출원은, "통합형 계측을 사용하는 기판 공정 도구 및 사용 방법"이라는 명칭으로 2018년 3월 20일자로 출원된 미국 가출원 제62/645,685호, "통합형 반도체 공정 모듈을 포함하는 자기 인식(Self-Aware) 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법"이라는 명칭으로 2019년 1월 2일자로 출원된 미국 가출원 제62/787,607호, "통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법"이라는 명칭으로 2019년 1월 2일자로 출원된 미국 가출원 제62/787,608호, 및 "통합형 계측을 사용하는 기판 공정 도구 및 사용 방법"이라는 명칭으로 2019년 1월 4일자로 출원된 미국 가출원 제62/788,195호, 및 "통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법"이라는 명칭으로 2019년 1월 3일자로 출원된 미국 가출원 제62/787,874호의 이익을 주장하며, 이들은 그 전체 내용이 본원에 참조로 포함된다.
본 발명은 기판 공정(processing)에 관한 것으로서, 보다 구체적으로는, 보정 공정을 제공하기 위해, 효율적인 플랫폼에서 통합형 기판 공정 그리고 기판 측정 및 계측을 수행하도록 구성된 통합형 기판 공정 시스템 및 모듈에 관한 것이다.
반도체 제조 산업은 기판 상에 형성된 소자 구조물의 복잡도가 증가하고 더 큰 수율이 요구됨에 따라, 또 다른 개혁을 통해 진화하고 있다. 또한, 산업은 소자 제조를 위한 다양한 공정의 컴퓨터화 및 디지털화 증대에 의해 주도되고 있다.
보다 구체적으로, 집적회로를 형성하기 위한 기판 공정에서, 수율을 증대시키고 제조 공정의 효율 및 처리량을 증대시키는 것이 더욱 중요해졌다. 이러한 효율은 제조 공정에 소요되는 시간의 단축, 보다 정확하고 결함이 없는 공정으로 실현될 뿐만 아니라, 이러한 개선으로 인한 비용 절감으로 실현된다. 공정 단계가 적절하게 진행되고 있고, 생성된 다양한 층 및 형상부(feature)가 적절한 치수, 정렬 및 일관성을 갖는지를 결정하는 것이 또한 바람직하다. 즉, 예를 들어, 추가적인 공정으로 보정되거나 개선됨으로써, 또는 기판이 방출됨으로써, 결함이 더 빨리 검출되어 해결될 수 있을수록, 공정은 더 효율적이게 된다.
수율은 유지 및 증가되어야 할 뿐만 아니라, 이는 더 소형의 그리고 더 복잡한 소자의 제조 범위 내에서 이루어져야 한다. 예를 들어, 트랜지스터와 같은 더 소형 회로가 제조됨에 따라, 임계 치수(CD) 또는 해상도의 패터닝된 형상부를 제조하기가 점점 더 어려워지고 있다. 자체 정렬 패터닝은 극자외선(EUV) 리소그래피의 도입 이후에도 비용 효율적인 비례 축소(scaling)가 계속될 수 있도록 오버레이 중심의 패터닝을 대체할 필요가 있다. 변동성을 감소시키고, 비례 축소를 확장시키며, CD 및 공정 제어를 향상시킬 수 있게 하는 패터닝 옵션이 필요하다. 그러나, 합리적으로 낮은 비용으로 비례 축소된 소자를 제조하는 것은 극히 어려워졌다. 선택적 에칭과 함께, 선택적 증착은 첨단 패터닝과 관련된 비용을 크게 감소시킬 수 있다. 갭 충전과 같은 박막의 선택적 증착, 특정 기판 상의 유전체 및 금속의 영역 선택적 증착, 그리고 선택적 하드 마스크는 고도의 비례 축소 기술로의 패터닝에서 핵심 단계이다.
이러한 제조 기술에서, 에칭 및 증착 단계가 사양 내에 있도록 보장하기 위해 다양한 공정을 모니터링하고, 공정의 편차를 검출하는 것이 필요하다. 제조 공정의 편차는 제조 공정을 위한 의도된 또는 설계된 목표 사양과의 편차를 포함할 수 있다. 일반적으로, 편차의 원인은 입자 오염과 같은 결함으로서 분류될 수 있거나, 소자 또는 패턴에서의 부정합(non-conformity) 또는 파라미터 편차로서 분류될 수 있다. 이러한 파라미터 편차의 예는 CD, 프로파일, 깊이, 두께 등의 변화를 포함한다. 이러한 편차는 로트간(lot-to-lot) 편차, (로트 내의) 기판간 편차, 기판내 편차, 및 다이내(within-die) 편차로서 발생할 수 있다.
따라서, 소자 제조사는 다양한 공정을 검증 및 모니터링하는 상당한 양의 제조 자원을 현재 사용하고 있다. 그러나, 이러한 자원은 처리량 및 생산량에 도움이 되지 않으며, 결과적으로, 제조사에게 전적으로 비용이다. 또한, 공정이 사양을 벗어나는 경우, 그리고 기판의 형상부가 제대로 제조되지 않은 경우, 기판을 생산에서 제거해야 할 수 있다. 현재, 제조 공정을 검증 및 모니터링하기 위해, 소자 제조사는 다양한 별도의 측정 및/또는 계측 단계를 사용한다. 공정 단계 사이에서, 또는 중요한 공정 순서 사이에서, 계측 단계의 구현이 사용되지만, 현재는 기판 및 공정 환경 제어를 저하시키는 것을 수반한다.
구체적으로는, 현재의 계측 단계의 경우, 진공상태에 있는 공정 환경으로부터 기판이 제거되어, 대기에서 계측 시스템 또는 키오스크로 이동된 다음, 공정 환경으로 복귀된다. 공정 단계 사이에서 그리고 공정 챔버 사이에서 수행되는 통상적인 측정 시에는, 공기 및 오염물이 공정 및 기판에 노출된다. 이는 하나 이상의 처리된 층을 화학적으로 또는 달리 변형시킬 수 있다. 또한, 이는 기판이 진공상태 또는 다른 제어된 환경으로부터 인출된 다음 계측 키오스크로 도입되어야 하는 경우 임의의 측정에서 불확실성을 유발한다. 따라서, 제조사는 이들이 측정하고 있다고 이들이 판단하는 파라미터를 이들이 측정하고 있는지를 확신하지 못할 수 있다. 따라서, 3차원 소자/아키텍처에서 형상부 크기가 더 작아짐에 따라, 현재의 모니터링 기술과 측정 및 계측 방법은 부적합하다.
더욱이, 계측 방법은 제조 사이클에 방해가 되고, 제조 공정의 효율 및 처리량을 제한시키기 때문에, 이러한 계측 단계는 처리량에 크게 영향을 주지 않도록 최소화된다. 결과적으로, 사양을 벗어나는 특정 공정과 그러한 사실의 인식 사이에 흔히 시간 지연이 있을 수 있다. 이 또한 수율에 악영향을 준다.
현재의 제조 관례의 추가적인 단점은, 증착 모듈을 갖는 시스템과 같은 플랫폼으로부터 기판을 지속적으로 인출하여, 에칭 모듈 또는 일부 다른 공정 모듈을 갖는 시스템과 같은 다른 플랫폼으로 이송해야 한다는 점이다. 제조는 대규모의 일련의 다양한 증착 및 에칭 및 다른 공정 단계들을 포함하기 때문에, 시스템으로부터 기판을 인출하고, 이송하여, 다른 시스템 내에 재도입시키고, 진공상태 또는 일부 다른 제어된 환경을 재적용해야 하는 것은, 공정에 추가적인 시간과 비용을 유발한다. 중간의 측정 또는 계측 방법은 제조를 위한 시간 및 비용을 가중시킬 뿐이다. 제어된 환경으로부터의 지속적인 인출 및 이송은 기판 파손 및 오염의 발생도 추가로 유발한다.
또한, 이해될 수 있는 바와 같이, 증착 단계, 에칭 단계 및 다른 공정 단계에 관련된 수많은 시스템 및 플랫폼 뿐만 아니라, 별도의 측정/계측 시스템은, 부동산 또는 건평이 이미 고비용이고 품귀 상태인 클린룸 환경 내에서 상당한 하드웨어 점유공간을 유발한다.
따라서, 제조 동안 공정을 검증 및 모니터링하는 기능을 유지하면서, 더 소형 회로 소자 및 형상부를 포함하는 기판 공정을 개선하는 것이 바람직하다. 기판이 진공상태로부터 대기로 인출된 다음, 추가적인 공정을 위한 공정 챔버에 진공상태로 다시 후속적으로 배치되어야 하는 경우의 수를 제조 동안 감소시키는 것이 바람직하다. 또한, 사양을 벗어나는 공정 또는 기판과 제조사 또는 소자 제조사에 의한 그러한 문제의 인식 사이의 지연 시간을 감소시킴으로써, 이들이 더 신속하게 대응할 수 있도록 하는 것이 바람직하다. 또한, 장비를 지속적으로 자동화하고, 공정 데이터를 활용하여 제조 공정에서 사람의 개입을 줄임으로써, 명시적 최적화 및 완전한 의사 결정 자동화를 유도하는 것이 바람직하다.
따라서, 현재의 제조 공정 및 장비 플랫폼의 단점을 전반적으로 해결할 필요가 있다.
본 개시물은, 플랫폼의 공정 챔버에서 처리되기 전 및/또는 후에 소재(workpiece)를 측정하기 위해 통합된 계측 기기를 포함하는 대량 제조 플랫폼에 관한 것이다. 공정 챔버에 연결된 이송 챔버는 플랫폼 내에서 측정이 수행될 수 있게 하기 위해 계측 센서와 통합되며, 독립형 계측 도구와는 통합되지 않는다. 이 경우, 플랫폼의 제어된 환경 내에 소재를 유지시킴으로써, 소재 이동을 감소시켜서 상이한 환경에 소재가 노출되는 것이 최소화되어, 입자가 추가될 가능성이 감소한다.
일 실시형태에서, 공정 시스템은 소재의 이동을 위한 내부 공간을 갖는 이송 챔버를 포함하며, 이송 챔버는 소재가 처리되는 하나 이상의 공정 모듈에 연결되도록 구성된다. 이송 챔버는 이송 챔버의 내부 공간의 내부에 위치된 이송 기구를 포함하며, 이송 기구는, 내부 공간을 통하여 그리고 이송 챔버에 연결된 하나 이상의 공정 모듈의 안과 밖으로 선택적으로 하나 이상의 소재를 이동시키도록 구성된다. 추가적으로, 이송 챔버의 내부 공간은, 소재의 특성을 검출하기 위해 소재가 검사 시스템에 의해 측정될 수 있는 측정 영역을 포함한다. 측정 영역은, 측정 동안 소재를 지지, 병진 이동, 및/또는 회전시키기 위한 지지 기구를 포함할 수 있다. 경우에 따라, 지지 기구는, 측정 동안 소재의 온도를 모니터링하거나 변경하기 위한 온도 제어 시스템을 포함할 수 있다.
본 발명의 실시형태 및 이의 많은 수반되는 장점에 대한 보다 완전한 이해는 특히 첨부된 도면과 함께 고려될 때, 이하의 상세한 설명을 참조하여 용이하게 명백해질 것이며, 첨부된 도면으로서,
도 1은 본 발명을 구현하기 위한 반도체 제조 공정 흐름의 개략도이다.
도 2는 본 발명의 일 실시형태를 구현하는 반도체 제조 공정 흐름의 개략도이다.
도 3은 본 발명의 일 실시형태에 따른 반도체 제조 플랫폼의 개략도이다.
도 4는 본 발명의 일 실시형태에 따른 공정 및 측정 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 5a는 본 발명의 다른 실시형태에 따른 공정 및 측정 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 5b는 본 발명의 일 실시형태에 따른 공통 플랫폼에 통합된 측정 모듈의 부분 단면의 측면도이다.
도 5c는 본 발명의 다른 실시형태에 따른 공통 플랫폼에 통합된 측정 모듈의 부분 단면의 측면도이다.
도 5d는 본 발명의 다른 실시형태에 따른 공통 플랫폼에 통합된 측정 모듈의 부분 단면의 측면도이다.
도 5e는 본 발명의 일 실시형태에 따른 검사 시스템의 개략적인 평면도이다.
도 5f는 본 발명의 다른 실시형태에 따른 공통 플랫폼에 통합된 측정 모듈의 부분 단면의 측면도이다.
도 6a는 본 발명의 다른 실시형태에 따른 공정 및 측정 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 6b는 본 발명의 일 실시형태에 따른 공통 플랫폼에 통합된 측정 모듈의 부분 단면의 측면도이다.
도 7a는 본 발명의 다른 실시형태에 따른 공정 및 측정 이송 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 7b는 본 발명의 일 실시형태에 따른 공통 플랫폼에 통합된 이송 측정 모듈의 부분 단면의 측면도이다.
도 7c는 본 발명의 다른 실시형태에 따른 공통 플랫폼에 통합된 이송 측정 모듈의 부분 단면의 측면도이다.
도 7d는 본 발명의 일 실시형태에 따른 소재 이송 기구의 평면도이다.
도 7e는 도 7d의 소재 이송 기구의 측면도이다.
도 7f 및 도 7g는 본 발명에 따른 측정 모듈에 사용하기 위한 검사 시스템의 개략도이다.
도 7h 및 도 7i는 각각, 본 발명에 따른 소재 측정을 위한 지지 플랫폼의 사시도 및 측단면도이다.
도 8은 본 발명의 일 실시형태에 따른 반도체 제조 플랫폼의 개략도이다.
도 8a는 본 발명의 일 실시형태에 따른 공정 및 측정 이송 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 8b는 본 발명의 다른 실시형태에 따른 공정 및 측정 이송 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 9는 본 발명의 다른 실시형태에 따른 공정 및 측정 이송 모듈을 통합하는 공통 플랫폼의 평면도이다.
도 9a 및 도 9b는 본 발명의 다른 실시형태에 따른 공통 플랫폼에 통합된 이송 측정 모듈의 부분 단면의 측면도이다.
도 10a는 본 발명의 일 실시형태에 따른 반도체 제조 플랫폼의 개략도이다.
도 10b는 본 발명의 다른 실시형태에 따른 반도체 제조 플랫폼의 개략도이다.
도 10c는 본 발명의 일 실시형태에 따른 반도체 제조에 사용하기 위한 공정 모듈의 개략도이다.
도 10d는 본 발명의 일 실시형태에 따른 반도체 제조에 사용하기 위한 공정 모듈의 개략도이다.
도 10e는 본 발명의 일 실시형태에 따른 반도체 제조에 사용하기 위한 공정 모듈의 개략도이다.
도 11은 본 발명의 실시형태에 따른 능동 차단 제어 시스템 및 구성 요소의 개략적인 블록도이다.
도 12는 본 발명의 실시형태에 따른 차단 제어 시스템을 구현하기 위한 컴퓨터 시스템의 개략적인 블록도이다.
도 13a 내지 도 13e는 본 발명의 실시형태에 따른 영역 선택적 막 형성물이 있는 소재의 개략적인 단면도를 도시한다.
도 14는 본 발명의 실시형태에 따라 통합형 소재 공정, 측정/계측 및 능동 차단을 수행하기 위한 공정 흐름도이다.
도 14a는 본 발명의 실시형태에 따라 통합형 소재 공정, 측정/계측 및 능동 차단을 수행하기 위한 공정 흐름도이다.
도 14b는 본 발명의 실시형태에 따라 통합형 소재 공정, 측정/계측 및 능동 차단을 수행하기 위한 공정 흐름도이다.
도 15는 본 발명에 따라 능동 차단을 제공하기 위해 측정 및 분석을 수행하기 위한 흐름도이다.
도 16은 능동 차단의 선택적 경로의 흐름도이다.
도 17은 자율 생물학적 기반 학습 도구의 상위 레벨 블록도를 도시한다.
도 18은 본원에 설명된 양태에 따라 상황별(contextual) 목표 조정을 도시하는 도면이다.
도 19는 예시적인 자율 생물학적 기반 학습 도구의 상위 레벨 블록도를 도시한다.
도 20은 자율 생물학적 기반 학습 시스템을 이용할 수 있는 반도체 제조를 위한 예시적인 도구 시스템의 도면이다.
도 21은 자율 생물학적 기반 학습 시스템의 예시적인 아키텍처의 상위 레벨 블록도를 도시한다.
도 22a 및 도 22b는 예시적인 오토봇(autobot) 구성 요소 및 예시적인 오토봇 아키텍처를 각각 도시한다.
도 23은 자율 생물학적 기반 학습 시스템의 자기 인식 구성 요소의 예시적인 아키텍처를 도시한다.
도 24는 본원에 설명된 양태에 따라 인식 작업 메모리에서 작업하는 예시적인 오토봇의 도면이다.
도 25는 자율 생물학적 기반 학습 시스템의 자기 개념화(self-conceptualization) 구성 요소의 예시적인 실시형태를 도시한다.
도 26은 자율 생물학적 기반 학습 시스템의 자기 최적화(self-optimization) 구성 요소의 예시적인 실시형태를 도시한다.
도 27a 및 도 27b는 본 개시물의 일 양태에 따라 생성된, 단일 예측 비교기 및 2방식(two recipe) 비교기와 함께 예시적인 종속성 그래프를 각각 도시한다.
도 28은 본원에 설명된 양태에 따라 자율 생물학적 기반 학습 도구 시스템의 예시적인 그룹 전개의 도면을 도시한다.
도 29는 본원에 설명된 양태에 따른 자율 도구 시스템의 집합체(conglomerate) 전개의 도면을 도시한다.
도 30은 본 명세서에 설명된 자율 도구 시스템의 모듈식 및 반복 결합 특성을 도시한다.
도 31은 본원에 설명된 양태에 따라 자산 생성을 위한 다중 스테이션 공정을 평가하고 이에 대해 리포트하는 예시적인 시스템을 도시한다.
도 32는 본원에 설명된 양태에 따라 도구 집합체 시스템에 의해 자율적으로 생성되는 출력 자산을 분배할 수 있는 예시적인 자율 시스템의 블록도이다.
도 33은 자산(예를 들어, 완제품, 부분 완제품 등)에 대해, 설계에서부터 제조 및 마케팅에 이르기까지, 자율적으로 결정된 분배 단계의 일 실시예를 도시한다.
도 34는 본원에 설명된 양태에 따라 생물학적 기반 자율 학습을 위한 예시적인 방법의 흐름도를 나타낸다.
도 35는 본 명세서에 설명된 양태에 따라 개념의 상황 스코어를 조정하기 위한 예시적인 방법의 흐름도를 나타낸다.
도 36은 본원에 설명된 양태에 따라 지식을 생성하기 위한 예시적인 방법의 흐름도를 나타낸다.
도 37은 본원에 개시된 양태에 따라 자산 분배를 위한 예시적인 방법의 흐름도를 나타낸다.
본원에 설명된 실시형태에 따라, 진공상태 또는 제어된 환경을 방해하지 않으면서, 통상적인 플랫폼을 통해 달리 달성 가능하지 않는, 불가결한 종단간(end-to-end) 공정 흐름을 가능하게 하기 위해, 장비 모듈이 공통 제조 플랫폼에 통합된다. 공통 플랫폼은 진공상태 또는 제어된 환경을 방해하지 않으면서, 공정 단계 사이에서 기판 제조사 진행률을 모니터링하는 계측 또는 측정 모듈과 이종 장비 및 공정 모듈을 통합한다. 통합형 계측 또는 측정 구성 요소는 현장(in-situ) 장비 모듈 진단 및 가상 계측과 함께, 웨이퍼에 대한 데이터를 수집하고, 공정 순서 흐름 내의 업스트림 및 다운스트림에서 장비 데이터를 수집한다. 데이터는 장비 및 공정 제어 모델과 조합됨으로써, 결함을 예측 및 검출하고, 유지 보수를 예측하며, 공정 편차를 안정화시키고, 공정을 보정하여 생산성 및 수율을 달성하기 위한 조치 가능한 정보를 생성한다. 장비 및 공정 제어 모델을 설정하기 위해, 모든 데이터(즉, 장비 모듈 로그, 이송 모듈 로그, 플랫폼 로그, 제조공장 호스트 등으로부터의 데이터)가 통합되어, 딥 러닝 알고리즘을 포함하는 분석 기술과 조합됨으로써, 장비 및 공정 제어 파라미터와 기판 또는 웨이퍼에 대한 공정 결과 사이의 관계를 파악한다. 공통 플랫폼에서 부분적으로 호스팅될 수 있는 능동 차단 제어 시스템은 업스트림 및 다운스트림 공정 모듈에서 보정 공정을 수행하여, 검출된 부정합, 결함, 또는 다른 편차를 해결한다.
본 발명에 따라, 장비, 데이터 및 지식을 통해 구축된 계층적 지식 베이스, 설정된 공정 기술, 장비 및 공정 상태를 모니터링하기 위한 가상 계측 데이터를 포함하는 센서 및 계측 데이터가 데이터 활용에 제공된다. 데이터 처리 기술 및 알고리즘 지식, 그리고 공정 및 장비 모델은, 장비 및 공정 제어 파라미터를 수율 및 생산성에 연관시키기 위해 사용된다. 거시적 장비 및 공정 제어 모델이 전개될 수 있다. 공정 시뮬레이션, 측정 및 계측 데이터와 진단, 그리고 데이터 분석은 예측적 및 예방적 공정 및 조치를 유도하여, 장비 가동 시간을 개선할 수 있고, 공정을 최적화할 수 있으며, 공정 편차를 제어할 수 있다. 이는 수율 및 생산성을 개선한다. 본 발명은 다른 장점 중에서도, 가상 계측(VM), 공정 편차를 모니터링 및 제어하기 위한 가동간(run-to-run: R2R) 제어, 장비 및/또는 공정이 제어 한계를 벗어나서 작업하고 있음을 조작자에게 경보하기 위한 통계적 공정 제어(SPC), 첨단 공정 제어(APC), 결함 검출 및 분류(FDC), 결함 예측, 장비 상태 모니터링(EHM), 예측적 유지 보수(PM), 예측적 스케줄링, 수율 예측을 제공하기 위해, 수집된 데이터를 사용할 수 있다.
본 발명의 실시형태는 통합형 기판 공정 및 기판 계측을 수행하도록 구성된 공정 모듈 및 도구의 플랫폼, 그리고 기판 또는 소재를 처리하는 방법을 설명한다. 여기서, 공정의 대상물인 소재는 "소재", "기판" 또는 "웨이퍼"로 지칭될 수 있다. 처리되는 소재는 진공상태로 유지된다. 즉, 측정/계측 방법 및 모듈은 공정 모듈 및 시스템, 공정 챔버 및 도구, 그리고 전체 제조 플랫폼과 함께 통합됨으로써, 소재 표면, 형상부, 및 그 위에 있는 소자의 특성과 같은 소재의 특성과 관련된 데이터를 수집하기 위해, 진공 환경에서 공정 전, 공정 동안 또는 공정 후에 사용된다. 그 다음, 수집된 측정/계측 데이터는, 공정 단계와 관련하여 실시간으로, 공정 단계, 공정 모듈 작업, 및 전체 공정 시스템에 영향을 주기 위해 사용된다. 본 발명은 시스템의 공정 단계/공정 모듈 중 하나 이상을 보정으로 조정 또는 정비하거나, 달리 영향을 줌으로써, 기판을 사양 내에서 유지시키거나 사양을 벗어나는 형상부 또는 층을 보정한다. 공정에서 향후에 시스템 단계 및 모듈에 영향을 줄 뿐만 아니라, 이전의 공정 단계 및 모듈도 시스템에서의 피드백을 통해 조정될 수 있으므로, 향후의 기판을 위한 공정 단계 또는 공정 챔버를 보정할 수 있다. 본 발명은 에칭 단계 또는 막 형성 또는 증착 단계와 같은 가장 최근의 공정 단계를 통해 기판을 처리한 직후에, 측정/계측 데이터를 수집할 수 있다. 본원에 사용된 바와 같은 측정 데이터/단계 및 계측 데이터/단계는, 대체로 본 발명에 따라 측정된 데이터를 의미하는 동의어로 언급된다. 그 다음, 부정합 또는 결함을 검출하기 위해 데이터가 처리되고, 사양을 벗어나거나 어떤 방식으로든 결함이 있는 것으로 확인된 기판을 해결하기 위한 임의의 필요한 보정 조치를 취하도록 향후의 공정 단계에 영향을 줄 수 있다. 예를 들어, 향후의 공정 단계는, 기판을 직전의 공정 모듈로 복귀시키는 단계, 측정/계측 데이터를 처리하기 위해 다른 공정 챔버의 향후의 공정 단계에 영향을 주는 단계, 또는 기판을 사양으로 되돌리기 위한 하나 이상의 추가적인 공정 단계를 공정 순서에 도입하는 단계를 포함할 수 있다. 계측 데이터에 따라, 기판을 사양으로 되돌리거나 부정합을 보정하기 위해 기판이 추가적으로 처리될 수 없다고 결정되는 경우, 불필요한 추가적인 공정을 방지하기 위해, 공정에서 훨씬 더 일찍 제조 플랫폼으로부터 기판이 방출될 수 있다.
설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위해 구체적인 수, 재료, 및 구성이 상술된다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 다양한 실시형태는 예시적인 표현이며, 반드시 일정한 비율로 도시된 것은 아니라는 점을 이해한다. 도면을 참조할 때, 유사한 참조번호는 전반적으로 유사한 부분을 지칭한다.
본 명세서 전반에 걸쳐서, "일 실시형태" 또는 "실시형태" 또는 이의 변형예라는 언급은 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이것이 모든 실시형태에 존재한다는 것을 의미하지 않는다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서 나타날 수 있는 "일 실시형태에서" 또는 "실시형태에서"와 같은 문구는 반드시 본 발명의 동일한 실시형태를 지칭하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조물이 다른 실시형태에 포함될 수 있거나/포함될 수 있고, 설명된 특징이 다른 실시형태에서 생략될 수 있다.
추가적으로, "일(a)" 또는 "하나(an)"는 달리 명시적으로 상술되지 않는 한, "하나 이상"을 의미할 수 있음을 이해해야 한다.
다양한 작업은 본 발명을 이해하는 데 가장 유용한 방식으로, 다수의 개별 작업으로서 차례로 설명될 것이다. 그러나, 설명의 순서는 이들 작업이 반드시 순서에 의존하는 것임을 의미하는 것으로 해석되어서는 안된다. 특히, 이들 작업은 제시된 순서로 수행될 필요가 없다. 설명된 작업은 설명된 실시형태와 상이한 순서로 수행될 수 있다. 다양한 추가적인 작업이 추가적인 실시형태에서 수행될 수 있거나/수행될 수 있고, 설명된 작업이 추가적인 실시형태에서 생략될 수 있다.
본원에서 사용된 바와 같은 "기판"이라는 용어는 재료가 그 위에 형성되는 기재 또는 구조물을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수의 층, 그 안에 상이한 구조물 또는 상이한 재료의 영역을 갖는 층 또는 층들 등을 포함할 수 있음을 이해할 것이다. 이러한 재료는 반도체, 절연체, 전도체, 또는 이들의 조합물을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 베이스 반도체 층, 하나 이상의 층, 구조물 또는 영역이 그 위에 형성된 반도체 기판 또는 금속 전극일 수 있다. 기판은 통상적인 실리콘 기판, 또는 반도체 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 바와 같은 "벌크 기판"이라는 용어는 실리콘 웨이퍼 뿐만 아니라, 실리콘-온-글래스(“SOG”) 기판 및 실리콘-온-사파이어("SOS") 기판과 같은, 실리콘-온-절연체("SOI") 기판, 베이스 반도체 토대 상의 실리콘의 에피택셜 층, 그리고 실리콘-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다. 기판은 도핑될 수 있거나 도핑되지 않을 수 있다.
본원에 사용된 바와 같은 "소재"라는 용어는 보다 일반적으로는, 반도체 소자 제조 공정의 하나 이상의 단계 동안 기판 상에 형성되는 재료 또는 층의 조성물을 지칭할 수 있으며, 소재는 궁극적으로, 공정의 최종 단계에서의 반도체 소자(들)를 포함한다. 어떠한 점에서도, "소재", "기판" 또는 "웨이퍼"라는 용어는 본 발명을 제한하지 않는다.
본 실시형태는, 예를 들어 작업들 사이에 진공상태를 중단시키지 않으면서, 제어된 환경 내에서, 다수의 공정 단계가 공통 플랫폼을 통해 수행되는 공통 제조 플랫폼을 사용하는 방법을 포함한다. 통합형 종단간 플랫폼은 에칭 모듈 및 막 형성 모듈 둘 모두를 포함하며, 예를 들어, 진공상태를 중단시키거나 불활성 가스 보호 환경을 벗어나지 않고 제어된 환경에 소재를 유지하면서, 그리고 이에 따라 주위 환경으로의 노출을 방지하면서, 소재를 하나의 모듈로부터 다른 모듈로 이송하도록 구성된다. 다수의 공정 중 어느 하나가 공통 제조 플랫폼을 통해 수행될 수 있으며, 통합형 종단간 플랫폼은 수율, 결함 레벨 및 EPE를 개선하면서 감소된 비용으로 대량 제조를 가능하게 한다.
본원에 사용된 바와 같은 "막 형성 모듈"은, 공정 챔버에서 소재 상에 막 또는 층을 증착 또는 성장시키기 위한 임의의 유형의 공정 도구를 지칭한다. 막 형성 모듈은 단일 웨이퍼 도구, 일괄처리(batch) 공정 도구, 또는 준-일괄처리(semi-batch) 공정 도구일 수 있다. 막 형성 모듈로 수행될 수 있는 막 증착 또는 성장의 유형은, 예를 들어 그리고 제한 없이, 화학 기상 증착, 플라즈마 강화 또는 플라즈마 지원 화학 기상 증착, 원자층 증착, 물리 기상 증착, 열 산화 또는 질화 등을 포함하며, 공정은 등방성, 이방성, 정합성(conformal), 선택적, 블랭킷 등일 수 있다.
본원에 사용된 바와 같은 "에칭 모듈"은 공정 챔버에서 소재 상의 막, 층, 잔류물 또는 오염물의 일부 또는 전부를 제거하기 위한 임의의 유형의 공정 도구를 지칭한다. 에칭 모듈은 단일 웨이퍼 도구, 일괄처리 공정 도구, 또는 준-일괄처리 공정 도구일 수 있다. 에칭 모듈로 수행될 수 있는 에칭의 유형은, 예를 들어 그리고 제한 없이, 화학적 산화물 제거(COR), 건식 (플라즈마) 에칭, 반응성 이온 에칭, 침지 또는 비-침지 기술을 사용하는 습식 에칭, 원자층 에칭, 화학 기계적 폴리싱, 세척, 애싱(ashing), 리소그래피 등을 포함하며, 공정은 등방성, 이방성, 선택적 등일 수 있다.
본원에 사용된 바와 같은 "모듈"은 전반적으로, 공정 챔버, 기판 홀더 및 이동 기구, 가스 공급 및 분배 시스템, 펌핑 시스템, 전기 시스템 및 제어기 등을 포함하는, 이의 모든 하드웨어 및 소프트웨어를 갖는 공정 도구를 집합적으로 지칭한다. 모듈의 이러한 세부 사항은 당업계에 알려져 있으므로, 본원에서 설명되지 않는다.
본원에 사용된 바와 같은 "제어된 환경"은, 주위 대기가 배기되어 정제된 불활성 가스 또는 저압 진공 환경으로 대체되는 환경을 지칭한다. 진공 환경은 대기압보다 훨씬 낮으며, 대체로 100 Torr 이하, 예를 들어 5 Torr 이하인 것으로 이해된다. {모든 공정 사례에 추가될 정의를 적절하게 상술해주십시오}
도 1은 본 발명을 통해 개선될 수 있는 참조를 위한 전형적인 반도체 제조 공정(100)의 일 실시예를 도시한다. 제조 공정 자체 이전에, 반도체 소재 또는 기판, 및 그 안에 형성되는 마이크로 전자 소자의 전체적인 설계(102)가 생성된다. 설계로부터 레이아웃이 생성되며, 레이아웃은, 기판 상에 다양한 회로 및 소자를 형성하기 위해 공정 순서로 이의 제조 동안 반도체 소재에 도포되는 적층된 재료층에 전사되는 패턴 세트를 포함한다. 설계/공정 순서(102)는 제조 공정의 다양한 부분에 영향을 주고 이에 작용하기 때문에, 이의 특정 단계를 가리키는 대신에 제조 공정을 가리키는 전반적인 화살표(104)로 도시된다.
제조 공정(100)은, 기판 상에 막을 증착 또는 형성하고, 다양한 리소그래피 및 에칭 기술을 사용하여 이들을 패터닝하기 위해, 여러 번 사용되는 하나의 예시적인 공정 흐름 또는 공정 순서를 예시한다. 이러한 전반적인 제조 단계 및 공정은 당업자에게 알려져 있으며, 각각의 공정은 이와 관련된 공정 모듈 또는 도구를 구비할 수 있다. 예를 들어, 도 1을 참조하면, 방법은 소재 상에 하나 이상의 층을 형성하기 위한 막 형성 또는 증착 공정(110)을 포함할 수 있다. 그 다음, 층은 포토리소그래피 공정(114)을 사용하여 패터닝된 광 파장에 노출되기 전에, 트랙 공정(112)에서 감광 재료로 코팅될 수 있다. 그 다음, 다른 트랙 공정(116)을 사용하여 감광 재료가 현상되어, 하부 소재 또는 막을 노출시키는 감광 재료의 패턴을 형성한다. 그 다음, 노출된 패턴은, 제거 또는 에칭 공정(118)을 사용함으로써 패턴으로 제거되는 하부 소재 또는 막의 노출된 부분을 제거하기 위한 템플릿으로 사용될 수 있다. 이러한 방식으로, 포토리소그래피 공정(114)에 의해 노출된 패턴은 소재로 전사되거나, 소재 위에 놓이는 하나 이상의 막으로 전사된다. 경우에 따라, 세척 공정(120)을 사용하여 소재가 세척되어, 감광 재료를 제거할 수 있거나, 후속 공정을 위해 제조된 새롭게 패터닝된 형상부를 세척할 수 있다.
막 형성 또는 증착 공정의 경우, 일관성을 위해 "막 형성"이란 용어가 본원에서 전반적으로 사용될 것이다. 막 제거의 경우, "에칭"이라는 용어가 사용될 것이며, 세척 제거 공정의 경우, "세척"이라는 용어가 사용될 것이다. 도면은 설명의 명확성 또는 편의성을 위해 적용 가능한 다른 명칭을 사용할 수 있다.
도시된 바와 같이, 예시적인 제조 공정(100)은 반도체 소재 상의 단일 층의 제조를 나타낸다. 화살표(130)는, 제조 공정이 공정 단계를 순서대로 여러 번 통과하는 것을 포함함으로써, 패턴의 층을 여러 번 적층시켜서 기판 상에 소자를 형성한다는 것을 나타낸다. 여기서 단일 층의 제조가 특정 순서로 설명되지만, 단일 층의 제조 동안, 통상적으로, 일부 단계가 생략되고 다른 단계가 반복된다. 또한, 당업자에 의해 이해되는 바와 같이, 막 형성, 에칭, 및 세척보다 더 많은 단계가 사용될 수 있다. 또한, 막 형성 또는 에칭 공정의 각각의 단계는 다양한 구체적인 단계를 포함할 수 있다. 따라서, 도 1의 예시적으로 도시된 공정은 본 발명과 관련하여 제한적인 것이 아니다.
예를 들어, 언급된 증착 공정(110)은, 소재 상에 재료 막을 성장시키거나, 코팅하거나, 달리 형성 또는 전사시키는 증착 모듈/도구를 사용한다. 증착 공정은 이러한 작업을 수행하기 위해 하나 이상의 기술 및 방법을 사용할 수 있다. 막 형성 또는 증착 기술의 실시예는, 물리 기상 증착(PVD), 화학 기상 증착(CVD), 전기화학 증착(ECD), 분자빔 에피택시(MBE), 원자층 증착(ALD), 자기 조립 단분자층(self-assembled monolayer: SAM) 증착 등을 포함한다. 더욱이, 이러한 증착 기술은, 기판 표면에서 발생하는 공정의 화학적 반응성에 영향을 미치는 플라즈마의 생성에 의해 보완되거나 강화될 수 있다.
포토리소그래피 공정(114)은, 포토마스크로부터 소재의 표면으로 패턴을 전사하기 위해 사용되는 포토리소그래피 모듈/도구를 사용한다. 패턴 정보는 소재 상에 도포된 포토레지스트 층 상에 기록된다. 포토레지스트는 광(흔히, 자외선) 또는 다른 조명 소스(예를 들어, X선)에 노출되는 경우 이의 물리적 특성을 변화시킨다. 포토레지스트는 (습식 또는 건식) 에칭에 의해 현상되거나, 노광 자체를 통해 휘발성 화합물로 변환됨으로써 현상된다. 마스크에 의해 한정된 패턴은 레지스트의 유형이 포지티브인지 또는 네거티브인지에 따라, 현상 후에 제거되거나 유지된다. 예를 들어, 현상된 포토레지스트는 하부 층을 위한 에칭 마스크로서 역할을 할 수 있다.
전형적으로, 트랙 공정(112)은, 포토리소그래피 공정 또는 노광을 위해 소재를 제조하는 트랙 모듈/도구를 사용하는 단계를 포함한다. 이는 소재를 세척하는 단계, 또는 그 위에 코팅 또는 막을 추가하는 단계를 포함할 수 있다. 코팅은, 포토리소그래피 공정(114)에서 마스크를 통하여 노출된 광에 의해 변경되는, 전형적으로 포토레지스트로 지칭되는 감광 재료를 포함할 수 있다. 유사하게, 트랙 공정(116)은 전형적으로, 하부 소재의 일부를 노출시킬 수 있는 패턴을 형성하기 위해 포토레지스트를 현상하는 포토리소그래피 공정(114) 후에, 소재를 조작하는 도구를 사용할 수 있다. 흔히, 이는 리소그래피후(post-lithographic) 세척, 또는 제조의 다음 공정 단계를 위한 준비를 포함한다.
에칭 공정(118)은, 소재의 표면 상의 재료를 선택적으로 제거하여 그 위에 패턴을 생성하기 위해 사용되는 에칭 모듈/도구를 포함한다. 전형적으로, 재료는 습식 에칭(즉, 화학적) 또는 건식 에칭(즉, 화학적 및/또는 물리적)에 의해 선택적으로 제거된다. 건식 에칭의 일 실시예는 플라즈마 에칭을 포함하지만, 이에 제한되지 않는다. 플라즈마 에칭은, 소재에 노출되는 적절한 가스 혼합물(에칭되는 막의 유형에 따라 좌우됨)의 플라즈마를 형성하는 단계를 포함한다. 플라즈마는, 기판 또는 층의 일부를 제거하도록, 특히 위에 놓인 포토리소그래피 패턴에 의해 노출된 일부를 제거하도록 기판 또는 층과 동역학적으로 상호 작용하는 가스상의 하전(이온 및 자유 전자) 및 중성(분자, 원자, 및 라디칼) 종을 포함한다.
세척 공정(120)은, 소재를 세척(예를 들어, 포토레지스트를 제거)하기 위해, 및/또는 다음 층의 도포 또는 증착을 위해 소재를 준비하기 위해 사용되는 세척 모듈/도구를 포함할 수 있다. 전형적으로, 세척 공정은 소재 상의 입자 및 불순물을 제거하며, 건식 세척 공정 또는 습식 세척 공정일 수 있다.
본 발명의 일 실시형태에 따라, 도 1에 도시된 바와 같은 다양한 기판 제조 공정 중 하나 이상 후에, 제조 측정 또는 계측 데이터가 포착된다. 본원에 사용된 바와 같이, 소재로부터 포착된 데이터는 측정 데이터 또는 계측 데이터라고 지칭된다. 측정 데이터는, 본원에서 설명된 바와 같은 공통 제조 플랫폼의 별도의 계측 챔버 내에 통합될 수 있는 하나 이상의 측정 모듈 또는 계측 모듈을 사용하여 포착되거나, 도 1에서 설명된 바와 같은 다양한 단계를 수행하는 하나 이상의 공정 모듈 사이에서 소재를 이동시키는 소재 이송 모듈 내에 통합된 측정 모듈/계측 모듈을 사용하여 포착된다. 본 발명의 일 특징에 따라, 기판은 측정/계측 데이터의 포착 동안 진공상태와 같은 제어된 환경에서 유지된다. 도 2에 도시된 바와 같은 제조 플랫폼 내에서 사용되는 바와 같은 측정/계측 모듈/도구는, 소재의 특성 또는 소재의 형상부에 관한 특성과 관련된 데이터를 측정하도록 설계됨으로써, 예를 들어, 그 위에 있는 재료층, 그 위에 부여된 패턴, 또는 예를 들어, 기판 상에 제조된 다양한 소자에 대한 치수 및 정렬과 같은, 달리 측정 가능한 어떤 것을 측정한다. 측정 모듈/도구에 의해 수행되는 바와 같은 측정 공정은, 공통 제조 플랫폼을 통해 수행되는 복수의 소재 공정 단계 중 하나 이상과 함께 구현될 수 있다. 또한, 계측 측정 모듈 또는 도구는, 공정을 개선하거나 보정하기 위해 데이터가 필요한 경우에 기초하여, 공정 내의 다양한 시점에서, 및/또는 공통 제조 플랫폼 내의 다수의 위치에서 사용될 수 있다. 예를 들어, 측정 모듈의 위치는, 하나 이상의 층에 관한 사양, 및 소재 상에 제조되는 형상부의 특성을 신속하게 평가하기 위해, 오류가 발생하는 경향이 있을 수 있는 특정 공정 다음에 또는 특정 공정 모듈에 인접한 플랫폼 내에 위치될 수 있다.
본 발명의 일 실시형태에 따라, 소재의 공정을 위한 그리고 전자 소자의 제조를 위한 반도체 제조 플랫폼은, 공통 제조 플랫폼을 통해 호스팅되는 복수의 공정 모듈을 포함한다. 공정 모듈은, 정의된 공정 순서에 따라 복수의 공정 단계에서 소재 상의 재료를 조작하고 상이한 공정을 가능하게 하도록 구성된다. 보다 구체적으로, 공정 모듈은, 소재 상에 재료층을 증착하기 위한 하나 이상의 막 형성 모듈, 및 재료층을 선택적으로 제거하기 위한 하나 이상의 에칭 모듈을 포함할 수 있다. 세척 또는 트래킹 또는 포토리소그래피 모듈과 같은 다른 모듈이 공통 플랫폼에 포함될 수도 있다. 본원에 사용된 바와 같은 "공정 모듈" 또는 "모듈"이라는 용어는 공정 시스템을 지칭하기 위해 사용되며, 공정 시스템은 대체로, 하나 이상의 소재를 수용하는 하나 이상의 공정 챔버를 포함하고, 가스 공급기, 분배 시스템, RF(무선 주파수) 전원 공급기, DC(직류) 전압 공급기, 바이어싱 전원 공급기, 기판 지지체, 기판 체결 기구, 기판 및 챔버 구성 요소 온도 제어 요소 등과 같은, 공정을 위한 지원 및 주변 인프라 및 구성 요소를 또한 포함한다.
공통 플랫폼을 통해, 하나 이상의 계측 또는 측정 모듈이 공정 모듈과 함께 호스팅된다. 측정 모듈은 소재의 하나의 특성 또는 복수의 특성과 관련된 측정 데이터를 제공하도록 구성된다. 이를 위해, 측정 모듈은, 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한 하나 이상의 검사 시스템을 포함한다. 전반적으로, 측정 모듈은, 플랫폼의 공정 모듈에서 소재가 처리되기 전 및/또는 후에 측정을 수행하기 위해, 공정 모듈과 함께 공통 플랫폼에 위치 및 배치될 것이다.
본원에 개시된 바와 같은 "계측 모듈" 또는 "측정 모듈"이라는 용어는, 소재에 대한 측정을 수행하여, 소재에 대한 다양한 부정합 또는 파라미터 편차와 같은 편차를 검출 또는 결정할 수 있거나, 어떤 종류의 오염과 같은 소재에 대한 결함을 검출 또는 결정할 수 있는, 모듈/시스템/센서/도구를 지칭한다. 본원에 사용된 바와 같은 "검사 시스템"이란 용어는 전반적으로, 측정과 관련된 데이터 또는 신호를 측정 및 수집하는 측정 공정 또는 모듈의 도구 또는 시스템을 지칭한다. 측정 모듈은 측정을 수행하고, 본원에 추가로 개시되는 바와 같은 공정 플랫폼에서 사용하기 위한 데이터를 제공한다. 본원에서 일관성을 위해, "측정 모듈"이라는 용어가 사용될 것이지만 제한적인 것은 아니며, 전반적으로, 소재 그리고 그 위에 형성되는 층 및 소자의 공정을 나타내는 소재의 특성을 검출 및 측정하기 위해 사용되는 측정 또는 계측 또는 감지 도구를 지칭한다.
플랫폼 내에서 그리고 다양한 공정 모듈 사이에서 소재를 이동시키기 위해, 공통 제조 플랫폼은 전반적으로, 공통 플랫폼을 통해 호스팅되어 공정 모듈과 측정 모듈(들) 사이에서 소재를 이동시키도록 구성된 하나 이상의 소재 이송 모듈을 포함한다. 측정 모듈은, 공정 모듈과 유사하게 소재 이송 모듈과 연결될 수 있다. 본원에 개시된 바와 같은 본 발명의 일부 실시형태에서, 측정 모듈 또는 이와 관련된 검사 시스템은, 소재가 공정 모듈 사이에서 이동됨에 따라 측정 또는 계측을 제공하기 위해, 이송 모듈과 통합되거나 이송 모듈의 내부에 통합된다. 예를 들어, 측정 모듈 또는 이의 일부는 이송 모듈의 내부 공간의 내부에 위치될 수 있다. 본원에서, 이송 및 측정 조합 장치가 이송 측정 모듈로 지칭될 것이다.
본 발명의 일 실시형태에서, 공정 챔버 및 측정 모듈 모두를 포함하는 공통 플랫폼은 시스템에 의해 능동적으로 제어되며, 시스템은 소재의 특성과 관련된 측정 데이터를 처리하고, 공정 순서에서 소재의 이동 및 공정을 제어하기 위해 측정 데이터를 사용한다. 본 발명에 따라, 제어 시스템은 측정 데이터 및 다른 데이터를 사용하여, 측정 데이터에 부분적으로 기초하는 보정 공정을 수행하고, 부정합 또는 결함을 보정하기 위해 공정 순서의 능동적 차단을 제공한다. 보다 구체적으로, 능동 차단 제어 시스템이 공통 제조 플랫폼을 통해 호스팅되며, 측정 데이터에 부분적으로 기초하여 보정 공정을 수행하도록 구성되고, 부정합 또는 결함이 검출되는 상황을 해결하기 위해, 공정 순서의 업스트림 또는 다운스트림에 있는 플랫폼의 공정 모듈에서 소재의 보정 공정이 수행될 수 있다. 본 발명의 일 실시형태에서, 소재는 예를 들어, 진공상태와 같은 제어된 환경에서 유지된다. 즉, 공통 제조 플랫폼에서, 공정 모듈 및 측정 모듈은 제어된 환경에서 작동하며, 소재 이송 모듈은, 제어된 환경을 벗어나지 않으면서, 공정 순서의 복수의 공정 모듈과 하나 이상의 측정 모듈 사이에서 소재를 이송한다.
도 2 및 도 3은 능동 차단 제어 시스템과 연결되는, 다수의 공정 모듈, 하나 이상의 측정 모듈, 및 하나 이상의 이송 모듈과 공통 플랫폼을 통합하는 예시적인 시스템(200, 300)을 도시한다. 시스템은 본원에 설명된 바와 같은 본 발명에 따른 반도체 제조에 의해 제조되는 기능적 마이크로 전자 소자의 수율을 향상시킨다. 도 2는 본원에 설명된 바와 같은 본 발명에 따른 반도체 제조 동안, 침투성 층 또는 형상부 부정합 또는 결함의 개선 또는 보정을 위해, 계측 데이터의 측정 및 데이터의 사용을 가능하게 하는 예시적인 시스템(200)을 개략적으로 도시한다. 예시적인 시스템(200)은, 위에서 설명되고 도 1에 도시된 반도체 제조 방법(100)의 다양한 공정을 수행하기 위한 다양한 공정 모듈을 포함한다. 도 2에서, 다양한 공정은 능동 차단 시스템의 제어에 따라, 측정 모듈 및 이송 모듈과 함께, 제조와 관련된 작업 또는 공정을 수행하는 언급된 상이한 모듈들로 나타낸다.
도시된 바와 같이, 공통 플랫폼(200)의 시스템은 특정한 물리적 레이아웃 대신에 플랫폼의 상호 작용을 나타낸다. 플랫폼(200)은, 증착 모듈(210), 에칭 모듈(218), 세척 모듈(220), 트랙 모듈(212, 216), 및 포토리소그래피 모듈(214)과 같은, 반도체 제조 공정의 다양한 공정을 위한 하나 이상의 공정 모듈을 포함한다. 이해될 수 있는 바와 같이, 하나 이상의 모듈은 다양한 방식으로 공통 플랫폼 내에 통합될 수 있으므로, 도면은 요소/모듈이 플랫폼 상에 어떻게 통합되는지를 나타내는 대신에 개략적으로 나타낸다. 플랫폼(200)의 시스템은, 측정 데이터를 포착하기 위한 하나 이상의 계측 또는 측정 모듈(202, 204, 206)을 더 포함할 뿐만 아니라, 제조 공정을 개선하도록, 측정 데이터에 적어도 부분적으로 기초하여 보정 공정을 수행하기 위해 포착된 측정 데이터를 사용하는 능동 차단 제어 시스템(208)을 더 포함한다. 능동 차단 제어 시스템은 다양한 측정 모듈과 연결되며, 소재의 특성과 관련된 측정 데이터를 처리하고, 측정 데이터를 사용하여 소재의 부정합을 검출한다. 그 다음, 능동 차단 제어 시스템은 공정 순서의 보정 또는 "보정 공정"을 제공하기 위해, 소재의 이동 및 공정을 제어한다.
본원에 설명된 계측 기술은 예시적인 플랫폼(200, 300)의 단지 하나의 부분/일부와 통합될 수 있거나, 예시적인 플랫폼(200, 300)의 다수의 부분/일부와 통합될 수 있다. 즉, 본원에 설명된 기술은 예를 들어, 대략적으로 단지 하나의 공정 또는 하나의 공정 도구(예를 들어, 에칭 모듈(218))에만 통합될 수 있다. 대안적으로, 예를 들어, 본원에 설명된 능동 차단 기술은, 공정 플랫폼(200, 300)의 다수의 공정 및 도구 및 시스템을 위해 구현될 수 있다. 예를 들어, 보정 공정은 적어도 부분적으로, 공정 순서의 업스트림 또는 다운스트림의 하나 이상의 공정 모듈의 작업을 통해 수행된다.
본원에 사용된 바와 같은 "능동 차단"이라는 용어는 전반적으로, 소재 특성에 관한 데이터를 획득하여 부정합 또는 결함을 검출하기 위해, 다양한 제조 공정과 관련된 측정/계측 데이터를 실시간으로 포착하도록 구현되는 바와 같은 제어 시스템, 및 부정합 또는 결함을 보정하거나 개선하기 위한 제어의 보정 양태를 지칭한다. 능동 차단 제어 시스템은 데이터를 사용하여, 공정 단계를 수행하는 모듈의 작업 및/또는 공정 순서를 능동적으로 가변시킴으로써 반도체 제조 공정에서의 다양한 부정합을 보정 및 개선한다. 따라서, 능동 차단 제어 시스템은 공정 동안 소재를 이동시키기 위해 사용되는 하나 이상의 이송 모듈(222)과도 연결된다. 도 2 및 도 3에 도시된 바와 같은 능동 차단 제어 시스템(208)은, 데이터 수집 및 데이터 분석, 그리고 제조 공정의 부정합의 검출을 조정하고, 검출되는 부정합 또는 결함을 해결하도록 다수의 공정 도구 및 공정 챔버의 동작을 추가로 명령한다. 전반적으로, 능동 차단 제어 시스템은, 본원에서 "능동 차단 구성 요소"로 통칭되는, 딥 러닝 프로그램 또는 자율 학습 구성 요소와 같은, 특별히 설계된 프로그램 세트를 가동하는 본원에 설명된 바와 같은 하나 이상의 컴퓨터 또는 컴퓨팅 장치에 의해 구현된다. 이해될 수 있는 바와 같이, 능동 차단 제어 시스템은, 다양한 측정 모듈로부터의 데이터 수집 및 후속 분석을 조정하도록 다수의 프로그램/구성 요소를 통합할 수 있다. 시스템(208)은 부정합/결함을 보정 또는 개선하도록 다양한 측정된 부정합/결함을 해결하기 위해 제조 플랫폼의 다수의 공정 모듈과 연결된다. 따라서, 능동 차단 제어 시스템은 본 발명의 원하는 결과를 달성하기 위해 공정 순서 및 하나 이상의 공정 모듈을 제어할 것이다.
또한, 본 발명은 정의된 공정 순서에 따라 다양한 공정 모듈 사이에서 소재를 이송하기 위한 하나 이상의 이송 모듈(222)을 공통 플랫폼 내에 통합한다. 또한, 이를 위해, 능동 차단 제어 시스템은 부정합/결함이 검출되는 경우, 업스트림 및/또는 다운스트림 공정 모듈로 소재를 이동시키도록 이송 모듈을 제어한다. 즉, 무엇이 검출되는지에 따라, 본 발명의 시스템은 공정 순서에 따라 소재를 추가로 이동시킬 수 있거나, 소재를 복귀시켜서 업스트림 공정 모듈로 지향시킴으로써, 검출된 부정합 또는 결함을 보정하거나 달리 해결할 수 있다. 따라서, 본 발명의 능동 차단을 제공하기 위해, 이송 모듈을 통해 피드포워드 및 피드백 기구가 제공된다. 또한, 향후의 소재를 위해 업스트림 또는 다운스트림의 공정 순서에 영향을 줄 수 있다.
본 발명의 능동 차단 특징은, 수집된 측정/계측 데이터를 사용하여, 가동간, 웨이퍼간, 웨이퍼내 실시간 공정 제어를 사용함으로써, 제조 공정의 성과, 수율, 처리량, 및 유연성을 개선한다. 측정 데이터는 공정 환경으로부터 소재/기판/웨이퍼를 분리시키지 않으면서, 공정 동안 실시간으로 수집된다. 본 발명의 일 특징에 따라, 공통 플랫폼에서, 예를 들어, 진공상태와 같은 제어된 환경에서 기판이 유지되면서, 측정 데이터가 포착될 수 있다. 즉, 소재 이송 모듈(들)은, 제어된 환경을 벗어나지 않으면서 복수의 공정 모듈과 측정 모듈 사이에서 소재를 이송하도록 구성된다. 능동 차단 제어는, 인입되는 소재 그리고 모듈 또는 도구 상태 특성에 모두 기초하여 각각의 소재를 위한 최적의 방식을 자동으로 결정하기 위해, 피드포워드 및 피드백 기구와 함께 전개되는 다변량 모델 기반 시스템을 제공할 수 있다. 능동 차단 제어 시스템은 제조 측정 데이터, 공정 모델 및 정교한 제어 알고리즘을 사용하여, 최종 소자 목표를 향상시키는 중간 공정 목표의 동적 미세 조정을 제공한다. 차단 시스템은 본원에 설명된 바와 유사한 구축 블록, 개념, 및 알고리즘을 사용하여, 공통 제조 플랫폼을 통해 단일 챔버, 공정 도구, 다수의 도구, 공정 모듈 및 다수의 공정 모듈에 걸친 가변식 제어 솔루션을 가능하게 한다.
도 3은 공통 제조 플랫폼을 통해 본 발명의 일 실시형태를 구현하기 위한 다른 시스템의 개략도이다. 플랫폼(300)은, 본 발명의 실시형태에 따른 능동 차단 제어 시스템의 제어에 따라 통합형 소재 공정 및 소재 측정/계측을 수행하기 위한 복수의 공정 모듈/시스템을 포함한다. 도 3은 하나 이상의 기판 측정 모듈이 하나 이상의 이송 모듈을 통해 하나 이상의 소재 공정 모듈과 함께 연결되는 본 발명의 일 실시형태를 도시한다. 이러한 방식으로, 본 발명의 특징에 따라, 소재가 공정 시스템 및 플랫폼 내에 유지되면서, 소재 상에 형성되는 다양한 박막, 층 및 형상부와 소재의 재료 특성과 관련된 것과 같은, 소재의 특성과 관련된 측정 데이터를 제공하기 위해, 소재가 분석될 수 있다. 본원에 설명된 바와 같이, 측정 및 분석은 에칭 또는 증착 단계와 같은 공정 단계의 완료 시에 즉시 수행될 수 있으며, 수집된 측정 데이터가 분석된 다음 공통 플랫폼 공정 시스템 내에서 사용됨으로써, 사양을 벗어나거나, 부정합이거나, 소재 설계 파라미터와 관련하여 결함을 나타내는, 임의의 측정치 또는 형상부를 해결할 수 있다. 소재는 공통 공정 또는 제조 플랫폼으로부터 분리될 필요가 없으며, 원하는 경우, 제어된 환경에 유지될 수 있다.
도 3을 참조하면, 본 발명에 따른 공통 제조 플랫폼(300)이 개략적으로 도시된다. 플랫폼(300)은 하나 이상의 소재를 제조 플랫폼 내로 도입하기 위한 전단 모듈(302)을 포함한다. 알려진 바와 같이, 전단 모듈(FEM)은 소재를 홀딩하는 하나 이상의 카세트를 포함할 수 있다. 전단 모듈은 대기압으로 유지될 수 있지만, 청정 환경을 제공하기 위해 불활성 가스로 퍼지될 수 있다. 그 다음, 하나 이상의 기판은 본원에 설명되는 바와 같이, 예를 들어 하나 이상의 로드락 챔버(도시되지 않음)를 통하여, 이송 모듈(304a)로 이송될 수 있다. 도 3의 이송 모듈은, 소재로부터 데이터를 포착하기 위해 그 안에 통합된 측정 도구 또는 검사 시스템을 포함하는 이송 측정 모듈(TMM)이다. 다수의 TMM(304a, 304b)은 원하는 순서 동안 소재의 이동을 제공하기 위해 연결될 수 있다. 이송 측정 모듈(304a, 304b)은 복수의 공정 모듈과 연결된다. 이러한 공정 모듈은 다양한 서로 다른 공정 단계 또는 기능을 제공할 수 있으며, 하나 이상의 에칭 모듈(306a, 306b), 하나 이상의 증착 모듈(308a, 308b), 하나 이상의 세척 모듈(310a, 310b), 및 하나 이상의 측정 모듈(312a, 312b, 312c, 312d)을 포함할 수 있다. 본원에 추가로 개시되는 바와 같은 본 발명의 실시형태에 따라, 측정 모듈은 각각의 공정 단계 전 또는 후에 이송 모듈(304a, 304b)을 통하여 액세스될 수 있다. 일 실시형태에서, 측정 모듈(예를 들어, 312c, 312d)은 이송 모듈(304a, 304b)의 외부에 위치되며, 다양한 공정 모듈과 유사하게 소재를 삽입 및 수용하도록 액세스된다. 대안적으로, 측정 모듈, 또는 모듈(312a, 312b)과 같은 이의 적어도 일부는 각각의 이송 모듈에 위치될 수 있다. 보다 구체적으로, 측정 모듈(312a, 312b)의 전부 또는 일부가 이송 모듈(304a, 304b)에 위치됨으로써, 이송 공정 동안 측정을 위해 소재가 위치될 수 있는 측정 영역을 한정한다. 측정 영역은 이송 모듈의 전용 영역에 위치되며, 소재를 위치시키기 위한 모듈의 이송 기구에 의해 액세스 가능하다. 언급된 바와 같이, 이를 통해, 이송 모듈은 본질적으로 본원에 설명된 바와 같은 이송 측정 모듈(TMM)이 된다.
일반적으로, 이송 모듈은 진공상태에서, 다양한 공정 모듈 또는 측정 모듈로의 액세스 또는 이송 포트 및 다양한 게이트 밸브를 통하여, 기판을 이동시킬 수 있는 이송 로봇을 수용하는 챔버를 그 안에 한정한다. 공통 제조 플랫폼(300)에 측정 모듈을 유지함으로써, 사양을 벗어나거나 그렇지 않으면 특정 소재를 위한 기판 설계 계획과 부정합인 임의의 기판을 해결하기 위해 사용되거나, 검출 가능한 결함을 해결하기 위해 사용되는 필요한 측정 분석 데이터를 즉각적으로 제공하기 위해, 예를 들어 하나 이상의 공정 단계 사이에서 측정 모듈이 용이하게 액세스된다. 이러한 방식으로, 제조사가 시스템의 문제를 초기에 인식할 수 있도록 실시간 데이터가 제공됨으로써, 포착된 데이터 및 검출된 부정합 또는 결함에 따라, 다음 공정 단계, 이전 공정 단계, 및/또는 향후 공정 단계와 같은 현재의 공정 순서에서 보정 조치가 취해질 수 있다. 이러한 방식으로, 생산성 및 효율성이 증대될 수 있으며, 공정 모니터링 총경비가 감소될 수 있고, 거부되거나 방출되는 기판의 형태로 폐기되는 제품이 감소될 수 있다. 이 모두는 제조사 또는 소자 제조사에게 상당한 비용 절감을 제공한다.
언급된 바와 같이, 능동 차단 제어 시스템(322)을 포함하는 본 발명의 일 실시형태에서, 하나 이상의 측정 모듈은 소재의 특성에 관한 측정 데이터를 제공하기 위해, 공정 모듈과 함께 공통 플랫폼을 통해 호스팅된다. 부정합을 검출하기 위해 그리고 부정합이 검출된 경우 소재의 보정 공정을 수행하기 위해, 능동 차단 제어 시스템(322)에 의해 데이터가 사용된다. 부정합이 검출된 경우 공정 순서의 업스트림 및/또는 다운스트림에서 보정 공정이 수행된다. 도 4를 참조하면, 본 발명을 실시하기에 적합한 공통 플랫폼(400)의 예시적인 공정 시스템이 도시된다. 공정 시스템(400)은, 집적회로 및 다른 소자의 제조를 위한 반도체 기판의 공정을 위한 다수의 모듈 및 공정 도구를 포함한다. 공정 플랫폼(400)은, 공정 모듈과 함께 공통 제조 플랫폼 내에 통합되는 하나 이상의 기판 계측/측정 모듈을 포함한다. 예를 들어, 플랫폼(400)은 도시된 바와 같이, 소재 이송 모듈에 연결되는 복수의 기판 공정 모듈을 포함할 수 있다. 일부 실시형태에서, 측정 모듈 또는 도구는 기판 이송 모듈의 내부에도 적어도 부분적으로 위치된다. 따라서, 능동 차단 제어 시스템에 의해 추가로 처리되는 소재의 특성과 관련된 다양한 제조 데이터를 수집하기 위해, 기판이 처리된 다음 측정 모듈로 즉시 이송될 수 있다. 능동 차단 제어 시스템은 공정 모듈 및 측정 모듈로부터 데이터를 수집하고, 소재의 선택적 이동 및 복수의 공정 모듈 중 하나 이상에 대한 제어를 통해, 공통 제조 플랫폼을 통해 수행되는 공정 순서를 제어한다. 또한, 플랫폼(400)의 공정 시스템은, 챔버의 제어된 환경을 벗어나지 않으면서 이송 모듈의 챔버의 내부에서 그리고 다양한 공정 모듈과 측정/계측 모듈 사이에서, 기판 또는 다른 소재를 이송할 수 있다. 능동 차단 제어 시스템은 하나 이상의 측정 모듈에 의해 획득된 소재 측정치로부터 도출된 정보를 사용하여, 다양한 공정 모듈을 통한 순차적인 공정 흐름을 제어한다. 또한, 능동 차단 제어 시스템은, 플랫폼(400)을 통한 순차적인 공정 흐름을 제어하기 위해 현장 측정치 및 데이터를 공정 모듈에 통합한다. 제어된 환경에서 획득된 기판에 대한 측정 데이터는, 본 발명에 따른 공정 흐름 제어 및 공정의 개선을 위해, 현장 공정 모듈 측정 데이터와 조합하여 또는 단독으로 사용될 수 있다.
도 4를 다시 참조하면, 플랫폼(400)의 시스템은, 소재를 시스템에 도입하기 위한 전단 소재 이송 모듈(402)을 포함한다. 예시적인 플랫폼(400)은 소재 이송 모듈(412)의 주변부 둘레에 공통 제조 플랫폼으로 구성된 복수의 공정 모듈을 나타낸다. 플랫폼(400)의 시스템은 카세트 모듈(404a, 404b, 및 404c) 및 정렬 모듈(404d)을 포함한다. 또한, 로드락 챔버(406a 및 406b)가 전단 이송 모듈(402)에 연결된다. 전단 모듈(402)은 대체로 대기압으로 유지되지만, 불활성 가스로 퍼지함으로써 청정 환경이 제공될 수 있다. 로드락 챔버(410a 및 410b)는 중앙 집중형 소재 이송 모듈(412)에 연결되며, 플랫폼에서의 공정을 위해 전단(402)으로부터 소재 이송 모듈(412)로 기판을 이송하기 위해 사용될 수 있다.
소재 이송 모듈(412)은 매우 낮은 기저 압력(예를 들어, 5 x 10-8 Torr 이하)으로 유지될 수 있거나, 불활성 가스로 지속적으로 퍼지될 수 있다. 본 발명에 따라, 기판 측정/계측 모듈(416)은 대기압에서 작동될 수 있거나, 진공상태에서 작동될 수 있다. 일 실시형태에 따라, 측정 모듈(416)이 진공상태로 유지되고, 웨이퍼는 진공상태를 벗어나지 않으면서 플랫폼(400)에서 처리되고 측정된다. 본원에 추가로 개시되는 바와 같이, 계측 모듈은, 소재, 및/또는 소재 상에 증착된 박막 및 층, 또는 소재 상에 형성된 소자의 특성 또는 하나 이상의 재료 특성을 측정할 수 있는 하나 이상의 검사 시스템 또는 분석 도구를 포함할 수 있다. 본원에 사용된 바와 같은 "특성"이라는 용어는 공정 순서의 공정 품질을 나타내는, 소재, 소재 상의 층, 소재 상의 형상부 또는 소자 등의 측정 가능한 특징 또는 특성을 나타내기 위해 사용된다. 그 다음, 특성과 관련된 측정 데이터가 사용되어, 능동 차단 제어 시스템을 통해 다른 현장 공정 데이터와 함께 측정 데이터를 분석함으로써 공정 순서를 조정한다. 예를 들어, 측정된 특성 데이터는 보정 공정을 제공하기 위한 소재의 부정합 또는 결함을 나타낸다.
도 4 및 그 안에 도시된 플랫폼은 본질적으로 단일 측정 모듈(416)을 도시한다. 그러나, 이해되는 바와 같이 그리고 본원에 추가로 개시되는 바와 같이, 특정 공정 플랫폼(400)은, 소재 이송 모듈(412)과 같은 하나 이상의 소재 이송 시스템의 둘레에 통합되는 복수의 그러한 측정 모듈을 포함할 수 있다. 이러한 측정 모듈(416)은, 공정 모듈과 마찬가지로 이송 모듈(412)을 통하여 액세스되는 독립형 모듈일 수 있다. 대체로, 이러한 독립형 모듈은, 모듈의 측정 영역에 위치된 소재와 연결되어 소재의 특성과 관련된 데이터를 측정하도록 구성된 검사 시스템을 그 안에 포함한다.
본 발명의 대안적인 실시형태에서, 이송 모듈(412)에 의해 한정된 이송 챔버의 내부 공간의 전용 영역 내에 위치된 측정 영역에서 측정 모듈이 구현될 수 있다. 또한, 측정 모듈이 통합될 수 있으며, 측정 모듈의 적어도 일부는 소재 이송 모듈의 내부 공간의 내부에 위치되고, 측정 모듈의 다른 구성 요소 또는 측정 모듈의 특정 검사 시스템은 소재 이송 모듈의 외부에 통합되며, 소재가 위치되거나 소재가 통과하는 측정 영역을 형성하는 내부 공간의 전용 영역으로 개구 또는 윈도우를 통해 연결된다.
본 발명의 시스템 및 플랫폼의 측정 모듈은, 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한 하나 이상의 검사 시스템을 포함한다. 이러한 데이터는, 공정 순서의 품질, 그리고 소재 상에 형성되고 있는 층 및 형상부 및 소자의 품질을 나타내는 하나 이상의 특성과 연관될 수 있다. 그 다음, 소재 또는 소재 층/형상부의 다양한 부정합 및/또는 결함을 검출하기 위해, 수집된 측정 데이터는 공정 모듈 데이터와 함께, 능동 차단 제어 시스템에 의해 분석된다. 그 다음, 시스템은 예를 들어, 공정 순서의 업스트림 또는 다운스트림 공정 모듈에서 소재의 보정 공정을 제공함으로써, 부정합 또는 결함을 개선/보정하고, 전반적인 공정을 개선한다.
본 발명의 실시형태에 따라, 측정 모듈 또는 이의 검사 시스템에 의해 획득된 측정치, 및 생성된 데이터는 소재의 하나 이상의 특성과 연관된다. 예를 들어, 측정된 특성은, 예를 들어, 층 두께, 층 정합성, 층 커버리지, 또는 소재 상의 층의 층 프로파일, 에지 배치 위치, 특정 형상부에 대한 에지 배치 오차(EPE), 임계 치수(CD), 블록 임계 치수(CD), 그리드 임계 치수(CD), 선폭 거칠기(LWR), 라인 에지 거칠기(LER), 블록 LWR, 그리드 LWR, 선택적 증착 공정(들)에 관한 특성, 선택적 에칭 공정(들)에 관한 특성, 물리적 특성, 광학적 특성, 전기적 특성, 굴절률, 저항, 전류, 전압, 온도, 질량, 속도, 가속도, 또는 소재 상의 제조된 전자 소자와 관련된 이들의 일부 조합 중 하나 이상을 포함할 수 있다. 본 발명을 위한 측정 데이터를 생성하기 위한 측정된 특성의 목록은 제한되지 않으며, 소재를 처리하여 소자를 제조하기 위해 사용될 수 있는 다른 특성 데이터를 포함할 수 있다.
본원에 추가로 설명되는 바와 같이, 특성 데이터를 제공하기 위해 사용되는 측정 모듈 및/또는 검사 시스템은, 본 발명의 측정 및 계측을 제공하기 위해 측정을 위한 다수의 도구 및 방법을 구현할 수 있다. 측정 모듈 및/또는 검사 시스템은 광학적 방법, 또는 비-광학적 방법을 포함할 수 있다. 광학적 방법은, 고해상도 광학 이미징 및 현미경법(예를 들어, 명시야, 암시야, 간섭성/비간섭성/부분 간섭성, 편광, 노마스키형(Nomarski) 등), 초분광(다중 분광) 이미징, 간섭 측정법(예를 들어, 위상 변이, 위상 변조, 차동 간섭 대비, 헤테로다인, 푸리에 변환, 주파수 변조 등), 분광법(예를 들어, 광 방출, 광 흡수, 다양한 파장 범위, 다양한 분광 해상도 등), 푸리에 변환 적외선 분광법(FTIR) 반사 측정, 산란 측정, 분광 타원법, 편광 측정, 굴절계 등을 포함할 수 있다. 비-광학적 방법은, 전자적 방법(예를 들어, RF, 마이크로파 등), 음향 방법, 광음향 방법, 질량 분광법, 잔류 가스 분석기, 주사 전자 현미경(SEM), 투과 전자 현미경(TEM), 원자력 현미경(AFM), 에너지 분산성 X선 분광법(EDS), X선 광전자 분광법(XPS), 이온 산란 등을 포함할 수 있다. 예를 들어, 소재의 특성과 관련된 데이터를 측정하기 위해 사용되는 검사 시스템은, 반사 측정, 간섭 측정, 산란 측정, 표면형상 측정, 타원법과 같은 광학적 박막 측정; X선 광전자 분광법(XPS), X선 형광법(XRF), X선 회절(XRD), X선 반사 측정(XRR)과 같은 X선 측정; 이온 산란 분광법, 저에너지 이온 산란(LEIS) 분광법, 오제 전자 분광법, 2차 이온 질량 분광법, 반사 흡수 IR 분광법, 전자빔 검사, 입자 검사, 입자 계수 장치 및 검사, 광학 검사, 도펀트 농도 계측, 막 저항률 계측, 예를 들어 4-포인트 프로브, 와전류 측정과 같은 이온 산란 측정; 미량 천칭, 가속도계 측정, 전압 프로브, 전류 프로브, 열 측정을 위한 온도 프로브, 또는 스트레인 게이지의 기술 또는 장치 중 하나 이상을 사용할 수 있다. 본 발명을 위한 측정 데이터를 생성하기 위한 측정 기술 또는 장치의 목록은 제한되지 않으며, 본 발명에 따라 소재를 처리하여 소자를 제조하기 위한 유용한 데이터를 획득하기 위해 사용될 수 있는 다른 기술 또는 장치를 포함할 수 있다.
측정 모듈 및/또는 검사 시스템은, 제품 소재, 또는 비제품 기판(즉, 모니터링 기판)을 포함하는, 공정 시스템을 통과하는 다양한 기판 또는 소재 구조물에 대한 측정을 수행할 수 있다. 제품 소재 상에서, 지정된 목표 구조물, 소자형(device-like) 구조물 및 비-소자형(device-unlike) 구조물 둘 모두, 지정된 소자 영역, 또는 임의적인 영역에 대한 측정이 수행될 수 있다. 또한, 피치 구조물, 면적 구조물, 밀도 구조물 등을 포함할 수 있는, 소재 상에 생성된 테스트 구조물에 대한 측정이 수행될 수도 있다.
도 4를 다시 참조하면, 반도체 또는 실리콘(Si) 소재와 같은 기판을 처리하도록 구성된 복수의 공정 모듈(420a 내지 420d)이 이송 챔버(412)에 연결된다. 예를 들어, Si 소재는 150 mm, 200 mm, 300 mm, 450 mm, 또는 450 mm 초과의 직경을 가질 수 있다. 다양한 공정 모듈 및 측정 모듈은 모두 예를 들어, 밸브(G)가 있는 적절한 게이트 액세스 포트를 통해 소재 이송 모듈(412)과 연결된다. 본원에 개시된 본 발명의 일 실시형태에 따라, 제1 공정 모듈(420a)은 소재에 대한 처리 공정을 수행할 수 있으며, 제2 공정 모듈(420b)은 소재 상에 자기 정렬 단분자층(SAM)을 형성할 수 있다. 제3 공정 모듈(420c)은 소재를 에칭 또는 세척할 수 있으며, 제4 공정 모듈(420d)은 적합한 증착 공정에 의해 소재 상에 막을 증착할 수 있다.
이송 모듈(412)은 임의의 기판 공정 챔버(420a 내지 420d) 사이에서 기판을 이송한 다음, 특정 공정 단계 전 또는 후에 기판 계측 모듈(416)로 이송하도록 구성된다. 도 4는 인접한 공정 챔버들/도구 구성 요소들 사이의 액세스 포트에서 분리를 제공하는 게이트 밸브(G)를 추가로 도시한다. 도 4의 실시형태에 도시된 바와 같이, 기판 공정 챔버(420a 내지 420d) 및 기판 계측 모듈(416)은 게이트 밸브(G)에 의해 기판 이송 챔버(412)에 직접 연결될 수 있으며, 이러한 직접 연결은 본 발명에 따라 기판 처리량을 크게 개선할 수 있다.
플랫폼(400)의 기판 공정 시스템은, 본원에 개시된 바와 같은 통합형 공정 및 측정/계측 방법 동안, 도 4에 도시된 다양한 공정 모듈 및 관련 공정 챔버/도구를 제어하기 위해 연결될 수 있는 하나 이상의 제어기 또는 제어 시스템(422)을 포함한다. 제어기/제어 시스템(422)은 하나 이상의 추가적인 제어기/컴퓨터/데이터베이스(도시되지 않음)에도 연결될 수 있다. 제어 시스템(422)은 네트워크를 통해 추가적인 제어기/컴퓨터 또는 서버로부터 설정 및/또는 구성 정보를 획득할 수 있다. 제어 시스템(422)은, 공정 모듈 및 공정 도구 중 어느 하나 또는 전부를 구성 및 가동하고, 본 발명의 능동 차단을 제공하기 위해 다양한 측정 모듈로부터의 데이터 및 공정 모듈로부터의 현장 데이터를 수집하기 위해 사용된다. 제어기(422)는 공정 모듈 및 도구 구성 요소 중 어느 하나 또는 전부로부터 데이터를 수집, 제공, 처리, 저장, 및 디스플레이한다. 본원에 추가로 설명되는 바와 같은 제어 시스템(422)은, 본 발명의 능동 차단을 제공하기 위해, 딥 러닝 네트워크, 기계 학습 알고리즘, 자율 학습 알고리즘 및 다른 알고리즘과 같은, 알고리즘을 구현하고, 측정 데이터 및 현장 공정 데이터를 분석하기 위한 다수의 상이한 프로그램 및 애플리케이션 그리고 처리 엔진을 포함할 수 있다.
본원에 추가로 설명되는 바와 같이, 능동 차단 제어 시스템(422)은 마이크로프로세서, 적합한 메모리, 및 디지털 I/O 포트를 갖는 하나 이상의 컴퓨터 장치로 구현될 수 있으며, 플랫폼(400)의 다양한 모듈로 입력을 통신하여 활성화시키고, 플랫폼(400)을 통해 가동되는 기판 공정 시스템과 정보를 교환하기에 충분한 제어 신호 및 전압을 생성할 수 있다. 제어 시스템(422)은 플랫폼(400)의 공정 시스템으로부터의 출력을 모니터링할 뿐만 아니라, 플랫폼을 가동하기 위한 플랫폼의 다양한 측정 모듈로부터의 측정 데이터를 모니터링한다. 예를 들어, 제어 시스템(422)의 메모리에 저장된 프로그램은, 원하는 통합형 소재 공정을 수행하기 위한 공정 방식 또는 순서에 따라, 다양한 공정 시스템 및 이송 시스템으로의 입력을 활성화시키기 위해 사용될 수 있다.
또한, 제어 시스템(422)은 측정 데이터를 사용할 뿐만 아니라, 공정 모듈에 의해 출력된 현장 공정 데이터를 사용하여, 소재의 부정합 또는 결함을 검출하고, 보정 공정을 제공한다. 본원에 설명된 바와 같은 제어 시스템(422)은, 메모리의 프로그램에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서에 응답하여, 본 발명의 마이크로프로세서 기반 처리 단계의 일부 또는 전부를 수행하는 범용 컴퓨터 시스템으로 구현될 수 있다. 이러한 명령은 하드 디스크 또는 착탈식 매체 드라이브와 같은, 다른 컴퓨터 판독 가능 매체로부터 제어 시스템 메모리로 판독될 수 있다. 또한, 다중 처리 배치에서 하나 이상의 프로세서는, 메모리에 포함된 명령 시퀀스를 실행하기 위한 제어 시스템 마이크로프로세서 요소로서 사용될 수 있다. 대안적인 실시형태에서, 본 발명을 구현하기 위해 소프트웨어 명령 대신에 또는 이와 조합하여, 하드웨어 내장 회로가 사용될 수 있다. 따라서, 실시형태는 본원에 설명된 바와 같은 본 발명의 계측 드라이버 프로세스를 실행하기 위한 하드웨어 회로 및 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
능동 차단 제어 시스템(422)은 플랫폼(400)의 기판 공정 시스템에 대하여 로컬로 위치될 수 있거나, 기판 공정 시스템에 대하여 원격으로 위치될 수 있다. 예를 들어, 제어기(422)는 직접 연결, 인트라넷 연결, 인터넷 연결, 및 무선 연결 중 적어도 하나를 사용하여, 기판 공정 시스템 및 플랫폼(400)과 데이터를 교환할 수 있다. 제어 시스템(422)은 예를 들어 고객 사이트(즉, 소자 제조사 등)의 인트라넷에 연결될 수 있거나, 예를 들어 공급자 사이트(즉, 장비 제조사)의 인트라넷에 연결될 수 있다. 추가적으로, 예를 들어, 제어 시스템(422)은 적절한 유선 또는 무선 연결을 통해 다른 시스템 또는 제어 장치에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 예를 들어, 제어 시스템(422)에 액세스하여, 인트라넷 연결 및/또는 인터넷 연결과 같은 직접 유선 연결 또는 무선 연결 중 적어도 하나를 통해 데이터를 교환할 수 있다. 또한, 당업자에 의해 이해되는 바와 같이, 제어 시스템(422)은 적절한 유선 또는 무선 연결을 통해 기판 공정 시스템(400)의 모듈과 데이터를 교환한다. 공정 모듈은 이들 자체의 개별 제어 시스템(도시되지 않음)을 가질 수 있으며, 개별 제어 시스템은, 공정 챔버 및 도구 그리고 모듈의 하위 시스템을 제어하기 위한 입력 데이터를 획득하고, 공정 순서 동안 공정 파라미터 및 계측치에 관련된 현장 출력 데이터를 제공한다.
도 5a 내지 도 5d는 본 발명을 구현하기 위한 내장형(on-board) 측정 및 계측을 사용하는 공통 플랫폼의 일 실시형태를 도시한다. 도 4에 도시된 시스템과 유사하게, 플랫폼(500)을 통해 구현되는 기판 공정 시스템은, 로드락 챔버(510a, 510b) 및 카세트 모듈(504a, 504b)과 연결된 전단 이송 시스템 또는 FEM(502)을 포함한다. 기판 이송 모듈(512)은 하나 이상의 공정 모듈(520a, 520b, 520c, 및 520d)과 하나 이상의 측정/계측 모듈(516) 사이에서 기판을 이동시킨다. 일반적으로, 이송 모듈(512)은, 챔버의 내부 공간을 통하여 그리고 공정 순서의 공정 모듈의 안과 밖으로 기판을 조작 및 이동시키는 하나 이상의 이송 기구 또는 로봇(514)을 포함하는 챔버를 구비한다.
보다 구체적으로, 이송 기구(514)는 제어된 환경을 한정할 수 있는 이송 모듈의 내부 공간(513)의 내부에 위치되며, 내부 공간 및 환경을 통하여 그리고 복수의 공정 모듈(520a 내지 520d) 및 측정 모듈(516)의 안과 밖으로 선택적으로 소재를 이동시키도록 구성되거나, 측정 검사 시스템이 데이터를 측정하도록 하기 위해, 내부 공간의 전용 영역에 있는 측정 영역의 안과 밖으로 소재를 이동시키도록 구성된다. 본 발명의 일 특징에 따라, 이송 모듈(512)의 내부 공간(513), 그리고 공정 모듈(520a 내지 520d) 및 측정 모듈(516)은 공통 플랫폼을 통해 함께 연결되기 때문에, 전반적으로 측정 및 공정 순서의 대부분 또는 전부 동안, 제어된 환경이 소재에 대해 유지될 수 있다. 이러한 제어된 환경은 이송 모듈 또는 측정 모듈에서의 진공 환경 또는 불활성 가스 분위기를 포함할 수 있다.
도 4에 도시된 실시형태와 유사하게, 도 5a의 시스템(500)은, 다양한 공정 모듈(520a 내지 520d)과 유사하게 적절한 액세스 포트 및 게이트(G)를 통하여 이송 모듈(514)과 연결되는 적어도 하나의 소재 측정/계측 모듈(516)을 통합한다.
보다 구체적으로, 이송 모듈(512)은 적합한 게이트(G)를 각각 갖는 복수의 액세스 포트 또는 측면 포트를 포함하며, 이를 통하여, 복수의 공정 모듈(520a 내지 520d)로 그리고 복수의 공정 모듈(520a 내지 520d)로부터 소재가 이동된다. 플랫폼(500)을 통한 효율적인 처리량을 위한 필요한 공정 순서를 제공하기 위해, 복수의 공정 모듈(520a 내지 520d)은 공통 플랫폼을 통해 다양한 소재 공정 단계를 처리하는 모듈을 포함한다. 예를 들어, 플랫폼은 하나 이상의 에칭 모듈 및 하나 이상의 막 형성 또는 증착 모듈을 포함한다. 도 5a에 도시된 바와 같이, 측정 모듈(516)은 적합한 게이트(G)를 통하여 측면 또는 액세스 포트 중 하나에서 이송 모듈과 또한 연결된다. 다른 실시형태에서, 도 6a에 도시된 바와 같이, 측정 모듈은 이송 모듈의 상부에 형성된 포트에서 이송 모듈과 연결된다. 본원에 설명된 바와 같은 또 다른 실시형태에서, 이송 모듈은 측정 모듈로도 역할을 하며, 측정 데이터를 포착하기 위한 측정 모듈의 적어도 일부는 이송 모듈의 내부 공간의 내부에 통합되거나 위치된다. 이러한 실시형태에서 이송 측정 모듈(TMM)은 도 7a 내지 도 7c에 도시된 바와 같이, 이송 모듈의 내부 공간의 전용 영역 내에 위치된 측정 영역을 포함한다.
기판이 하나 이상의 공정 모듈과 측정/계측 모듈(516) 사이에서 공정 순서로 이동함에 따라, 능동 차단 제어 시스템은 대체로 즉각적으로 소재 측정 데이터를 수집한다. 데이터가 포착된 다음, 분석 및 처리됨으로써, 부정합 및 결함을 검출하고, 본원에 설명된 바와 같은 보정 공정을 제공한다. 능동 차단 제어 시스템(522)은 검출된 부정합/결함을 보정하기 위해, 수행되는 바와 같은 다양한 제조 공정 단계를 제어 조정하도록 순서의 공정 단계에 대한 필요한 제어를 제공한다. 포착된 측정 데이터의 업스트림에 있거나 선행하는 공정 단계 및 공정 챔버에 대한 조정, 및/또는 순서에서 측정 데이터의 다운스트림에 있거나 후속하는 공정 단계에 대한 조정이 이루어질 수 있다. 대안적으로, 적합한 보정 조치 또는 보정 공정은, 구제될 수 없는 소재(들)에 대한 추가적인 시간 및 재료를 낭비하지 않도록 하기 위해, 플랫폼(500)을 통하는 공정 흐름으로부터의 소재(들)의 방출을 포함할 수 있다.
도 5b를 참조하면, 공통 플랫폼(500)의 시스템을 통한 공정 순서에 대해 실시간으로 기판에 대한 측정을 수행하기 위한 검사 시스템(530)을 통합하는 하나의 예시적인 측정 모듈(516)이 도시된다.
검사 시스템(530)은, 물리적 특성, 화학적 특성, 광학적 특성, 전기적 특성, 재료 특성, 또는 이들 중 둘 이상의 일부 조합과 같은, 하나 이상의 특성과 관련된 데이터를 포함할 수 있는 소재의 특성과 관련된 데이터를 측정한다. 측정 데이터는 소재 상에 형성된 하나 이상의 층과 관련된 데이터를 더 포함할 수 있다. 언급된 바와 같이, 측정 모듈에서 데이터를 측정하기 위해 사용되는 검사 시스템 또는 도구는, 신호 소스 및 신호 포착 센서, 접촉식 센서, 및 다른 측정 도구와 관련된 다양한 상이한 기술을 사용하여, 다음의 기술 또는 장치 중 하나 이상을 구현할 수 있다: 반사 측정, 간섭 측정, 산란 측정, 표면형상 측정, 타원법과 같은 광학적 박막 측정; X선 광전자 분광법(XPS), X선 형광법(XRF), X선 회절(XRD), X선 반사 측정(XRR)과 같은 X선 측정; 이온 산란 분광법, 저에너지 이온 산란(LEIS) 분광법, 오제 전자 분광법, 2차 이온 질량 분광법, 반사 흡수 IR 분광법, 전자빔 검사, 입자 검사, 입자 계수 장치 및 검사, 광학 검사, 도펀트 농도 계측, 막 저항률 계측, 예를 들어 4-포인트 프로브, 와전류 측정과 같은 이온 산란 측정; 미량 천칭, 가속도계 측정, 전압 프로브, 전류 프로브, 열 측정을 위한 온도 프로브, 또는 스트레인 게이지. 공정 순서 동안 그리고 계측 모듈 또는 TMM을 통하여 소재가 이동됨에 따라, 검사 시스템은 공정 모듈에서 소재가 처리되기 전 또는 후에 데이터를 측정함으로써, 공정 단계 및 모듈의 작업을 결정하고, 본 발명에 따른 보정 공정에 대한 임의의 필요성을 평가한다.
도 5b의 도시된 실시형태에서, 검사 시스템(530)은, 소재(536)를 향해 측정 신호(534)를 지향시키는 하나 이상의 신호 소스(532)를 포함한다. 입사 신호(534)가 소재(536)의 표면으로부터 반사되거나 산란되고, 산란된 신호(535)가 검출기(540)에 의해 포착된다. 일 실시형태에서, 소재는 측정 플랫폼(538)의 이송 기구(514)에 의해 배치되며, 이송 기구(514)는 측정 신호(534)가 기판(536) 상의 다양한 적절한 위치로 지향될 수 있도록, 도 5b에서 화살표로 나타낸 바와 같이 좌우 및 상하로 병진 이동될 수 있고 회전될 수 있다.
즉, 도 5b의 실시형태에서, 측정 모듈은, 측정 모듈(516)에 위치된 소재를 지지하기 위한 별도의 지지 기구(538)를 포함한다. 검사 시스템은, 지지 기구 상에 지지된 소재의 특성과 관련된 데이터를 측정하기 위해 지지 기구(538)와 연결된다. 그러한 시나리오에서, 측정 모듈(516)의 지지 기구(538)는 대체로, 소재를 달리 이동시켜서 지지 기구 상에 이를 위치시키는 이송 기구와는 별개이다.
별도의 지지 기구는 예를 들어, 수직 및/또는 수평 이동을 통해 소재를 병진 이동시키고, 본원에 설명된 바와 같은 소재의 특성과 관련된 데이터를 측정하기 위해 적어도 2개의 자유도를 제공하도록 소재를 회전시킬 수도 있다. 또한, 지지 기구는 소재 온도를 제어하기 위해 그 안에 온도 제어 요소를 포함할 수 있다. 따라서, 도 5b의 실시형태에서, 지지 기구는 이송 기구에 의해 소재가 그 위에 위치된 후에, 데이터의 측정을 위해 필요한 소재의 지지 및 이동을 제공한다. 본 발명의 대안적인 실시형태에서, 도 5c에 도시된 바와 같이, 이송 기구는 소재의 특성과 관련된 데이터를 측정하기 위한 검사 시스템과의 연결을 위해, 소재를 지지하고 이동시키는 기능을 제공한다.
도 5c를 참조하면, 이송 기구는 측정 모듈에 소재를 위치시키거나, 이송 측정 모듈의 경우, 이송 챔버의 전용 영역 내에 위치된 측정 영역에 소재를 위치시킴으로써, 검사 시스템이 측정 데이터를 획득하기 위해 소재와 연결될 수 있다. 즉, 이송 기구는, 소재를 지지하고 소재의 특성과 관련된 측정을 위해 필요한 병진 이동 및/또는 회전을 제공하기 위한 적합한 지지 기구로서 역할을 하거나 이를 포함한다.
지지 기구, 또는 지지 기구로서 작용하는 이송 기구는 (본원에 참조로 포함되고 도시되는 바와 같은) 체결 기구를 포함할 수 있다. 또한, 지지 기구, 또는 소재 지지 기구를 제공하는 이송 기구는 본원에 개시된 바와 같이, 하나 이상의 자유도를 제공하기 위한 자기 부상 스테이지를 더 포함할 수 있다.
검사 시스템(530)은 측정되는 소재(536)의 표면으로부터 반사되거나 산란되는 신호를 포착하기 위해, 하나 이상의 검사 신호 소스(532) 및 하나 이상의 신호 수집기 또는 신호 검출기(540)를 포함한다. 검출기(540)는, 본원에 설명된 바와 같은 능동 차단 제어 시스템(522)으로 이후에 전송될 수 있는 측정 데이터(550)를 생성한다.
도 5b를 다시 참조하면, 소재 이송 기구 또는 로봇(514)은 공정 챔버(520a 내지 520d)로부터 측정 모듈(516)로 기판을 이동시켜서 지지 기구 플랫폼(538) 상에 배치하거나, 도 5c의 일 실시형태에서, 검사 시스템과 연결되도록 소재를 위치시킨다. 검사 시스템(530)은 측정 데이터를 측정 및 포착한다. 본 발명의 일 실시형태에서, 측정 모듈(516)은, 제어되지만 진공상태가 아닌 환경에서 작동한다. 대안적으로, 측정 모듈(516)은 측정을 위한 진공 환경을 제공한다. 이를 위해, 기판 이송 챔버(512)와 측정 모듈(516) 사이의 액세스 포트에 게이트 밸브(552)가 포함될 수 있다. 이해되는 바와 같이, 측정 모듈(516) 내에서 진공상태가 필요한 경우, 그러한 목적을 위해 적절한 진공 장비(도시되지 않음)가 모듈(516)의 내부 공간과 연결될 수 있다. 소재(536)가 측정되면, 이송 챔버(512)의 이송 기구(514)에 의해 측정 모듈(516)의 밖으로 이동될 수 있고, 그 다음, 예를 들어, 능동 차단 제어 시스템에 의해 데이터가 분석되어, 보정 공정 조치와 같은 적절한 조치가 결정된 후에, 공정 흐름에 따라 하나 이상의 다른 공정 챔버(520a 내지 520d)로 이송될 수 있다.
그 다음, 본원에 추가로 설명되는 바와 같이, 포착된 측정 데이터(550)는 제어 시스템(522)에 전송될 수 있으며, 측정된 기판에 대한 특정 조치를 결정하기 위해 추가로 평가 및 분석될 수 있다. 측정된 파라미터가 원하는 설계 및 제조 공정의 사양 내에 있음을 측정 데이터가 나타내는 경우, 및/또는 조치 가능한 검출된 결함이 없음을 나타내는 경우, 소재는 플랫폼(500)의 시스템 내에서의 공정 흐름을 통해 정상적으로 진행될 수 있다. 대안적으로, 소재가 보정 또는 개선 범위를 넘어섰음을 측정된 데이터(550)가 나타내는 경우, 소재는 추가적인 공정으로부터 방출될 수 있다. 대안적으로, 본 발명의 일 실시형태에 따라, 능동 차단 제어 시스템은 데이터를 분석하여, 그 소재에 대해 취해지거나 전체 공정 흐름의 다양한 공정 단계에서 이루어질 하나 이상의 보정 단계로서 보정 공정을 제공함으로써, 현재의 소재를 보정할 수 있고, 시스템에서 후속적으로 처리되는 다른 소재의 보정 조치의 필요성도 방지할 수 있다. 구체적으로, 도 5b를 참조하면, 능동 차단 제어 시스템은, 공정 흐름에 대한 보정을 제공하기 위해 하나 이상의 공정 단계 및 공정 구성 요소를 그 안에 통합할 수 있다. 먼저, 블록(554)으로 도시된 바와 같이, 필요한 측정 데이터(550)가 포착되어 전처리될 수 있다. 그 다음, 블록(556)으로 나타낸 바와 같이, 하나 이상의 공정 모듈 및 공정 단계와 관련된 임의의 현장 공정 데이터 뿐만 아니라 포착된 데이터에 대해, 모델링 및 데이터 분석이 수행된다. 모델링 및 분석은 본원에서 추가로 설명되는 바와 같이, 딥 러닝 및 자율 학습 프로그램 및 구성 요소를 포함하는 인공 지능을 활용할 수 있다. 그 다음, 분석을 통해 플랫폼(500)의 시스템을 위한 보정 공정 제어를 제공할 수 있으며, 하나 이상의 공정 단계 및 공정 챔버는, 기판 제조를 위한 전반적인 설계에 대하여 사양을 벗어나는 층 및 형상부의 감지된 또는 검출된 부정합 또는 결함을 보정하거나 개선하도록 제어된다. 블록(558)의 보정 공정 제어는 하나 이상의 공정 단계 또는 공정 모듈에 제공될 수 있으며, 이는 측정 데이터(550)의 포착 시점에 대해 시간 상으로 이전의(업스트림) 하나 이상의 공정 단계에 적용될 수 있거나, 바람직한 설계에 따른 전반적인 기판 제조 범위 내에서 측정 데이터(550)의 포착 시점 이후의(다운스트림) 하나 이상의 공정 단계에 적용될 수 있다. 본원에 설명된 바와 같은 능동 차단 제어 시스템(522), 및 블록(554, 556 및 558)에 의해 나타낸 바와 같은 이의 프로세스는, 제어 시스템(522)의 하나 이상의 컴퓨터, 및/또는 그 시스템의 구성 요소에 의해 실행되는 소프트웨어에 통합될 수 있다.
본 발명의 실시형태에 따라, 측정 데이터를 획득하기 위한 검사 시스템은, 측정되는 특성 또는 측정의 유형에 따라, 접촉식 측정 또는 계측, 또는 비접촉식 측정 또는 계측을 수행함으로써 소재와 연결된다. 접촉식 및 비접촉식 측정 모두의 조합이 사용될 수 있다. 검사 시스템의 위치에 따라, 검사 시스템의 일부는 모듈의 내부 공간 또는 챔버의 내부에 부분적으로 또는 전체적으로 위치될 수 있다. 본원에 개시된 바와 같은 도 5a 및 도 6a의 실시형태에서, 전용 측정 모듈(516, 616)은 검사 시스템을 완전히 수용할 수 있다. 대안적으로, 측정 모듈의 일부는 소재 이송 모듈의 내부 공간의 내부와 같은, 챔버의 내부 공간의 내부에 위치될 수 있으며, 측정 모듈의 다른 일부는 챔버의 외부에 위치될 수 있다. 이러한 실시형태는 예를 들어 도 7a에 도시되며, 이송 챔버 내부 공간의 전용 영역 내에 위치된 측정 영역을 사용하는 이송 측정 모듈이 도시되고, 검사 시스템은 소재의 특성과 관련된 데이터를 측정하기 위해, 측정 영역에 위치된 소재와 연결되도록 구성된다.
이제 도 5e를 참조하면, 검사 시스템(530)은, 검사 시스템과 연결되는 측정 모듈(516) 또는 이송 측정 모듈(TMM) 내에서 소재(536)가 이동됨에 따라, 소재(536)의 표면으로부터 반사되거나 달리 지향되는 검사 신호를 감지 또는 수집하기 위한 하나 이상의 검출기(540a, 540b 및 540c)와 함께 사용되는, 하나 이상의 검사 신호 소스(532a, 532b, 532c)를 포함할 수 있다. 본 발명의 실시형태에서, 검사 시스템(530)은 하나 이상의 신호 소스(532a 내지 532c)를 통합함으로써 신호를 발생시키고, 지지 기구(538) 또는 이송 기구(514) 상에 위치되거나/위치되고 이동되는 소재(536)의 표면 상으로 신호를 지향시킨다.
본 발명의 실시형태에 따라, 신호 소스(532a, 532b, 532c)는 소재(538)의 표면(539) 상에 입사될 전자기 신호, 광 신호, 입자빔 또는 하전 입자빔, 또는 다른 신호 중 하나 이상을 발생시킬 수 있다. 반대로, 검출기 요소(540a, 540b, 540c)는 데이터를 측정하고 소재의 특성에 대한 계측을 제공하기 위해, 반사된 또는 산란된 해당 전자기 신호, 광 신호, 입자빔 또는 하전 입자빔, 또는 소재(538)의 표면(539)으로부터 반사되거나 달리 지향될 수 있는 다른 신호를 수신하도록 배치될 수 있다.
도 5e를 참조하면, 소재(536)를 홀딩하는 지지 기구(538) 또는 이송 기구(514)는, 소재(536) 상의 다양한 영역에 대한 측정치를 제공하기 위해 병진 이동 및 회전될 수 있다. 이러한 방식으로, 측정 데이터는 전체 소재의 다양한 부분 또는 세그먼트에서 포착될 수 있다. 따라서, 연속적 측정 또는 지점별 측정이 가능하므로, 전체적인 측정 시간 및 공정 시간을 단축시킨다.
예를 들어, 검사 시스템은 1 평방 센티미터 이상인 소재의 일부분에 대한 데이터를 측정한다. 대안적으로, 검사 시스템은 소재의 작업 표면적의 90% 이상인 소재의 상당 부분을 측정하거나 이미징한다. 언급된 바와 같이, 검사 시스템은 소재의 작업 표면 상의 복수의 개별 위치에서 측정을 수행할 수 있거나, 소재의 일부분에 걸쳐서 연속적인 일련의 측정을 수행할 수 있다. 예를 들어, 검사 시스템은 소재에 걸쳐서 또는 부분적으로 걸쳐서 연장되는 경로를 따라 측정을 수행할 수 있다. 이러한 경로는 라인, 일련의 라인, 호, 원형 곡선, 나선형 곡선, 아르키메데스 나선형, 로그 나선형, 황금 나선형, 또는 이들의 일부 조합을 포함할 수 있다. 또한, 도 5c에 도시된 바와 같이, 다수의 검사 시스템이 있을 수 있으며, 소스/검출기 쌍(532, 540)은 상이한 검사 시스템으로부터의 상이한 검사 신호를 각각 나타낼 수 있고, 상이한 형태의 신호일 수 있다. 예를 들어, 검사 시스템에 따라, 하나의 시스템(532a, 540a)은 광 신호를 사용할 수 있는 반면에, 하나 이상의 다른 시스템(532ab, 540b)은 전자기 신호를 사용할 수 있다.
도 5e에 도시된 바와 같은 검사 시스템(들)은 본원에 설명된 바와 같이, 소재가 측정 모듈에 있거나 이송 측정 모듈의 전용 영역에 있는 동안, 소재의 특성에 대한 여러 번의 측정을 수행한다. 측정은 시간 상으로 동시에 수행될 수 있다. 즉, 상이한 다른 검사 시스템들이 동시에 측정을 수행할 수 있다. 대안적으로, 다양한 검사 시스템이 상이한 시간에 작동될 수 있다. 예를 들어, 하나의 유형의 측정 또는 검사 시스템을 위해 하나의 위치에 소재를 이동시키거나 위치시킨 다음, 동일한 또는 상이한 유형의 검사 시스템에 의한 다른 측정을 위해 소재를 이동시키거나 위치시키는 것이 필요할 수 있다.
검사 시스템(들)은, 검출기 요소(540a, 540b, 540c)를 위한 비접촉식 신호를 발생시키는 신호 소스(532a, 532b, 532c)로 도시된 바와 같은, 비접촉식 측정 및 계측을 제공하기 위한 비접촉식 시스템일 수 있다. 대안적으로, 측정 모듈 또는 이송 측정 모듈의 하나 이상의 검사 시스템은, 측정을 수행하기 위해 소재의 표면(539)의 일부분에 센서(541)를 위치시키기 위한 기구(543)에 의해 이동되어 위치될 수 있는 센서(541)와 같은, 접촉식 센서를 사용할 수 있다. 본 발명에 따라 제공되는 검사 시스템은, 소재의 특성과 관련된 측정 데이터를 수집하기 위해 접촉식 검사 시스템 및 비접촉식 검사 시스템의 조합을 포함할 수 있다.
본원에 설명된 바와 같은 측정 모듈 또는 이송 측정 모듈의 검사 시스템으로 측정되는, 도 5e에 도시된 바와 같은 소재의 표면(539)에서는, 전반적으로 소재의 상부 표면 또는 작업 표면과 관련된 특성이 측정된다. 그러나, 본원에 설명되고 추가로 도시되는 바와 같이, 원하는 경우, 소재의 바닥 표면으로부터 측정을 수행하고 데이터를 수집하도록, 검사 시스템이 배치 및 위치될 수 있다.
측정되는 소재(536)는 흔히 반도체 소자로 완성될 소재이지만, 본 발명의 측정 및 계측은 이러한 제품 소재에 대해 수행될 수 있거나, 비제품 소재 또는 기판(즉, 모니터링 소재 또는 기판)에 대해 수행될 수 있다. 제품 소재 기판에 대해, 지정된 목표 구조물(소자형 및 비-소자형 모두) 상에서, 지정된 소자 영역 내 또는 상에서, 임의적인 영역 내 또는 상에서, 또는 소재 상에 생성된 테스트 구조물 내 또는 상에서, 측정 및 계측이 수행될 수 있다. 테스트 구조물은 피치 구조물, 면적 구조물, 밀도 구조물 등을 포함할 수 있다.
전반적으로, 다수의 도면에 도시된 바와 같이, 본원에 개시된 바와 같은 측정 모듈 또는 이송 측정 모듈에서 구현되는 바와 같은 검사 시스템은 고정식일 수 있지만, 지지 기구 또는 소재 이송 기구는, 검사 시스템과 연결되어 소재의 상이한 영역에서 측정을 수행하도록 하기 위해 소재를 이동시킨다. 대안적으로, 도 5d에 도시된 바와 같이, 검사 시스템(530), 또는 이의 일부는, 소재 지지 기구(538), 소재 이송 기구(514), 및 소재를 수용하는 모듈 또는 챔버(측정 모듈의 챔버이든 또는 이송 측정 모듈의 챔버이든 상관없음)를 중심으로 이동 가능하다. 도 5d에 도시된 바와 같이, 검사 시스템은 소재의 영역으로부터 측정 데이터를 획득하기 위해, 고정된 소재를 중심으로 병진 이동 및/또는 회전하도록 구성될 수 있다.
본 발명의 다른 실시형태에서, 검사 시스템은 소재 지지 기구에 내장될 수 있거나 그 일부일 수 있다. 도 5f를 참조하면, 검사 시스템(530)은 지지 기구(538) 상에 장착 또는 지지될 수 있다. 그 다음, 소재가 지지 기구 상에 위치되는 경우, 이는 검사 시스템과의 연결을 위한 적절한 위치에 있을 것이다. 또한 도 5f에 도시된 바와 같이, 검사 시스템(531)은 위치된 소재의 아래에 놓이거나 달리 인접하도록 지지 기구에 내장될 수 있다. 이러한 검사 시스템은 예를 들어, 소재의 질량 측정 또는 온도 측정과 관련된 측정 데이터를 제공할 수 있다.
본원에 추가로 설명되는 바와 같이, 검사 시스템(530)은 측정 모듈 또는 이송 측정 모듈 내에 위치될 수 있으므로, 진공 또는 제어된 환경에서 측정 데이터를 제공하도록 작동될 수 있다. 대안적으로, 검사 시스템은, 측정 모듈을 한정하는 챔버 또는 내부 공간의 외부에 있는 검사 신호 소스(532) 및 검출기(540)를 포함할 수 있다. 그러한 경우, 신호는 전반적으로, 하나 이상의 개구, 조리개, 또는 윈도우를 통하여 지향될 수 있고, 도 7a에 도시된 바와 같은 이송 측정 모듈과 관련하여 본원에 설명된 바와 같은 계측 모듈에 의해 한정된 공간으로 지향될 수 있다.
도 6a 및 도 6b는 예를 들어 공통 플랫폼(600)에서, 측정/계측 모듈이 기판 이송 챔버를 통하여 복수의 기판 공정 챔버와 연결되는 본 발명의 대안적인 실시형태를 도시한다. 도 6a 및 도 6b에 도시된 바와 같은 실시형태에서, 언급되는 다양한 요소는 도 5a에 개시된 그러한 요소와 유사하므로, 유사한 참조번호 중 일부가 이러한 유사한 요소에 대해 유지된다. 보다 구체적으로, 본원에 설명된 바와 같은 측정 모듈 및/또는 검사 시스템은, 도 5a의 플랫폼(500) 및 모듈(516)로 설명된 바와 같이 유사하게 구현 및 작동될 수 있다.
도 6a에 도시된 바와 같은 공통 제조 플랫폼(600)의 시스템에서, 측정/계측 모듈(616)은 별도의 모듈로서 구현된다. 그러나, 모듈은 이송 모듈(612)의 상부에 위치되며, 이송 모듈의 상부를 통해 액세스되거나, 모듈(612)의 이송 챔버(613)의 내부 공간의 상부 벽을 통해 액세스된다. 도 6a에 도시된 바와 같이, 이는 기판 이송 챔버(612)의 둘레에 위치된 공정 모듈(620e)과 같은 추가적인 공정 모듈을 위한 추가적인 공간 및 장소를 제공한다.
도 6b를 참조하면, 도시된 바와 같은 측정/계측 모듈(616)은 이송 챔버(612)의 상부에 위치된다. 따라서, 측정/계측 모듈(616)은 모듈(616)의 바닥 영역을 통해 그리고 기본적으로 이송 챔버(612)의 상부 벽을 통해 액세스될 수 있다. 이를 위해, 기판 이송 챔버(612)의 상부에 있는 개구부 또는 포트(652)는 측정/계측 모듈(616)의 바닥에 있는 개구부 또는 포트와 일치될 것이다. 예를 들어, 도 6b에 도시된 바와 같이, 측정/계측 모듈(616)과 이송 챔버(612) 사이의 계면에 나타낸 바와 같은 그러한 액세스 포트(652)에서 게이트 밸브가 사용될 수 있다. 측정/계측 모듈(616) 내에서 진공상태가 유지되어야 하는지 여부에 따라, 게이트 밸브는 선택사항일 수 있다.
소재(636)를 그 위에 지지하기 위한 지지 기구(638)는 도 6b에 도시된 바와 같이, 지지 기구(638)를 상승 및 하강시키기 위한 승강 기구(639)를 포함한다. 점선으로 도시된 바와 같은 하강 위치에서, 기구(638)는 이송 기구 또는 로봇(614)으로부터 소재(636)를 수용하기 위한 위치에 있다. 그 다음, 기구(639)는 하나 이상의 검사 시스템(630)과의 연결을 위해 측정 모듈(616)에 의해 한정된 챔버로 지지 기구(638)를 상승시킨다. 도 6b는 단일 비접촉식 검사 시스템(630)을 개시하지만, 도 5e 및 관련 도면과 관련하여 설명된 바와 같이, 플랫폼(500)의 측정 모듈(616)과 관련하여, 다른 접촉식 및 비접촉식 검사 시스템이 사용될 수 있다. 지지 기구(638) 및 검사 시스템(630)은 플랫폼(500)과 관련하여 본원에 설명된 바와 같이 작동할 수 있으며, 그러한 플랫폼과 관련하여 언급된 바와 같은 모든 특징을 갖는다. 또한, 단일 측정 모듈(616)이 도시되지만, 다른 측정 모듈 및 검사 시스템이 공통 플랫폼(600)의 이송 모듈(612)의 상부 표면 상에 구현될 수 있음을 이해할 것이다.
본원에 설명된 바와 같이, 검사 신호 소스(632)는 하나 이상의 검사 신호(634)를 소재(636)의 표면으로 전송하며, 그 다음, 이러한 신호는 적절한 검출기(640)에 의해 수신될 신호(635)로 나타낸 바와 같이 반사 또는 산란된다. 이에 따라, 측정/계측 데이터(550)가 생성되고, 본원에 설명된 바와 같이 능동 차단 제어 시스템(522)에 의해 적절하게 처리될 수 있으며, 능동 차단 제어 시스템(522)은 데이터를 포착하고, 데이터를 모델링 및 분석한 다음, 플랫폼(600)의 시스템을 위한 보정 공정 제어를 제공한다. 제어 시스템은 공정 흐름에 영향을 주고, 부정합 또는 결함을 나타내거나, 특정 층, 형상부 또는 소자가 제조 설계에 대한 사양을 벗어남을 나타내는, 임의의 측정치를 보정 또는 개선한다. 이해될 수 있는 바와 같이, 도 6a 및 도 6b에 도시된 실시형태는, 하나 이상의 측정/계측 모듈과 함께 공통 제조 플랫폼을 통해 복수의 상이한 공정 모듈을 호스팅하는 기능을 제공하며, 제어된 환경 또는 진공 환경으로부터 기판을 분리시키지 않으면서 그리고 공정 순서 동안 실시간으로 측정/계측 데이터를 포착하기 위해, 처리되는 소재는 제어된 환경에서 또는 진공상태에서 측정/계측 모듈로 즉시 이송될 수 있다.
공통 제조 플랫폼은 에칭 모듈 및 막 형성 모듈과 같은 공정 모듈과 조합되는 하나 이상의 측정 모듈을 포함할 수 있지만, 본 발명의 다른 실시형태에 따라, 측정/계측 모듈의 기능은 공정 순서에 따라 다양한 공정 모듈을 통하여 소재를 이동시킬 수 있는 이송 모듈 내에 통합된다. 보다 구체적으로, 이송 모듈은 대체로, 이송 모듈을 통하여 그리고 선택된 공정 모듈의 안과 밖으로 소재를 이동시키기 위한 로봇과 같은 이송 기구를 수용하는 내부 공간을 한정하는 이송 챔버를 포함한다. 본 발명의 특징에 따라, 측정 영역은 이송 챔버 내부 공간의 전용 영역 내에 위치된다. 측정 영역은 측정 데이터를 획득하기 위한 목적으로, 측정 영역에 소재를 위치시키기 위한 이송 기구에 의해 액세스 가능하다. 보다 구체적으로, 공정 단계 또는 그 시점까지의 전체적인 공정 순서의 특정 결과를 결정하기 위해, 소재가 공정 모듈에서 처리되기 전 또는 후에, 소재가 측정 영역에 위치될 수 있다. 검사 시스템은 측정 영역에 위치된 소재와 연결되도록 구성된다. 검사 시스템은 본 발명의 특징에 따라, 소재의 특성과 관련된 데이터를 측정하도록 작동 가능하다. 본원에 추가로 설명되는 바와 같이, 이송 기구는, 측정을 수행하기 위해 측정 영역 내에 위치된 별도의 지지 기구 상에 기판을 배치할 수 있다. 대안적으로, 이송 기구 자체가 지지 기구의 역할을 할 수 있으며, 검사 시스템과의 연결을 위해 적절한 측정 영역에 소재를 이동시키고 위치시킬 수 있다. 따라서, 별도의 측정 모듈이 필요하지 않다. 오히려, 이송 모듈의 이송 챔버 내의 공간(real estate)은 측정을 위해 소재로의 액세스를 제공한다.
도 7a는 이송 동안 소재로부터 측정 데이터가 수집될 수 있는 측정 영역을 형성하기 위한 전용 영역을 사용하는, 본 발명의 일 실시형태에 따른 이송 모듈을 통합하는 공통 플랫폼(700)의 공정 시스템을 도시한다. 이러한 방식으로, 본원에 언급된 바와 같이, 소재는 진공 환경과 같은 제어된 환경 내에 유지되면서 처리 및 측정될 수 있다. 공정이 어떻게 진행되고 있는지를 결정하기 위해 그리고 임의의 부정합 또는 결함을 검출하기 위해, 소재가 플랫폼(700)의 환경을 벗어날 필요가 없다. 따라서, 도 7a에 도시된 바와 같은 실시형태는, 하나 이상의 공정 모듈과 함께 또는 공통 플랫폼의 일부로서 사용될 수 있는 이송 측정 모듈(TMM)을 형성한다. 또한, 본원에 설명된 바와 같이, 합동하여 보다 대형 공통 제조 플랫폼을 형성하기 위해, 다수의 이송 측정 모듈이 함께 사용되어 연결될 수 있다.
이송 측정 모듈(TMM) 내에 통합된 검사 시스템은, 본원에 설명된 바와 같은 다른 검사 시스템과 유사하게 작동한다. 예를 들어, 도 7b 및 도 7c에 도시된 바와 같은 그러한 검사 시스템은 특정 검사 시스템만을 도시한다. 그러나, 도 5a 내지 도 5f와 관련하여 설명된 것과 같은 다른 검사 시스템 및 특징이 도 7a에 도시된 이송 기구 모듈에도 적용 가능하다. 따라서, 본원에서 이전에 설명된 바와 같은 일부 공통의 참조번호가 도 7a 내지 도 7c에서 사용된다.
플랫폼(700)은 측정/계측 데이터를 제공하는 소재 이송 모듈(712)을 통합한다. 이송 측정 모듈(TMM)(712)은, 예를 들어 이송 챔버(713)의 내부 공간 내의 핸들링 로봇(714) 형태의 소재 이송 기구를 포함한다. 플랫폼(500 및 600)에서와 같이, 이송 기구(714)는, 이송 모듈(712)을 통하여 그리고 도 7a에 도시된 공통 제조 플랫폼의 이송 챔버(712)에 연결된 다양한 공정 모듈 사이에서 하나 이상의 소재를 이동시키도록 작동 가능하다. 본 발명의 일 특징에 따라, 이송 챔버(713)는 측정을 위해 사용되는 전용 영역을 포함하는 내부 공간을 한정한다. TMM(712)의 측정 영역(715)은 전용 영역에 위치된다. 측정 영역/지역(715)은 측정을 위해 하나 이상의 검사 시스템(730)에 인접한다.
보다 구체적으로, 측정 영역(715)은 공정 순서 동안 그리고 다양한 공정 모듈의 안과 밖으로 소재를 이동시킬 때, 이송 측정 모듈의 주 목적을 방해하지 않도록 이송 챔버(713) 내에 위치된다. 측정 영역은, 측정을 위해 소재를 배치하기 위한 하나 이상의 위치를 한정한다. 이를 위해, 하나 이상의 검사 시스템은 이송 챔버(713)의 측정 영역에 위치된 소재와 연결되도록 구성된다. 그 다음, 검사 시스템은 본 발명에 따라, 소재의 특성과 관련된 데이터를 측정하도록 작동 가능하다. 본원에 개시된 검사 시스템에서 언급된 바와 같이, 검사 시스템에 의한 측정 데이터의 수집 동안 소재를 지지하기 위한 지지 기구가 측정 영역(715) 내에 위치될 수 있다. 대안적으로, 이송 기구(714)가 이송 챔버의 측정 영역(715) 내에서 소재의 위치 설정 및 지지를 제공할 수 있다. 본 발명의 실시형태에 따라, 그러한 측정 영역과 관련된 하나 이상의 검사 시스템으로부터 측정 데이터를 획득하기 위해, 공정 순서 동안 측정 영역(715) 내로 또는 측정 영역(715)을 통하여 소재가 이동될 수 있다. 예시적인 목적을 위해 단일 측정 영역이 도 7a에 도시되지만, 다수의 측정 영역(750)이 TMM(712) 내에 통합될 수 있다.
도 7b를 참조하면, TMM 모듈(712)은 측정 영역(715) 내에 위치된 하나 이상의 검사 시스템(730)을 통합하며, 공정 순서 동안 실시간 측정치 및 측정 데이터를 획득하는 기능을 제공한다. 일 실시형태에서, TMM(712) 내의 측정 영역(715)은, 챔버(713) 내부에서의 측정을 위해 기구(714)로부터 소재를 수용하는 지지 기구(738)를 포함한다. 측정 데이터는 공정 모듈들 사이에서 소재가 이동됨에 따라 포착된다.
대체로, TMM(712)의 검사 시스템(730)은 측정 영역에 인접하게 위치되며, 소재의 특성과 관련된 데이터를 측정하기 위해 측정 영역(715)의 소재와 연결되도록 구성된다. 언급된 바와 같이, 하나 이상의 공정 모듈을 통하여 그리고 공정 순서로 소재를 이동시킬 때, 소재 지지 기구 및 임의의 관련 검사 시스템이 TMM의 주 기능을 방해하지 않도록, 측정 영역을 한정하기 위한 전용 영역이 위치된다. 도 7c에 도시된 바와 같이, 측정 모듈, 또는 측정 모듈의 일부인 검사 시스템은 측정을 수행하기 위해 TMM에 완전히 수용될 수 있다. 다른 실시형태에서, 검사 시스템 또는 측정 모듈의 적어도 일부는 도 7b에 도시된 바와 같이, 내부 공간의 전용 영역 내에 측정 영역을 한정하기 위해 TMM의 내부 공간의 내부에 위치된다.
TMM(712)의 일부인 측정 모듈의 검사 시스템(730)은, 검사 신호를 발생시키기 위한 하나 이상의 신호 소스(732), 및 하나 이상의 검출기(740)를 포함하는 비접촉식 시스템일 수 있다. 입사 신호(734)가 소재(736)의 표면으로부터 반사되거나 산란되고, 산란된 신호(735)가 검출기(740)에 의해 포착된다. 대안적으로, 도 5e에 도시된 것과 같은 접촉식 시스템이 사용될 수도 있다.
도 7b 및 도 7c는 TMM(712)의 대안적인 실시형태를 도시한다. 도 7b의 실시형태에서, 측정 모듈의 적어도 일부, 또는 측정 모듈과 관련된 검사 시스템의 적어도 일부는, TMM(712)의 챔버(713)의 내부 공간의 내부에 위치된다. 보다 구체적으로, 측정 영역(715)이 한정되고, 이송 챔버(713)의 내부 공간의 전용 영역 내에 위치된다. 검사 시스템의 신호 소스 및 신호 검출기 요소는 이송 챔버 내부 공간(713)의 외부에 위치되는 반면에, 소재(736)를 지지하기 위한 이송 기구(714) 및 소재 지지 기구(738)는 이송 챔버(713) 내에 수용된다. 이를 위해, 검사 시스템으로부터 그리고 내부 공간으로 검사 신호를 통과시키기 위해 실질적으로 투명한 적절한 액세스 포트(750)를 검사 신호(734)가 통과함으로써, 측정 영역(715)에 위치된 소재(736)와 연결된다. 언급된 바와 같이, 검사 신호는, 전자기 신호, 광 신호, 입자빔, 하전 입자빔, 또는 이러한 신호들의 일부 조합을 포함할 수 있다. 액세스 포트(750)는 특정 검사 시스템 및 검사 신호의 소스와 작동하도록 적절하게 형성될 수 있다. 예를 들어, 액세스 포트는, 윈도우, 개구부, 밸브, 셔터, 및 조리개, 또는 입사 검사 신호가 소재(736)와 연결될 수 있게 하기 위한 액세스 포트를 형성하기 위한 상이한 구조물들의 일부 조합을 포함할 수 있다. 이를 위해, 검사 시스템(730)의 적어도 일부는 대체로 이송 챔버(713)의 상부 표면 위에 위치될 수 있다.
본 발명의 특징에 따라, 지지 기구(738) 또는 이송 기구(어느 것이든 측정을 위해 소재를 지지함)는, 시스템에 대하여 소재를 스캐닝하기 위해 소재(736)의 이동을 제공한다. 대안적으로, 개시된 바와 같이, 검사 시스템이 스캐닝되는 동안, 소재가 고정될 수 있다. 일 실시형태에서, 기판 지지 기구는 예를 들어 검사 신호(734)의 경로 아래에서, 소재의 병진 이동 및 회전을 제공하며, 도 7b 및 도 7c에서 참조 화살표로 나타낸다. 이러한 방식으로, 측정/계측 데이터가 포착될 수 있고, 그 다음, 기판 층 및/또는 형상부가 사양을 벗어남을 나타내는 데이터를 처리하도록 또는 검출된 부정합 또는 결함을 보정하도록 제조 공정에 대한 보정을 제공하기 위해, 기판 공정 및 제조 동안 능동 차단을 제공하기 위한 본원에 설명된 제어 시스템(522)에 의해 사용될 수 있다.
본 발명의 일 특징에 따라, 이송 기구(714)는 하나 이상의 공정 모듈(720a 내지 720e)로부터 소재를 획득하여, 다른 공정 챔버로 이를 이동시키기 전에, TMM의 측정 영역(715)에 기판을 통과시킨다. 예를 들어, 기구(714)는, 하나 이상의 검사 시스템의 신호(734)와 관련하여 병진 이동 및/또는 회전되는 지지 기구(738) 상으로 소재(736)를 이송할 수 있다.
도 7c는 본 발명의 TMM의 대안적인 실시형태를 도시한다. 여기서, 측정 모듈은 대체로 이송 챔버(713)의 내부 공간의 내부에 완전히 위치된다. 즉, 지지 기구(738) 뿐만 아니라 검사 시스템(730) 및 구성 요소가 이송 측정 모듈(712)의 내부에 수용된다. 대체로, 검사 시스템 및 지지 기구를 포함하는 측정 모듈의 구성 요소들은 한정된 측정 영역(715)에 위치되므로, TMM의 내부 공간 또는 챔버 내에 이들 자체의 전용 영역을 갖는다.
도 7b 및 도 7c에 도시된 TMM의 실시형태는, 검사 신호가 소재 상으로 지향되는 비접촉식 검사 시스템(730)을 포함한다. 대안적으로, 언급된 바와 같이, 검사 시스템(730)은 소재의 특성과 관련된 데이터를 측정하기 위해, 소재와 물리적으로 접촉되거나, 지지 기구와 접촉되거나, 이 둘 모두와 접촉되는, 도 5e에 도시된 것과 같은 접촉식 측정 시스템을 포함할 수도 있다. 더욱이, 도 7b 및 도 7c는 소재(736)를 지지 기구(738) 상에 배치하는 것을 도시하지만, 실제로는, 도 5c에 도시된 바와 같이 검사 시스템에 대하여 소재를 이동시키기 위한 이송 기구 또는 로봇(714)이 지지 기구로서의 역할을 할 수 있다. 또한, TMM에 사용되는 측정 모듈을 위한 검사 시스템은 고정된 소재를 포함할 수도 있으며, 도 5d에 도시된 바와 같이, 검사 시스템 자체가 이동한다. 유사하게, 검사 시스템(530)은 도 5f에 도시된 바와 같이, 지지 기구의 일부로서 통합될 수 있거나, 지지 기구와 함께 내장될 수 있다.
TMM의 내부 공간의 내부에 위치되도록 측정 모듈의 적어도 일부를 통합함으로써, 소재가 공정 모듈들 사이에서 이송되면서 측정 영역으로 통과될 수 있기 때문에, 효율이 달성될 수 있다. 소재를 위한 지지 기구로서 이송 기구(714)를 사용하는 것은 특히 도 7a에 도시된 바와 같은 TMM을 위해 적합하다. 이를 위해, 도 7d 및 도 7e는, 검사 시스템이 이송 기구(714)에 직접 통합될 수 있는 본 발명의 다른 실시형태를 도시한다. 도시된 바와 같이, 검사 시스템(730)은 소재와 함께 이동하도록 이송 기구(714)에 연결될 수 있다. 이러한 방식으로, 소재가 공정 챔버 사이에서 이동하는 경우, 소재가 이동됨에 따라 측정 데이터를 획득하기 위해 검사 시스템(730)과 연결될 수 있다. 도 7e를 참조하면, 이송 기구에 의해 수용된 소재(736)의 어느 한 표면으로부터 데이터를 획득하기 위해, 이송 기구와 결합된 로봇의 위 및/또는 아래에 검사 시스템(730)이 통합될 수 있다. 도 7d 및 도 7e에 도시된 바와 같은 시스템은, 소재가 실제로 다른 별도의 검사 시스템으로 이동되고 있는 동안 데이터를 획득하기 위해 사용될 수 있다. 따라서, 도 7d 및 도 7e에 도시된 이송 기구(714)는, 본원에 개시된 바와 같은 다양한 실시형태의 측정 모듈 또는 이송 측정 모듈과 통합될 수 있다.
본원에 설명된 바와 같은 특정한 측정 시나리오 및 검사 시스템은, 본질적으로 소재의 상부 표면, 또는 본질적으로 소자가 그 위에 형성되는 소재의 작용 표면에 관련되는 것으로 도시된다. 대안적으로, 소재의 바닥 표면에 대한 측정이 요구될 수 있다. 이는 도 5f에 도시된 바와 같이, 내장형 측정 시스템을 포함하는 지지 기구 상에 소재를 위치시킴으로써 수행될 수 있다. 대안적으로, 도 7f 및 도 7g에 도시된 바와 같이, 검사 시스템은 도 7f에서와 같이 챔버(713)의 내부 공간 내에서부터, 또는 도 7g에 도시된 바와 같이 외부에서부터, 소재의 바닥 표면이 측정되도록 TMM(712)에 배치될 수 있다.
이해되는 바와 같이, 도 7a 내지 도 7c에 개시된 실시형태는 단일 검사 시스템을 도시하지만, 이송 측정 모듈(712)의 내부에 다수의 시스템(730)이 사용됨으로써, 소재에 대한 서로 다른 다양한 측정을 수행할 수 있고, 이에 따라 임의의 검출된 부정합 또는 결함을 보정하거나 개선하는 단계를 수행하기 위한 입력을 능동 차단 제어 시스템(522)에 제공할 수 있다. 제어된 환경 또는 진공상태일 수 있는 TMM의 공정 환경 내에서 즉각적으로 측정이 수행될 수 있다. 이러한 방식으로, 특성 및/또는 형상부의 다양한 측정치가 이송 모듈의 오염 없는 구역 내에서 결정될 수 있다. 이송 측정 모듈(TMM)의 내부에서, 소재는 진공상태를 중단시키지 않으면서 공정 영역으로부터 측정 영역(715)으로 이동할 수 있다. 이송 측정 모듈(712)은, 도시된 바와 같은 복수의 상이한 공정 챔버와 함께 공통 제조 플랫폼 내에 통합될 수 있는 모듈을 제공한다. 공정 순서의 완료 시에 다양한 공정 모듈 사이에서 소재가 이동되기 때문에, 전반적인 공정 순서에서 상당한 시간 증가 없이, 기판이 측정 영역(715)을 통과할 수 있다. 이에 따라, 측정 데이터가 실시간으로 용이하게 수집되며, 필요한 경우, 측정 데이터에 따라, 공정 순서에 영향을 주거나 공정 순서를 보정하도록 본원에 설명된 제어 시스템(522)에 의해 처리될 수 있다.
본 발명의 특징에 따라, 본원에서의 기판 지지 기구(538, 638, 738)는, 측정 모듈 또는 이송 측정 모듈(TMM) 내에서 소재 표면에 대한 필요한 측정을 수행하기 위한 다수의 자유도 및 운동을 제공하기 위해 사용된다. 예를 들어, 기판의 회전 뿐만 아니라, 다축 X-Y-Z 병진 이동이 제공된다. 지지 기구는 데이터를 포착하기 위한 목적으로, 소재의 이동에 대한 서브-미크론 레벨 제어를 제공할 수 있다. 본 발명의 일 실시형태에 따라, 다수의 운동 자유도를 제공하기 위한 기계적 구동 시스템이 지지 기구 및 플랫폼에 사용될 수 있다. 본 발명의 대안적인 실시형태에서, 자기 부상 및 회전식 지지 플랫폼이 사용될 수 있다. 그러한 지지 기구 및 플랫폼은 기계적 구동 시스템을 사용하는 지지 플랫폼과 관련된 가능한 일부 오염을 감소시킬 수 있다.
구체적으로, 도 7h 및 도 7i는 회전식 소재 홀더(772)를 통합하는 지지 플랫폼(770)을 도시한다. 예를 들어, 홀더(772)는 알루미늄으로 제조될 수 있다. 회전식 홀더(772)의 아래에서, 발열체(774)가 소재 홀더(772)에 열을 제공할 수 있다. 소재 홀더(772)는, 알루미늄으로 또한 제조될 수 있는 적절한 어댑터(778)를 통하여 자기 부상 회전자 요소(776)에 연결된다. 대체로, 자기 부상 회전자 요소(776)는 링 형상일 수 있다. 도 7i는 소재 홀더(772)의 부분 단면도만을 도시한다. 도 7h는 선형 병진 이동 기구(780)와 연결된 전체 소재 홀더(772)를 도시한다.
지지 기구 플랫폼(770)은, 자기 부상 회전자 요소(776)를 둘러싸고 이에 인접하는 자기 부상 고정자 또는 요소(790)를 더 포함한다. 회전자 요소(776)와 고정자 요소(790)의 상호 작용을 통해, 소재 홀더(772)는 베이스(792)를 중심으로 회전될 수 있다.
지지 플랫폼(770)의 병진 이동을 위해, 베이스 요소(792) 및 회전식 소재 홀더(772)가 병진 이동 기구(794)에 장착된다. 병진 이동 기구(794)는, 장착 요소(782)를 통하여 지지 플랫폼의 베이스 요소(792)에 적절하게 연결된 하나 이상의 병진 이동 막대(780)를 포함할 수 있다. 지지 플랫폼(770)은 진공 환경 내에 통합될 수 있으며, 구체적으로는, 계측 데이터를 포착하기 위한 하나 이상의 검사 시스템에 인접하게 소재의 회전 및 병진 이동을 제공하기 위해, 본원에 개시된 바와 같은 다양한 측정 모듈 또는 이송 측정 모듈 내에 통합될 수 있다. 지지 플랫폼(770)은 바람직한 측정 데이터를 제공하기 위해, 제어 시스템의 명령으로 최대 300 mm/s의 속도로 병진 이동될 수 있다. 소재 홀더는 병진 이동될 때, 예를 들어 최대 120 RPM의 속도로 회전될 수 있다. 또한, 발열체(774)를 통해 가열이 제공될 수 있다. 또한, 병진 이동 막대(780)는, 지지 플랫폼(770)을 승강시키기 위한 승강 기구(도시되지 않음) 뿐만 아니라, 다른 축을 따라 소재 홀더(772)를 이동시키기 위한 추가적인 병진 이동 기구에 연결될 수 있다. 소재 홀더(772)는 본원에 개시된 바와 같은 측정 모듈 또는 이송 측정 모듈 내에 위치되지만, 병진 이동 막대(780)의 일부 및 그러한 기구를 위한 구동 모터를 포함하는 다른 기구의 일부와 같은, 병진 이동 기구의 다양한 요소가 측정 모듈 또는 이송 측정 모듈의 외부에 위치될 수 있다. 가스 분출을 방지하고, 잠재적인 오염물이 챔버에 진입하여 기판 상에 안착되는 것을 방지하기 위한 다양한 재료의 하나 이상의 보호 층이 회전 구성 요소에 도포될 수 있다. 적합한 지지 플랫폼(770)의 세부 사항은, 2017년 11월 8일자로 출원된 "공정 챔버에서 마이크로 전자 기판을 처리하기 위한 자기 부상 및 회전식 척"이라는 명칭의 미국 특허출원 공보 일련번호 US 2018/0130694에 추가로 기술되며, 그 전체 내용은 본원에 참조로 포함된다.
도 8, 도 8a, 및 도 8b는, 한정된 측정 영역이 이송 측정 모듈 내에 구현될 뿐만 아니라, 이송 측정 모듈에 의해 사용되는 통과(pass-thru) 챔버 내에도 구현됨으로써, 이송 측정 모듈과 하나 이상의 공정 모듈 또는 다른 이송 모듈 사이에서 소재를 이동시키는, 본 발명의 대안적인 실시형태를 도시한다. 이러한 측정 영역은 통과 챔버의 내부 공간의 전용 영역 내에 위치될 수 있으며, 측정 영역 내에 소재를 위치시키기 위한 목적으로 소재를 이동시키는 이송 기구에 의해 액세스 가능하다. 이는 공정 모듈에서 소재가 처리되기 전 또는 후에 수행될 수 있다. 본 발명의 특징에 따라, 검사 시스템은 하나 이상의 측정 영역과 연관되며, 검사 시스템은 소재의 특성과 관련된 데이터를 측정하기 위해 측정 영역에 위치된 소재와 연결되도록 구성된다. 도 8a를 참조하면, 이송 측정 모듈(812a)은 통과 챔버(830)를 통해 이송 모듈(812b)과 연결된다. 이송 측정 모듈(812a)은, 측정 데이터를 수집하기 위한 적절한 검사 시스템과 관련된 하나 이상의 전용 측정 영역(815)을 그 안에 포함한다. 이송 모듈(812b)은 측정 기능이 없는 전형적인 이송 모듈로서 도시되지만, 그러한 이송 모듈이 하나 이상의 전용 측정 영역 및 검사 시스템을 통합할 수도 있다. 각각의 모듈(812a, 812b)은 하나 이상의 공정 모듈(820a 내지 820e)을 지원하기 위한 플랫폼의 역할을 한다. 관련 이송 기구(814)는 도시된 바와 같은 능동 차단 제어 시스템(522)의 제어에 따라, 공정 순서 동안 그리고 공정 모듈의 다양한 모듈의 안과 밖으로 소재를 이동시킨다. 그러한 방식으로, 예를 들어, 소재는 이송 측정 모듈(812a)에 의해 한정된 플랫폼과 관련된 공정 순서 동안 이동될 수 있으며, 그 다음, 통과 챔버에 소재를 통과시키는 상이한 공정 순서로 이동되어, 이송 모듈(812b) 내의 다른 이송 기구(814)와 연결될 수 있다.
본 발명의 일 실시형태에 따라, 통과 챔버는, 이송 측정 모듈(812a)과 다른 이송 모듈(812b) 또는 도 8b에 도시된 바와 같은 공정 모듈 사이에서 소재의 이동을 가능하게 하기 위한 내부 공간(832)을 갖는다. 각각의 이송 모듈은, 이송 기구(814)를 수용하는 내부 공간을 갖는 이송 챔버(813)를 포함할 수 있다. 언급된 바와 같이, 이송 기구는, 내부 공간을 통하여 그리고 통과 챔버(832) 또는 다양한 공정 모듈의 안과 밖으로 선택적으로 다양한 소재를 이동시키도록 구성된다. 전용 측정 영역(815)이 통과 챔버 내부 공간(832) 내에 위치된다. 통과 챔버 내의 측정 영역(815)은 인접한 공정 모듈 중 하나에서 소재가 처리되기 전 또는 후에 그러한 측정 영역에 소재를 위치시키기 위해, 이송 기구(814) 중 어느 하나에 의해 액세스 가능하다. 이송 챔버(830)의 측정 영역은 본원에 설명된 바와 같은 하나 이상의 검사 시스템을 포함하며, 하나 이상의 검사 시스템은 측정 영역에 위치된 소재와 연결되도록 구성되고, 소재의 특성과 관련된 데이터를 측정하도록 작동 가능하다. 이러한 방식으로, 인접한 공정 플랫폼들 사이에서 또는 다른 공정 모듈들의 안과 밖으로 소재가 이동됨에 따라, 측정 또는 계측 데이터가 수집될 수 있다.
예를 들어, 도 8b는 통과 챔버(830)를 사용하는 대안적인 배치를 도시한다. 플랫폼(800)은 예를 들어, 도시된 바와 같은 다수의 공정 모듈을 통합하는 이송 측정 모듈(812a)을 포함할 수 있다. 통과 챔버(830)는 도 8a에 도시된 바와 같이 다른 이송 모듈 또는 이송 측정 모듈로 통과하는 것이 아니라, 다른 공정 모듈(820f)로 통과할 수 있다. 따라서, 본 발명의 실시형태에 따라, 플랫폼들 사이에서 또는 공정 모듈들 사이에서 기판을 이동시키기 위해 사용되는 통과 챔버를 포함하여, 다른 영역 내에 측정 영역 및 검사 시스템을 통합함으로써, 측정 모듈 및/또는 검사 시스템이 다양한 공정 모듈과 함께 공통 플랫폼에 통합된다.
도 9, 도 9a, 및 도 9b는 하나 이상의 검사 시스템이 이송 모듈과 연결되는, 특히 모듈의 이송 챔버와 연결되는, 본 발명의 또 다른 실시형태를 도시한다. 도 9를 참조하면, 이송 모듈(912) 및 복수의 공정 모듈(920a 내지 920e)을 통합하는 플랫폼(900)이 도시된다. 이송 모듈은, 소재의 이동을 위한 내부 공간을 한정하는 이송 챔버(913)를 포함한다. 또한, 도시된 바와 같이, 이송 챔버(913)는, 이송 챔버의 둘레 주위에 배치되어 게이트 밸브(G)를 통해 액세스될 수 있는 하나 이상의 이송 포트(919)를 사용한다. 도 9에 도시된 바와 같이, 이송 포트(919)는 하나 이상의 공정 모듈로의 진입구와 일치하므로, 이송 포트는 해당 공정 모듈에 대향한다. 이송 기구(914)는 이송 챔버(913)의 내부 공간의 내부에 위치되며, 챔버 내부 공간 내에서 대체로 수평면(917)을 따라 소재를 이동시키도록 구성된다. 이송 기구(914)는, 모듈(912)의 해당 이송 포트와 대향하게 위치된 하나 이상의 공정 모듈의 안과 밖으로 소재를 선택적으로 이동시킨다.
하나 이상의 검사 시스템(930)이 이송 챔버(913)와 연결되며, 이송 포트(919)와 일치하는 측정 영역(915)에 연결된다. 검사 시스템은 본원에 설명된 바와 같은 구성 요소를 포함하며, 수평면(917)에 대향하게 배치된 도 9a에 도시된 바와 같은 센서 액세스 포트 또는 개구(950)를 포함할 수 있다. 각각의 검사 시스템 및 특히 센서 개구는 이송 챔버(913)의 둘레 내에 위치되며, 도 9a 및 도 9b에 도시된 바와 같이, 해당 이송 포트(919)를 통하여 공정 모듈의 안과 밖으로 소재가 이동함에 따라 소재로의 액세스를 제공한다. 도 9a는 검사 시스템(930)을 도시하며, 검사 시스템(930)은 신호 소스(932)로부터 개구(950)를 통하여 그리고 이어서 이송 챔버 내로 검사 신호(934)를 지향시킴으로써, 이송 챔버(913)로부터 이송 포트(919)를 통하여 그리고 공정 모듈 내로 수평으로 이동하는 소재와 연결된다. 그 다음, 적절한 검출기(940)는 측정 데이터를 획득하기 위해 산란된 신호(935)를 검출 또는 측정한다.
본 발명의 일 실시형태에서, 검사 시스템은 광원(932) 및 이미지 포착 장치(940)를 사용하는 광학 검출 시스템일 수 있다. 이 경우, 이미지 포착과 관련된 데이터는 예를 들어, 능동 차단 제어 시스템(522)에 의해 처리될 수 있다. 능동 차단 제어 시스템을 통해 구현되는 바와 같은 이미지 처리 시스템을 포함하는 검사 시스템은, 포착된 이미지의 표면 성분을 분석할 수 있다. 대안적으로, 이러한 광학 검출 시스템은 광학 검출 시스템에 의해 포착된 이미지와 관련된 패턴 분석, 또는 두께 분석, 또는 응력 분석을 사용할 수 있다. 그 다음, 이러한 측정 데이터는 본 발명에 따라, 임의의 부정합 또는 결함의 검출과 관련된 능동 차단 및 보정 공정을 제공하기 위해 사용될 수 있다.
도 9b는 본 발명의 대안적인 실시형태를 도시하며, 검사 시스템(930)은 완전히 이송 모듈(912)의 챔버(913) 내에 위치될 수 있고, 소재가 이동하는 수평면(917)에 대향하게 내부에 배치되도록 도시된 바와 같이 공정 모듈로의 이송 포트에 인접하게 각각의 영역(915)에 위치될 수 있다. 검사 시스템(930)은, 표면 분석, 패턴 분석, 두께 분석, 응력 분석 등을 제공하기 위해 능동 차단 제어 시스템을 통해 이후에 처리될 수 있는 소재의 표면과 관련된 이미지를 포착한다. 이러한 방식으로, 소재가 공통 플랫폼(900)의 다양한 공정 모듈의 안과 밖으로 이동됨에 따라, 측정 데이터가 즉각적으로 획득될 수 있다.
도 10a 및 도 10b는 본 발명의 특징을 포함하는 다른 대안적인 플랫폼(1000 및 1000a)을 도시하며, 부정합 및 결함을 보정할 때 전반적인 공정 순서를 제어하기 위한 능동 차단 제어 시스템에 의해 사용되는 측정 데이터를 제공하기 위한 하나 이상의 측정/계측 모듈과 조합하여, 하나 이상의 에칭 모듈 및 하나 이상의 막 형성 모듈을 포함할 수 있는 복수의 상이한 공정 모듈을 통해 기판이 처리된다. 플랫폼(1000)은, 플랫폼의 다양한 모듈을 통하여 소재를 선택적으로 이동시키기 위한 하나 이상의 이송 기구(1014)를 포함하는 분산형 이송 시스템을 통합할 수 있다. 도 10a를 참조하면, 분산형 시스템은, 전단 모듈(1001)을 통하여 액세스되는 적어도 하나의 진공 챔버(1002)를 포함한다. 진공 챔버(1002)는, 대체로 분산형 이송 시스템을 수용하는 챔버(1002)와 연결하기 위한 복수의 포트(1004)를 갖는 단일 챔버를 한정하는 일체형 챔버일 수 있다. 대안적으로, 도 10a에 또한 도시된 바와 같이, 진공 챔버(1002)는 도시된 바와 같이 복수의 각각의 통과 포트(1012)를 통하여 함께 연결되는 복수의 내부 진공 챔버(1010)로 분리될 수 있다. 이러한 실시형태에서, 사용되는 이송 기구는 내부 진공 챔버와 관련되는 도시된 바와 같은 복수의 이송 기구(1014)를 포함할 수 있다.
플랫폼(1000)을 통해 유지되는 다양한 공정 모듈은, 선택적 증착(SD) 모듈(1030)과 같은 하나 이상의 막 형성 모듈을 포함할 수 있다. 또한, 플랫폼은 하나 이상의 에칭 모듈(1032) 및 하나 이상의 세척 모듈(1034)을 포함할 수 있다. 또한, 복수의 계측/측정 모듈(1036)이 통합될 수 있다. 또한, 하나 이상의 다른 공정 모듈(1038)이 플랫폼(1000)에 통합될 수 있으므로, 공통 제조 플랫폼에 통합되는 공정 및 측정/계측 모듈의 유형은 도 10a에 도시된 것으로 제한되지 않는다. 다양한 공정 모듈 및 측정/계측 모듈을 포함하는 플랫폼(1000)은 측정 데이터, 현장 공정 데이터, 및 본 발명에 따라 공정 순서를 제어하는 다른 데이터를 제공하기 위해, 능동 차단 제어 시스템(1040)과 연결된다. 즉, 부정합 및/또는 결함을 나타내는 측정 데이터는, 보정 공정을 위해 그리고 플랫폼을 통한 소재의 이동 및 다양한 공정 모듈을 제어하기 위해, 능동 차단 제어 시스템에 의해 사용된다.
또한, 능동 차단 제어 시스템(1040)은 진공 챔버(1002) 내의 압력을 제어하고, 기판이 이송되는 개별적인 내부 진공 챔버(1010) 내의 압력도 제어한다. 예를 들어, 제어 시스템(1040)은 소재가 플랫폼(1000)에 도시된 바와 같은 분산형 이송 시스템 내에서 이송되는 경우, 다양한 내부 진공 챔버(1010) 사이의 차압을 제어한다. 또한, 제어 시스템(1040)은 다양한 공정 모듈 중 하나 이상과 관련된 진공 챔버와 분산형 이송 시스템 진공 챔버(1002) 사이의 처리 차압을 제어 및 유지시킨다. 본 발명의 다른 특징에 따라, 진공 챔버(1002) 및 하나 이상의 이송 기구(1014)를 포함하는 플랫폼(1000)은, 소재가 플랫폼(1000)을 통해 진행함에 따라, 제어 시스템(1040)에 의해 생성되는 측정 데이터를 획득하기 위한 하나 이상의 검사 시스템(1050)을 더 포함할 수 있다. 도시된 바와 같이, 이송 기구(1014) 및 별도의 검사 시스템을 포함하는 내부 챔버(1010)에서, 각각의 챔버(1010)는 본원에 설명된 바와 같은 이송 측정 모듈(TMM)로서 역할을 할 수 있다. 하나 이상의 통과 포트(1012)는, 하나 이상의 소재를 저장하기 위해 진공 챔버(1010) 중 하나에 스테이징(staging) 영역을 형성하기 위한 로드락 기구를 포함할 수 있다.
도시된 바와 같은 다양한 공정 모듈과 더불어, 플랫폼(1000)은 예를 들어, 원자층 증착을 위한 일괄처리 공정을 제공하는 하나 이상의 일괄처리 공정 모듈(1060)을 통합할 수 있다. 일괄처리/일괄처리 해제(debatch) 스테이지(1070) 및 이어서 방출/재설계 스테이지(1072)가 일괄처리 공정 모듈(1060)과 연관되며, 일괄처리 공정으로 진입하거나 이로부터 나오는 다양한 소재가 스테이징될 수 있다. 제어 시스템(1040)이 공정 모듈과 관련된 하나 이상의 챔버와 내부 진공 챔버(1002) 사이의 원하는 차압을 제공하는 동안, 이러한 챔버 또는 영역은 저장 챔버로도 사용될 수 있다.
본 발명의 일 양태에 따라, 소재가 플랫폼(1000)을 통해 다양한 공정 모듈 및 내부 진공 챔버(1010)의 안과 밖으로 이동함에 따라, 내부 진공 챔버(1002)와 공정 모듈의 챔버 사이의 환경 조건이 유지된다(소재가 그 사이에서 이송되는 경우). 환경 조건은 압력, 가스 조성, 온도, 화학적 농도, 습도, 또는 상(phase) 중 적어도 하나를 포함할 수 있다. 제어 시스템(1040)은 공정 및 이송을 위해 필요한 그러한 환경 조건(들)을 유지시킬 것이다. 또한, 시스템 환경 조건은 제어 시스템(1040)에 의해, 다양한 내부 구역들 또는 내부 진공 챔버들(1010) 사이에서 진공 챔버(1002)에 유지될 수 있다. 또한, 이러한 환경 조건은 압력, 가스 조성, 온도, 화학적 농도, 상, 습도 등 중 적어도 하나를 포함할 수 있다. 다양한 구역 또는 내부 챔버(1010)와 하나 이상의 다른 내부 진공 챔버(1010) 사이에 유지되는 환경 조건은, 특정 내부 진공 챔버(1010) 내에 배치되는 기판에 대해 검사 시스템(1050)에 의해 수행될 수 있는 측정 또는 스캔의 유형에 적어도 부분적으로 기초할 수 있다. 이러한 환경 조건은 압력, 가스 조성, 온도, 또는 상 농도를 포함할 수 있다. 언급된 바와 같이, 공정을 위해, 기판이 플랫폼(1000) 내에서 이송되는 경우 다양한 내부 진공 챔버들 사이의 시스템 차압을 유지시키는 것이 필요할 수 있으며, 제어 시스템(1040)이 그러한 조건을 유지시킨다. 또한, 기판이 진공 챔버(1002)와 공정 모듈 사이에서 이송되는 경우, 공정 모듈의 하나 이상의 챔버와 진공 챔버(1002) 사이의 처리 차압을 유지시키는 것이 필요할 수 있다. 이를 위해, 일괄처리 스테이지(1070) 및 방출 스테이지(1072)는, 시스템 차압 또는 처리 차압이 달성될 때까지 진공 챔버(1002) 내의 다양한 소재를 위한 스테이징 영역으로서 사용될 수 있다. 또한, 수행되는 측정 또는 계측 방법의 유형에 기초하여, 시스템 환경 조건을 유지시키는 것이 바람직할 수 있다. 이러한 환경 조건은 압력, 가스 조성, 온도, 또는 상 농도를 포함할 수 있다.
플랫폼(1000, 1000a)은, 막 형성 장비, 에칭 장비, 증착 장비, 에피택셜 장비, 세척 장비, 리소그래피 장비, 포토리소그래피 장비, 전자빔 리소그래피 장비, 감광 또는 전자 감응성 재료 코팅 장비, 전자기(EM) 처리 장비, 자외선(UV) 처리 장비, 적외선(IR) 처리 장비, 레이저 빔 처리 장비, 열처리 장비, 어닐링 장비, 산화 장비, 확산 장비, 자기 어닐링 장비, 이온 주입 장비, 플라즈마 침지 이온 주입 장비, 극저온 또는 비-극저온 에어로졸 또는 비-에어로졸 건식 세척 장비, 중성빔 장비, 하전 입자빔 장비, 전자빔 처리 장비, 이온빔 처리 장비, 가스 클러스터 빔 장비, 가스 클러스터 이온 빔 장비 등을 포함하지만, 이에 제한되지 않는 다양한 공정 모듈을 호스팅할 수 있다. 공정 모듈은 건상(dry-phase) 장비, 액상 장비, 기상 장비 등을 포함할 수 있다. 추가적으로, 공정 모듈은, 단일 기판 공정 장비, 소규모-일괄처리 공정 장비(예를 들어, 10개 미만의 기판), 일괄처리 공정 장비(예를 들어, 10개 초과의 기판) 등을 포함할 수 있다.
도 10c 내지 도 10e는 본원에 설명된 바와 같은 공통 플랫폼 실시형태와 함께 구현될 수 있는 예시적인 공정 모듈을 도시한다. 도 10c는 대체로 챔버(1072)를 포함하는 막 형성 또는 증착 모듈(1070)을 도시한다. 막 형성 모듈(1070)은 진공 증착 챔버, 또는 대기 코팅 챔버를 포함할 수 있다. 모듈(1070)은, 예를 들어 대기 코팅 챔버를 위한 액체 분배 시스템(1074), 또는 예를 들어 증착 챔버(1072) 내의 플라즈마에 전력을 공급하기 위한 RF 전원(1076)을 더 포함할 수 있다. 모듈(1070)은, 증착 챔버와 같은 챔버(1072) 내에 적절한 재료 상을 제공하기 위해 액체 분배 시스템(1074)에 연결될 수 있는 액체 소스 버블러(bubbler)(1078)를 더 포함할 수 있다. 또한, 막 형성 모듈(1070)은 하나 이상의 스퍼터 타겟(1080)을 사용할 수 있으며, 증착 챔버(1072)에서의 막 증착을 위한 목적으로 하나 이상의 가스 소스(1081a, 1081a)에 연결될 수 있다.
도 10d는 공정 또는 에칭 챔버(1083)를 포함하는 막 제거 또는 에칭 모듈(1082)을 도시한다. 예를 들어, 에칭 모듈은, 플라즈마 에칭 모듈, 플라즈마 없는 에칭 모듈, 원격 플라즈마 에칭 모듈, 대기압 또는 대기압 미만 조건(예를 들어, 진공상태)에서의 기상 에칭 모듈, 기상 에칭 모듈, 액상 에칭 모듈, 등방성 에칭 모듈, 이방성 에칭 모듈 등을 포함할 수 있다. 모듈(1082)은 예를 들어, 액상, 기상, 또는 가스상 분배 또는 배급 시스템(예를 들어, 1085a, 1085b, 1086), 압력 제어 요소, 온도 제어 요소, 기판 홀딩 및 제어 요소(예를 들어, 정전기 체결 척(ESC), 구역화된 온도 제어 요소, 후면 가스 시스템 등), 및 에칭 챔버(1083) 내에 플라즈마를 발생시키기 위한 전원(1084)(예를 들어, RF 전원)을 포함할 수 있다.
도 10e는 기판을 적절하게 수용하기 위한 세척 챔버(1089)를 갖는 세척 모듈(1088)을 도시한다. 예를 들어, 세척 모듈(1088)은, 습식 세척 모듈, 건식 세척 모듈, 스핀형 세척 모듈, 배스형(bath-type) 세척 모듈, 분사형 분배 세척 모듈, 중성빔 세척 모듈, 이온빔 세척 모듈, 가스 클러스터 빔 세척 모듈, 가스 클러스터 이온빔 세척 모듈, 극저온 또는 비-극저온 에어로졸 세척 모듈 등을 포함할 수 있다. 세척 모듈(1088)은, 액체 소스, 배스(bath), 액체 분배 또는 분사 노즐(1090), 스핀 척, 중첩형 액체 분배 포착 배플(baffle), 압력 제어 요소, 온도 제어 요소 등을 포함할 수 있다. 세척 모듈(1088)은, 가스 소스, 극저온 냉각 시스템(1092), 가스 노즐, 에어로졸 노즐, 압력 제어 요소, 온도 제어 요소 등을 더 포함할 수 있다.
언급된 바와 같이, 플랫폼(1000)은 예를 들어, 보정 공정 절차가 진행 중이거나 플랫폼의 공정 파라미터가 조정되는 동안, 저장을 위해 하나 이상의 기판을 스테이징하기 위해 사용될 수 있다. 이를 위해, 일괄처리/일괄처리 해제 챔버(1070) 또는 방출 챔버(1072)는, 하나 이상의 개별 내부 진공 챔버(1010)가 더 넓은 전체 플랫폼 내에서 별도의 스테이징 영역으로 작용할 수 있도록, 인접한 통과 포트(1012) 중 하나에 로드락을 포함할 수 있으므로, 다양한 소재가 적어도 하나의 내부 진공 챔버 내에 저장될 수 있다. 또한, 일괄처리 스테이지(1070) 및 방출 스테이지(1072)는 일괄처리 공정 모듈(1060)을 위해 또는 시스템 파라미터가 조정되는 동안, 기판을 스테이징하기 위한 스테이징 영역으로도 역할을 할 수 있다.
도 10b는 도 10b의 다양한 공정 모듈, 제어 시스템, 및 구성 요소에 사용된 유사한 참조번호를 사용하여, 도 10a의 플랫폼과 유사한 다른 가능한 플랫폼 레이아웃을 도시한다. 도 10b를 다시 참조하면, 플랫폼(1000a)은, 플랫폼을 통하여 소재를 이동시키기 위한 TMM 모듈(1010)과 연결된 하나 이상의 막 형성 모듈(1030) 및 에칭 모듈(1032)을 포함할 수 있다. 또한, 본 발명에 따라 부정합 및 결함을 검출하기 위한 측정 모듈(1036)이 플랫폼에 통합될 수 있다. 플랫폼(1008)은, 습식 세척 모듈(1034a) 또는 건식 세척 모듈(1034b)과 같은 세척 모듈을 더 포함할 수 있다. 또한, 플랫폼(1000a)은 일괄처리 측정을 위해 구현되는 하나 이상의 측정 모듈(1036)을 포함할 수 있다. 도시된 바와 같이, 일괄처리 공정 모듈(1060)에 대향하게, 하나 이상의 측정 모듈(1036)이 구현될 수 있으므로, 소재가 일괄 처리되는 동안 그리고 방출 스테이지(1072)를 통해 이들이 방출 및/또는 재정렬되기 전에, 측정이 수행될 수 있고, 측정/계측 데이터가 수집될 수 있다. 플랫폼(1000a)은 도시된 바와 같은 능동 차단 제어 시스템(1040)의 제어에 따르며, 부정합 및 결함을 검출하기 위해 그리고 또한 소재에 대한 보정 공정을 제공하기 위해, 본 발명에 따른 다양한 공정 모듈과 측정 모듈 사이에서 대체로 선형 방식으로 앞뒤로 소재가 이동될 수 있다.
능동 차단 및 소재 공정 실시예
본원에 설명된 바와 같이, 능동 차단 제어 시스템은, 소재로부터의 측정 데이터에 부분적으로 기초하여 보정 공정을 수행하도록 구성된다. 공통 제조 플랫폼에 대한 플랫폼 성능 데이터 뿐만 아니라, 하나 이상의 공정 모듈의 설정 또는 공정 파라미터를 나타내는 공정 파라미터 데이터와 같은 다른 데이터도 능동 차단 제어 시스템으로 입력될 수 있다. 소재의 부정합 및 결함을 결정하기 위해 그리고 능동 차단 동안 플랫폼에서 수행될 보정 공정의 경로를 결정하기 위해, 능동 차단 제어 시스템에 의해 데이터가 처리된다. 언급된 바와 같이, 부정합이 검출된 경우, 공정 순서의 업스트림 또는 다운스트림의 공정 모듈에서 보정 공정이 수행될 수 있다. 능동 차단 제어 시스템은 플랫폼의 다양한 측정 모듈 및 TMM과 연결되며, 공정 순서에서 소재의 이동 및 공정을 제어하기 위해 측정 데이터 및 다른 데이터를 처리한다.
본 발명의 일 특징에 따라, 보정 공정은 전체적인 공정 순서에서 보정 공정 순서를 수행하는 단계를 포함할 수 있다. 예를 들어, 보정 공정은, 소재를 세척하는 단계, 및/또는 막 또는 막의 일부를 제거하는 단계를 포함할 수 있다. 대안적으로, 조정 공정 순서가 수행될 수 있다. 또한, 보정 공정은 소재가 보정될 수 없는 경우, 플랫폼 및 공정 순서로부터의 소재의 간단한 방출일 수 있다. 어느 경우이든, 검출된 부정합이 조작자에게 통지될 수 있다.
도 11은 본 발명을 실현하기 위한 능동 차단 제어 시스템(1110) 및 구성 요소(1120)를 도시한다. 능동 차단 제어 시스템은 전체적으로 또는 적어도 부분적으로 제조 플랫폼과 함께 위치될 수 있으며, 대체로 적어도 하나의 프로세서를 갖는 컴퓨터 장치를 사용하여 실행된다. 능동 차단 제어 시스템(1110)을 구현하기 위한 구성 요소(1120)는, 능동 차단 제어 시스템을 실행하기 위해 사용되는 컴퓨터의 일부일 수 있거나, 예를 들어 네트워크를 통해, 능동 차단 제어 시스템에 의해 호출되는 자원일 수 있다. 따라서, 본원에 설명되는 다양한 하드웨어 레이아웃은 제한적인 것이 아니다.
도 12는 본 발명의 능동 차단 제어 시스템을 제공하기 위해 적합한 장치(1210)를 위한 예시적인 하드웨어 및 소프트웨어 환경을 도시한다. 본 발명의 목적을 위해, 장치(1210)는 사실상 임의의 컴퓨터, 컴퓨터 시스템, 또는 프로그램 가능 장치, 예를 들어 다중 사용자 또는 단일 사용자 컴퓨터, 데스크탑 컴퓨터, 휴대용 컴퓨터 및 장치, 휴대용 장치, 네트워크 장치 등을 나타낼 수 있다. 장치(1210)는 이하에서 "컴퓨터"로 지칭되지만, "장치"라는 용어는 다른 적합한 프로그램 가능 전자 장치를 포함할 수도 있음을 이해해야 한다.
컴퓨터(1210)는 전형적으로 메모리(1214)에 연결된 적어도 하나의 프로세서(1212)를 포함한다. 프로세서(1212)는 하나 이상의 프로세서(예를 들어, 마이크로프로세서)를 나타낼 수 있으며, 메모리(1214)는, 컴퓨터(10)의 주 저장소를 포함하는 랜덤 액세스 메모리(RAM) 소자 뿐만 아니라, 임의의 추가 레벨의 메모리, 예를 들어 캐시 메모리, 비휘발성 또는 백업 메모리(예를 들어, 프로그램 가능 또는 플래시 메모리), 판독 전용 메모리 등을 나타낼 수 있다. 또한, 메모리(1214)는, 예를 들어, 프로세서(1212)의 임의의 캐시 메모리와 같은, 컴퓨터(1210)의 다른 곳에 물리적으로 위치된 메모리 저장소를 포함할 뿐만 아니라, 예를 들어, 데이터베이스(1216)와 같은 대용량 저장 장치에 저장되거나, 대체로 컴퓨터(1210)에 직접 연결되거나 네트워크(1232)를 통해 연결되는 자원(1230)으로 도시된 임의의 외부 데이터베이스 또는 다른 컴퓨터 또는 시스템에 저장되는 바와 같이, 가상 메모리로서 사용되는 임의의 저장 설비를 포함하는 것으로 간주될 수 있다.
또한, 컴퓨터(1210)는 전형적으로 정보를 외부와 통신하기 위해 다수의 입력 및 출력을 수신한다. 사용자 또는 조작자와의 인터페이스를 위해, 컴퓨터(1210)는 전형적으로 휴먼 기계 인터페이스(HMI)(1224)를 통해 연결된 하나 이상의 사용자 입력 장치를 포함한다. 또한, 컴퓨터(1210)는, 부정합이 검출된 경우 본 발명의 시스템에 따라 조작자에게 시각적 출력을 제공하기 위한 디스플레이를 HMI의 일부로서 포함할 수 있다. 또한, 컴퓨터(1210)와의 인터페이스는 컴퓨터(10)에 직접 또는 원격으로 연결되는 외부 단말기를 통할 수 있거나, 네트워크(18), 모뎀, 또는 다른 유형의 통신 장치를 통해 컴퓨터(1210)와 통신하는 다른 컴퓨터를 통할 수 있다.
컴퓨터(1210)는 운영 체제(1218)의 제어에 따라 작동하고, 일반적으로 애플리케이션(1220)으로 나타낸 다양한 컴퓨터 소프트웨어 애플리케이션, 구성 요소, 프로그램, 객체, 모듈, 데이터 구조 등을 실행하거나 달리 이에 의존한다. 도 11에 도시된 바와 같은 다양한 구성 요소(1120)는 컴퓨터(1210)의 애플리케이션의 일부일 수 있거나, 보다 강력한 처리를 위해 도시된 바와 같이 원격 자원(1230)으로서 액세스될 수 있다. 또한, 애플리케이션 및 처리의 일부는 예를 들어, 측정 데이터, 공정 파라미터 데이터, 및 플랫폼 성능 데이터(예를 들어, 데이터베이스 애플리케이션(26))를 포함할 수 있는 본원에 언급된 바와 같은 데이터 및 다양한 데이터 구조(1222)를 포함한다. 컴퓨터(1210)는 적절한 네트워크 인터페이스(1226)를 통해 네트워크(1232)에서 통신한다. 개시된 바와 같은 능동 차단 시스템을 구현하기 위한 컴퓨터는, 제조 플랫폼으로부터 데이터를 수집하고 능동 차단을 위해 공정 순서를 제어하기 위한 목적으로, 제조 플랫폼(1240) 및 이의 하나 이상의 제어 요소와 직접 또는 네트워크를 통해 연결된다.
일반적으로, 본 발명의 실시형태를 구현하기 위해 실행되는 루틴은, 운영 체제 또는 특정 애플리케이션, 구성 요소, 프로그램, 객체, 모듈 또는 명령 시퀀스의 일부로서 구현되는지 여부와 관계없이, 본원에서 "컴퓨터 프로그램 코드" 또는 간단히 "프로그램 코드"로 지칭될 것이다. 전형적으로, 컴퓨터 프로그램 코드는 컴퓨터의 다양한 메모리 및 저장 장치에서 다양한 시점에 상주하는 하나 이상의 명령을 포함하며, 하나 이상의 명령은, 컴퓨터의 하나 이상의 프로세서에 의해 판독 및 실행될 때, 그 컴퓨터로 하여금, 본 발명의 다양한 양태를 구현하는 단계 또는 요소를 실행하기 위해 필요한 단계를 수행하게 한다. 더욱이, 당업자는 능동 차단 제어 시스템의 다양한 처리 구성 요소 및 도구가 다양한 형태 및 위치로 프로그램/애플리케이션으로서 배포될 수 있음을 이해할 것이다.
이하의 임의의 특정 프로그램 명명법은 단지 편의를 위한 것일 뿐이므로, 본 발명은 그러한 명명법에 의해 식별 및/또는 암시된 임의의 특정 애플리케이션에만 사용되는 것으로 제한되어서는 안된다는 것을 이해해야 한다. 또한, 컴퓨터 프로그램/애플리케이션이 루틴, 프로시저, 메소드, 모듈, 객체 등으로 구성될 수 있는 전형적으로 무한한 수의 방식을 고려할 뿐만 아니라, 외부 자원에 있거나, 전형적인 컴퓨터 내에 상주하는 다양한 소프트웨어 계층(예를 들어, 운영 체제, 라이브러리, API, 애플리케이션, 애플릿 등) 간에 프로그램 기능이 할당될 수 있는 다양한 방식을 고려하면, 본 발명은 본원에 설명되거나 도시된 프로그램 기능의 특정 구성 및 할당으로 제한되지 않음을 이해해야 한다. 당업자는 도 12에 도시된 예시적인 환경이 본 발명을 제한하도록 의도되지 않음을 인식할 것이다. 실제로, 당업자는 다른 대안적인 하드웨어 및/또는 소프트웨어 환경이 본 발명의 범위를 벗어나지 않고 사용될 수 있음을 인식할 것이다.
도 11을 참조하면, 능동 차단 제어 시스템은 부정합의 존재를 예측하기 위해 패턴 인식을 제공할 수 있다. 이를 위해, 능동 차단 제어 시스템은, 측정 데이터로부터 데이터 패턴을 추출하여 분류하고, 측정 데이터에 기초하여 부정합이 존재하는지 여부를 예측하도록 작동 가능한 패턴 인식 엔진(1122)과 같은, 패턴 인식 구성 요소를 포함한다. 예를 들어, 소재의 특정 형상부는 데이터의 부정합 및 불규칙성을 나타낼 수 있으며, 측정 데이터에서 확인된 패턴에 반영될 수 있다. 패턴 인식은 데이터 볼륨 또는 추가적인 데이터를 통해, 측정 정교화 또는 이의 부족을 보정할 수 있다. 다수의 변수의 측정치는 데이터의 부정합 또는 불규칙성을 식별하기 위해 조합 및/또는 상관될 수 있다. 이렇게 함으로써, 더 정교한 측정 시스템의 동일한 결과를 달성하기 위해, 덜 정교한 측정이 수행되어 상관될 수 있다. 예를 들어, 처리된 소재에 대해, 허용 가능한 처리 상태를 나타내는 광학적 "핑거프린트(fingerprint)"가 생성될 수 있다. "핑거프린트"의 편차는 패턴 변화로서 인식될 수 있으며, 이는 결과적으로, 보정 조치의 기회를 식별할 수 있고, 예를 들어 업스트림 및/또는 다운스트림 공정에서 보정 조치를 수행할 수 있거나, 공정 결과물 제거 및 반복 등에 의해 업스트림 공정을 재작업할 수 있다. 패턴 인식 엔진(1122)은, 패턴 인식을 구현하기 위한 하나 이상의 신경망 및 지도형 또는 비지도형 학습을 사용할 수 있는 도시된 바와 같은 딥 러닝 아키텍처 또는 엔진(1124)을 구현할 수 있다. 딥 러닝 엔진(1124)은 예를 들어, 부정합 또는 불규칙성을 분석하고, 보정 공정을 수행하는 데 사용하기 위한 가능한 원인을 결정하기 위해, 다변량 분석(MVA)을 구현할 수 있다. 한 가지 유형의 다변량 분석은 주성분 분석(PCA)을 포함한다. PCA는 가능하게 상관된 변수의 관측치 세트를 주성분 세트로 변환하는 통계적 절차이다. 각각의 주성분(예를 들어, 고유 벡터)은 스코어(예를 들어, 고유값)와 연관되며, 주성분은 내림차순으로 스코어의 값에 따라 분류될 수 있다. 이렇게 함으로써, 제1 주성분은, 변환된 데이터 세트의 n차원 공간 내에서 해당 주성분의 방향으로 데이터의 최대 분산을 나타낸다. 각각의 후속되는 주성분은 이전의 성분과 직교하는 조건에서 최대 분산을 갖는다. 각각의 주성분은 데이터 세트의 각각의 변수의 "가중치"를 식별한다. 후속적인 관측치가 하나 이상의 주성분(예를 들어, 제1 주성분 및/또는 다른 성분)에 투영될 수 있으므로, 스코어(예를 들어, 제1 주성분과 새로운 관측치의 벡터곱에 의한 스코어 A)를 계산할 수 있거나, 하나 이상의 스코어를 수학적으로 처리할 수 있다(예를 들어, 스코어 A + 스코어 B/스코어 C 등). 예를 들어, 단일 위치 또는 다수의 위치에서, 처리된 소재로부터 산란된 광은 관측치를 나타낼 수 있다. 복수의 관측치와 결합되는 경우, 하나 이상의 주성분으로 구성된 모델이 구축될 수 있으며, 처리된 소재를 "스코어링"하기 위해 후속적으로 사용될 수 있다. 스코어, 또는 일련의 스코어가 정의된 "정상 상태" 또는 허용 가능한 공정 윈도우로부터 벗어나는 경우, 보정 조치가 진행될 수 있으며, 즉 업스트림 및/또는 다운스트림 공정에서 보정 조치를 수행할 수 있거나, 예를 들어, 공정 결과물을 제거하고 반복하는 등에 의해 업스트림 공정을 재작업할 수 있다.
패턴 인식 엔진은 추출된 데이터 패턴을 소재에 대한 학습된 특성과 상관시킬 수 있다. 패턴 인식 엔진은, 데이터 패턴 형태의 측정 데이터를 학습된 특성과 상관시키기 위해, 예를 들어 데이터베이스(1132)의 하나 이상의 학습된 특성(1128)에 액세스하는 상관관계 엔진(1126)을 구현할 수 있다. 예를 들어, 하나의 학습된 특성은, 하나 이상의 입자 오염물과 같은 소재의 결함을 포함할 수 있다. 이러한 결함은 해결될 부정합을 검출하기 위해 측정 데이터 패턴과 상관될 수 있다. 다른 실시형태에서, 결함은 소재 특성에 대한 공차를 벗어난 조건(out-of-tolerance condition)을 나타낼 수 있다. 예를 들어, 공차를 벗어난 소재 특성은, 두께, 임계 치수(CD), 표면 거칠기, 형상부 프로파일, 패턴 에지 배치, 공극, 선택비 손실, 불균일성의 척도, 또는 하중 효과를 포함할 수 있다. 이러한 결함, 또는 이러한 결함의 다양한 조합은, 능동 차단 제어 시스템에 의한 부정합의 패턴 인식을 위해 사용될 수 있다.
다른 실시형태에서, 학습된 특성은 결함 대신에, 소재에 대한 결함의 확률을 포함할 수 있다. 이러한 학습된 특성은 부정합의 존재를 예측하기 위해 측정 데이터와 상관될 수 있다. 언급된 바와 같이, 능동 차단 제어 시스템은 부정합이 존재하는 곳을 조작자에게 표시하기 위해, 소재의 영역을 시각화하기 위한 디스플레이 구성 요소와 같은, 하나 이상의 휴먼 인터페이스 구성 요소를 구현한다.
또한, 상관관계 엔진/구성 요소(1126)는 부정합이 존재하는지 여부를 예측하기 위해 사용될 수 있다. 특히, 소재의 2개 이상의 영역에서 측정 데이터가 획득된다. 상관관계 엔진(1126)은 다수의 위치로부터의 측정 데이터를 사용하며, 위치 측정 데이터의 상관관계에 기초하여, 부정합의 존재가 예측될 수 있다.
본 발명의 다른 특징에 따라, 인공 지능 기능이 능동 차단 제어 시스템에 의해 사용된다. 특히, 아래에 추가로 설명되는 바와 같이, 자율 학습 구성 요소 또는 엔진(1130) 형태의 기계 학습이 시스템에 의해 구현될 수 있다. 자율 학습 엔진은 측정 데이터를 수신하고, 정보를 생성한다. 그러한 정보는 측정 데이터(1136) 및 공정 순서의 수행을 특성화하고, 부정합의 검출 시에, 부적합이 존재하는 경우의 공정 순서를 보정하기 위한 실행 계획 또는 보정 공정 계획을 결정한다. 또한, 자율 학습 엔진은, 제조 플랫폼 및 이의 공정 모듈과 관련된 플랫폼 성능 데이터(1140), 및 공정 모듈에 대한 측정 또는 진단 데이터와 관련될 수 있는 하나 이상의 공정 파라미터 데이터(1138)를 구현한다. 공정 파라미터 데이터 및 플랫폼 성능 데이터는, 지식을 형성하기 위해 자율 학습 엔진에서 측정 데이터와 조합된다. 자율 학습 엔진에 의해 제공되는 기계 학습은, 보정 공정을 결정하기 위해 사용될 수 있는 출력에 측정 데이터와 같은 입력을 매핑하는 지도형 학습을 포함할 수 있다.
대안적으로, 자율 학습 엔진은 예를 들어, 부정합이 존재하는지 여부를 결정하고, 부정합을 해결하기 위한 보정 공정을 결정하기 위해, 클러스터 분석 또는 클러스터링을 사용하여 다양한 결함을 그룹화할 수 있다.
대안적으로, 자율 학습 엔진은, 예를 들어, 검출된 부정합을 해결하기 위해 사용될 수 있는 다수의 상이한 공정 단계로부터 적절한 보정 공정 단계를 결정하는, 차원수 축소 알고리즘을 사용할 수 있다.
대안적으로, 자율 학습 엔진은, 특정 유형의 검출된 부정합을 해결하기 위한 보정 공정을 결정하기 위해 구조화된 예측 알고리즘을 사용할 수 있다.
대안적으로, 자율 학습 엔진은 예를 들어, 부정합이 존재하는지 여부를 결정하고, 부정합을 해결하기 위한 보정 공정을 결정하기 위해, 클러스터 분석 또는 클러스터링을 사용하여 다양한 결함을 그룹화할 수 있다.
대안적으로, 자율 학습 엔진은, 부정합을 결정하기 위한 이상 검출 알고리즘을 사용할 수 있다.
대안적으로, 자율 학습 엔진은, 보정 공정 및 결과를 결정하기 위한 강화 학습을 사용할 수 있다.
자율 학습 엔진을 통해 구현되는 다양한 기계 학습 알고리즘의 다양한 조합은, 측정 데이터 및 공정 순서의 수행을 특성화하고, 임의의 검출된 부정합을 해결하기 위한 보정 공정 조치를 결정하는, 지식을 생성하기 위해 사용될 수 있다. 자율 학습 엔진은, 적절한 보정 공정 단계를 결정하기 위해 공정 순서 또는 방식(1134)과 관련된 데이터를 구현할 수 있다. 또한, 능동 차단 제어 시스템은, 측정 데이터(1136), 공정 파라미터 데이터(1138), 및 플랫폼 성능 데이터(1140)에 대한 필요한 기계 학습 및 인공 지능 처리를 제공하기 위해, 하나 이상의 데이터베이스(1132)로부터의 기존 데이터를 구현함으로써, 부정합을 검출할 수 있고, 보정 공정 단계를 결정할 수 있다.
측정 데이터는, 부정합 또는 결함이 있는지를 결정하기 위해 평가하기 위한 소재 특성의 정량적 측정치일 수 있다. 대안적으로, 측정 데이터는, 소재 특성의 정량적 측정치에 대한 대용물일 수 있다. 예를 들어, 대용물은, 덜 정교한 기술을 사용하여, 즉 소재 특성의 근사치를 사용하여, 원하는 소재 특성(예를 들어, 막 두께)을 측정할 수 있게 하거나/측정할 수 있게 하고, 원하는 소재 특성을 나타내는 다른 소재 특성을 측정할 수 있게 한다.
일 실시형태에서, 능동 차단 제어 시스템은, 자율 학습 엔진(1130)과 함께 작업하고 측정 데이터를 수신하는, 상호 작용 구성 요소(1136)를 포함한다. 본원에 개시되고 도 17 내지 도 37과 관련하여 설명되는 바와 같이, 자율 학습 엔진/구성 요소는, 제조 플랫폼의 능동 차단 및 제어를 위한 데이터를 처리하기 위해 상호 작용 구성 요소와 연결될 수 있다. 상호 작용 구성 요소는, 측정 데이터를 패키징하여 패키징된 데이터를 자율 학습 엔진으로 전달하도록 구성된 어댑터 구성 요소를 포함한다. 자율 학습 엔진은 패키징된 데이터를 수신하고, 패키징된 데이터 및 공정 순서의 수행을 특성화하는 지식을 생성한다. 자율 학습 엔진(1130)은 패키징된 데이터를 처리하는 처리 플랫폼을 더 포함하며, 처리 플랫폼은 패키징된 데이터에 따라 작동하는 기능 유닛 세트를 포함한다. 기능 유닛 세트는 적응형 추론 엔진을 포함하며, 적응형 추론 엔진은 패키징된 데이터를 분석하고, 공정 순서의 공정 목표에 적어도 부분적으로 기초하여 수행할 조치를 추론한다. 또한, 기능 유닛은, 데이터 또는 상황 정보(context) 변화 중 하나에 적어도 부분적으로 기초하여 공정 목표를 전개시키는 목표 구성 요소, 및 지식을 저장하는 메모리 플랫폼을 더 포함한다. 자율 학습 엔진에서, 메모리 플랫폼은, 장기 메모리, 단기 메모리, 및 에피소드(episodic) 메모리를 포함하는 메모리 계층을 포함한다. 장기 메모리는 엔티티, 관계, 또는 프로시저 중 적어도 하나를 포함하는 개념 세트를 저장한다. 개념 세트의 개념은, 공정 순서의 현재 상태와의 개념의 적합성을 나타내는 제1 수치 특성, 및 개념을 사용하는 난이도를 나타내는 제2 수치 특성을 포함한다. 또한, 상호 작용 구성 요소는 복수의 공정 모듈 중 하나 이상으로부터 모듈 진단 데이터를 수신한다. 상호 작용 구성 요소는 패키징된 데이터를 준비할 때, 모듈 진단 데이터를 측정 데이터와 함께 패키징한다.
상호 작용 구성 요소는, 외부 액터(actor)와의 데이터 교환을 가능하게 하는 상호 작용 관리자를 더 포함한다. 트레이닝 데이터는 외부 액터와 교환되는 데이터 또는 패키징된 데이터의 일부일 수 있거나, 두 데이터 세트 모두가 트레이닝 데이터를 포함할 수 있다. 그러한 트레이닝 데이터는, 예를 들어, 박막을 증착하기 위한 표면 제조, 소재의 목표 영역 상에 규정된 두께의 박막 증착, 소재의 비-목표 영역 상에 증착된 박막의 일부(들) 제거 등의 작업과 관련된 모듈 공정 또는 변수의 식별, 작업과 관련된 둘 이상의 모듈 공정 또는 변수 간의 기능적 관계 중 적어도 하나를 포함할 수 있다. 트레이닝 데이터는, 작업과 관련되고 인과관계 그래프에 존재하는 모듈 공정 또는 변수 세트와 관련된 선험적 확률 세트, 및 작업과 관련되고 인과관계 그래프에 존재하는 하나 이상의 모듈 공정 또는 변수와 관련된 조건부 확률 세트를 포함하는 인과관계 그래프를 더 포함할 수 있다. 또는, 트레이닝 데이터는 공정 순서의 상태를 표현하는 파라미터 세트를 포함할 수 있다.
도 17 내지 도 37은 아래에서 추가로 설명되는 바와 같이, 본 발명의 능동 차단 제어 시스템(1110)에 의해 구현될 수 있는 자율 학습 엔진/구성 요소의 일 실시형태를 도시한다.
본 발명의 일 양태에 따라, 능동 차단 제어 시스템은 본원에 설명된 바와 같은 제조 플랫폼 및 요소와 함께 구현된다. 능동 차단 제어 시스템은, 필요한 경우 소재에 대한 보정 공정을 제공하도록 소재의 특성과 관련된 데이터를 처리하기 위해, 다양한 측정 모듈 뿐만 아니라 복수의 공정 모듈로부터 데이터를 포착한다. 보다 구체적으로, 측정 데이터에 기초하여 부정합, 결함 또는 오염이 검출되며, 능동 차단의 일부로서 공정 순서에서 보정 공정이 수행된다. 보정 공정은 공정 순서의 업스트림 또는 다운스트림에 있는 공정 모듈에서 수행될 수 있다. 예를 들어, 결함 또는 부정합이 검출된 경우, 결함 또는 부정합을 해결 및 보정하기 위해, 소재가 현재 위치된 곳으로부터 공정 순서의 업스트림 또는 다운스트림에 있는 공정 모듈에서 보정 조정이 이루어질 수 있다. 반대로, 검출된 결함 또는 부정합이 최초로 발생하는 것을 방지하기 위해, 공정 흐름의 하나 이상의 공정 모듈은 예를 들어 후속적인 소재에서, 결함 또는 부정합이 처음에 발생하는 것을 방지하기 위한 보정 방식으로 조정될 수 있거나 영향을 받을 수 있다.
보다 구체적으로, 제조 플랫폼은, 예를 들어 다양한 공정 모듈과 측정 모듈 사이에서, 공정 순서로 소재를 이동시키도록 구성 및 제어되는 하나 이상의 소재 이송 모듈을 포함한다. 능동 차단 제어 시스템은 공정 순서에서 소재의 이동 및 공정을 제어하도록 구성되며, 소재로부터의 측정 데이터 뿐만 아니라, 공정 모듈과 관련된 현장 데이터를 처리하도록 또한 구성된다. 능동 차단 제어 시스템은, 공정 순서에서 소재 이동을 제어하기 위해 측정 데이터를 사용한다.
업스트림 및 다운스트림 방향으로의 보정 공정은 능동 차단 제어 시스템에 의해 선택적으로 제어된다. 대체로, 제조 플랫폼은 하나 이상의 막 형성 모듈 및 하나 이상의 에칭 모듈을 포함한다. 하나의 제어 순서에서, 소재가 막 형성 모듈에서 처리된 다음, 부정합 또는 결함을 검출하기 위해 측정된 후에, 보정 공정이 에칭 모듈에서 수행된다. 대안적으로, 소재가 막 형성 모듈에서 사전에 처리된 후에, 다른 막 형성 모듈에서 보정 공정이 수행된다. 다른 시나리오에서, 본 발명은 부정합 또는 결함의 검출 시에 보정 공정을 제공하며, 막 형성 모듈에서 처리하기 전에, 세척 모듈과 같은 처리 모듈에서 보정 공정이 수행된다.
본 발명의 한 가지 특별한 용도는, SADP(이중 패터닝), SATP(삼중 패터닝), SAQP(사중 패터닝), 및 SAOP(팔중 패터닝), 사중 패터닝(SAQP)을 포함하는 자기 정렬 다중 패터닝(SAMP)과 같은 다중 패터닝 공정에 있다. 이러한 자기 정렬 다중 패터닝 기술을 통해, 통상적인 침지 리소그래피를 사용하여, 첨단 기술 노드를 위한 치수 비례 축소 요구를 충족시키는 서브-해상도 형상부를 프린팅할 수 있다. 대체로, 방법은 기판 상에 맨드렐(mandrel) 패턴(SATP를 위한 이중 맨드렐)을 생성하는 단계, 및 맨드렐 패턴 위에 박막을 정합하게(conformally) 도포하는 단계를 포함한다. 그 다음, 정합 박막이 부분적으로 제거되어, 맨드렐 패턴의 측벽 상에 재료를 남긴다. 그 다음, 맨드렐은 맨드렐 측벽으로부터 얇은 패턴을 남기면서 선택적으로 제거된다. 그 다음, 이러한 패턴은, 패턴을 층으로 이동 또는 전사하기 위한 선택적 에칭을 위해 사용될 수 있다.
SAMP 공정을 원활하게 하기 위해, 본원에 도시된 바와 같은 공통 플랫폼은, 에칭 모듈, 박막 형성 모듈, 세척 모듈, 및 다른 전처리 또는 후처리 모듈을 구비한다. 공통 플랫폼은 맨드렐 패턴이 그 위에 형성된 소재 또는 기판을 수용한다. 공정 순서의 제1 단계 동안, 스페이서 막으로 지칭되는 박막이 맨드렐 패턴에 정합하게 도포된다. 그 다음, 본 발명에 따라, 이러한 단계의 완료 시에, 얇은 정합 막의 품질을 검증하는 것이 중요하다. 이는 소재를 하나 이상의 측정 모듈로 이동시키거나, 소재를 이송 측정 모듈의 측정 영역에 통과시킴으로써 수행될 수 있다. 측정 모듈에서, 박막 특성과 관련된 데이터가 측정된다. 예를 들어, 막 정형성(conformality), 막 두께 및 기판에 걸친 막 두께의 균일성, 막의 조성, 막 응력 등이 측정된다. 전형적으로, 스페이서 막은 실리콘 산화물 또는 실리콘 질화물이다. 박막을 도포하기 위한 공정 조건에 따라, 인장성 또는 압축성 응력이 막에 존재할 수 있으며, 이는 추가적인 공정에 유해할 수 있다. 정합 막 도포의 완료 시에, 기판은 수평 표면 상의 정합 막을 부분적으로 제거하기 위한 에칭 단계(스페이서 에칭으로 지칭됨)를 거친다. 정합 막은 맨드렐 패턴 사이의 표면 상에서, 그리고 맨드렐의 상부 표면 상에서 이방성으로 제거됨으로써, 맨드렐 패턴의 측벽 상에 정합 막을 남긴다. 이러한 단계의 완료 시에, 소재는, 맨드렐 측벽 상의 막 두께, 및 기판에 걸친 막 두께의 균일성, 막 조성, 또는 에칭 공정의 결과로 인한 막의 임의의 변화 또는 손상, 남아 있는 멀티컬러 패턴(즉, 맨드렐 및 스페이서)의 임계 치수(CD) 등을 평가함으로써, 맨드렐 패턴 상에 남아 있는 정합 박막의 품질을 검증하는 것이 또한 중요할 수 있다. 그 후에, 잔류물을 제거하기 위한 세척 공정이 적용될 수 있고, 이전의 단계들 중 어느 하나를 보정하기 위한 처리 단계가 수행될 수 있다. (스페이서) 에칭 단계의 완료 시에, 기판은, 측벽 스페이서를 보존하면서 맨드렐을 선택적으로 제거하기 위한 다른 에칭 단계(맨드렐 풀 에칭(mandrel pull etch)으로 지칭됨)를 거친다. 이러한 단계의 완료 시에, 스페이서 두께 또는 CD, 스페이서 높이, 기판에 걸친 스페이서 CD 및/또는 높이의 균일성, 스페이서 프로파일 또는 형상(예를 들어, 측벽 각도, 또는 90도와의 편차 등) 등을 평가함으로써, 기판 상에 남아 있는 스페이서 패턴의 품질을 검증하는 것이 중요하다.
공정 순서는 제어된 환경 내에서 진행되며, 기판 상에 남아 있는 결과적인 스페이서 패턴, 및 피치 축소 순서의 품질을 평가하기 위한 주기적인 계측 단계를 포함한다. 다중 패턴에서의 결함은 기판 상의 하부 막으로 확장될 것이다. 본원에 설명된 실시형태에 따라, 로컬로 또는 원격으로 공통 플랫폼에 위치되는, 지능형 장비 및 공정 관리 시스템과 능동 차단 제어 시스템은, 개선된 수율 및 사이클링 시간을 제공하도록 대량 제조 환경에서 SAMP 공정 순서를 제어할 수 있다. 제어기는, (i) 목표 사양을 벗어난 기판 결과를 유발하는 공정 단계를 식별할 수 있고, (ii) 사양을 벗어난 공정 단계에 대한 데이터(예를 들어, 소재 측정 및 계측 데이터 등)를 추출하여, 다운스트림 공정 단계에 대한 사양을 벗어난 조건의 영향을 에뮬레이트(emulate)할 수 있으며, (iii) 데이터 또는 데이터의 일부를 디스플레이할 수 있고, (iv) 결함을 보정하기 위한 업스트림 또는 다운스트림 공정 조정을 포함하는, 공정 방식에 대한 공정 방식 조정(들)을 최적화할 수 있으며, (v) 사양을 벗어난 조건을 보정하기 위해 공정 흐름과 함께 채택하기 위한 제안된 방식 조정(들)을 전달할 수 있다. 예를 들어, SAMP 공정 동안 형성된 결과적인 스페이서 패턴이 결함 있는 프로파일(예를 들어, 과도한 기울기)을 나타내는 경우, 스페이서 패턴 전사는 다운스트림 하드 마스크 개구부 CD 편차를 초래할 것이며, 보정하지 않은 상태로 두면 고장이 발생할 수 있을 것이다. 이 경우, 지능형 제어기는 증착 도구 방식 데이터베이스로부터의 모든 보정 옵션을 고려할 수 있고, 문제가 있는 기판에 대한 모든 다운스트림 단위 공정 방식 조합에 기초하여 결과를 에뮬레이트할 수 있다. 그 후에, 현재의 공정 단계를 통과시키는 단계, 현재의 공정 단계를 불합격시키고 기판을 폐기하는 단계, 또는 현재의 공정 단계의 업스트림 및/또는 다운스트림에 있는 이의 결함을 보정함으로써 공정 단계를 개선하는 단계를 포함하는 보정 조치가 수행될 수 있다.
본 발명의 다른 실시예에서, 보정 공정 및 능동 차단은 에칭 공정에서 구현될 수 있다. 에칭 적용 동안, 패턴 전사 공정의 무결성을 보장하기 위해, 기판에 대한 다수의 생성물 파라미터를 모니터링하는 것이 중요하다. 본 발명에 따른 측정 데이터 포착을 위한 생성물 파라미터는, 형상부 CD(상부 대 하부), 형상부 깊이, (조밀한 그리고 격리된 형상부 등을 위해 기판에 걸친) CD 및 깊이 균일성, 기판 상에 노출된 재료에 대한 에칭 속도 및 선택비, 및 측벽 휨, 측벽 각도, 코너 챔퍼(chamfer) 등을 포함하는 패턴 프로파일을 포함할 수 있다. 본 발명에 따라, 생성물 파라미터를 조정 또는 제어하기 위한 에칭 모듈에 대한 다수의 제어 파라미터가 존재하며, 그러한 공정 파라미터는, 소재의 공정에서 부정합 또는 결함이 발생했는지를 결정하기 위해 능동 차단 제어 시스템에 의해서도 포착될 수 있다. 보정 공정은, 그러한 부정합 및 결함이 검출된 경우, 후속적인 보정 공정에 영향을 주기 위해 또는 소재의 향후 공정을 위해, 하나 이상의 공정 파라미터를 제어 또는 변경하는 단계를 포함할 수 있다. 이러한 공정 파라미터는, 기상 환경의 화학적 조성, 모듈에 유입되는 공정 가스의 유량, 압력, 플라즈마 생성 및 유지 보수를 위한 소스 및/또는 바이어스 무선 주파수(RF) 전력, 기판 온도, 기판 후면 가스 압력, 챔버 온도(들), 직류(DC) 전압, 가스 유량 및/또는 전력의 시간적 및 공간적 변조와 관련된 파라미터(예를 들어, 펄스 진폭, 펄스 폭, 펄스 주기, 펄스 듀티 사이클 등) 등을 포함할 수 있다. 기판 온도, 그리고 보다 적은 정도의 전력 및 가스 유량과 같은 일부 제어 파라미터는, 공정 균일성을 해결 또는 제어하기 위해 공간적으로 구역화될 수 있다. 추가적으로, 플라즈마 광 방출(예를 들어, 광 방출 분광법(OES)), (순방향 및 반사) RF 전력 및 임피던스 정합망 설정, 플라즈마 조건, 안정성, 아크 발생 등을 모니터링하기 위한 전압 및 전류를 포함하는 전기적 특성, 그리고 이온 온도(Ti), 전자 온도(Te), 이온 에너지 분포 함수(iedf), 이온 각도 분포 함수(iadf), 전자 에너지 분포 함수(eedf), 이온 및/또는 라디칼 플럭스 등을 모니터링하기 위한 다수의 다른 센서 및 방법을 포함하는, 생성물 결과를 예측하는 다수의 공정 파라미터가 에칭 모듈에 존재하므로 공정 동안 모니터링된다. 이러한 공정 데이터는, 보정 공정을 제공하기 위해 능동 차단 제어 시스템에 의해 포착되어 사용될 수 있다.
또한, 막 형성은, 측정/계측 데이터가 포착되어 부정합 또는 결함이 검출되는 경우, 보정 공정이 수행될 수 있는 공정 순서의 시점을 제공한다. 박막 형성 도포 동안, 기판 상에 형성된 막의 품질을 보장하기 위해, 본 발명의 측정 모듈 및 TMM을 사용하여 기판에 대한 다수의 생성물 파라미터가 측정 또는 모니터링될 수 있다. 예를 들어, 막 두께, 기판 표면 형태와의 막 정합성, 막 조성, 막 응력, 막 선택비, 조밀한 그리고 격리된 형상부를 위한 기판에 걸친 막 평탄성, 막 전기적 특성(예를 들어, 유전율), 막 광학적 특성(예를 들어, 굴절률, 분광 흡수율, 분광 반사율 등), 막 기계적 특성(예를 들어, 탄성률, 경도 등), 및 균일성 막 특성 등과 관련된 측정 데이터가 포착될 수 있다. 소재에서 검출된 부정합에 기초하여, 막 전구체의 화학 조성 및 상, 증발기 또는 앰풀(ampoule)의 온도, 캐리어 가스 유량, 전구체 이송 라인 온도, 챔버 내의 기상 환경의 화학 조성, 모듈에 유입되는 공정 가스의 유량, 압력, 플라즈마 지원 증착 장치에서의 플라즈마 생성 및 유지 보수를 위한 소스 및/또는 바이어스 무선 주파수(RF) 전력, 기판 온도, 기판 후면 가스 압력, 챔버 온도(들), 가스 유량 및/또는 전력의 시간적 및 공간적 변조와 관련된 파라미터 등을 포함하는, 생성물 파라미터를 조정 또는 제어하기 위해, 막 형성 모듈에서 다수의 제어 파라미터를 제어함으로써, 공정 순서에서 활성 소재 또는 향후의 소재에 대해 보정 공정이 구현될 수 있다.
포착될 수 있는 추가적인 측정 데이터는, 소자 제조 동안 편차의 원인이 되어 결함으로 분류될 수 있는 입자 오염에 관련된 것이다. 일부 실시형태에서, 공통 플랫폼은 에칭 모듈, 막 형성 모듈, 세척 모듈, 및 다른 전처리 또는 후처리 모듈, 또는 이들의 서브 세트를 구비하며, 플랫폼은 입자 제거 장비를 포함하는 공정 모듈을 사용할 수 있다. 따라서, 입자 오염의 검출 시에, 능동 차단 제어 시스템은 가스상 또는 부분 액화 가스상 빔 또는 제트를 포함할 수 있는 입자 제거 장비를 사용하여, 보정 공정 단계를 구현할 수 있다. 이러한 공정 모듈의 입자 제거 빔 또는 제트는 극저온 또는 비-극저온일 수 있으며, 에어로졸, 가스 클러스터 등을 포함할 수 있거나 포함하지 않을 수 있다. 또한, 공통 플랫폼은 결함 검사 측정 모듈과 조합되어, 소재 표면 스캔 모니터링을 수행하고, 입자를 계수하며, 막 결함을 식별할 수 있다. 결함 검사 모듈은, 입자의 존재를 검출하기 위한 암시야 및/또는 명시야 조명을 사용하는 광학 검사를 포함할 수 있다. 대안적으로 또는 추가적으로, 결함 검사 모듈은 전자빔 검사를 포함할 수 있다. 결함이 검출되면, 능동 차단 제어 시스템은 제조 플랫폼의 공정 순서에 영향을 미침으로써, 임의의 오염 입자를 제거하도록 소재를 보정 처리한다.
본 발명의 다른 양태에 따라, 능동 차단 제어 시스템에 의해 본 발명에 따라 처리되는 데이터는, 공통 제조 플랫폼에서 구현되는 측정 모듈 또는 TMM으로부터 결정되는 제조 측정/계측 데이터를 포함한다. 이러한 제조 측정 데이터는, 공통 제조 플랫폼을 통해 수행되는 공정 순서에 부분적으로 또는 전적으로 기초하는 소재의 특성에 대한 측정치이다. 이러한 정보는, 공통 플랫폼의 하나 이상의 공정 모듈의 특정 공정 파라미터 또는 설정과 관련된 공정 파라미터 데이터를 포함할 뿐만 아니라, 공통 제조 플랫폼에 관한 특정 파라미터 및 설정 및 정보를 나타내는 플랫폼 성능 데이터를 포함하는, 수집된 다른 데이터와 조합될 수 있다.
공정 파라미터 데이터는 공정 모듈에서 수행되는 하나 이상의 공정 조건의 표시를 포함할 수 있다. 예를 들어, 공정 조건은 플라즈마 밀도, 플라즈마 균일성, 플라즈마 온도, 에칭 속도, 에칭 균일성, 증착 속도, 및/또는 증착 균일성 중 적어도 하나에 기초할 수 있다. 이러한 측정된 공정 조건은, 공정 모듈 내에 배치된 플라즈마 소스에 인가되는 에너지의 진폭, 주파수, 및/또는 변조 중 하나를 더 포함할 수 있다. 또한, 공정 조건은, 공정 순서 동안 공정 모듈로 유동되는 가스 유량, 공정 모듈 내에 배치된 소재 홀더의 온도, 및/또는 공정 순서 동안 공정 모듈의 압력을 포함할 수 있다.
플랫폼 성능 데이터는, 공정 순서의 수행에 기여하는 플랫폼 특성의 표시, 또는 공정 순서를 공정 모듈에 수행한 기간의 표시를 포함할 수 있다. 공정 순서에 기여하는 예시적인 플랫폼 특성은, 공정 냉각수 온도, 공정 냉각수 유량, 공정 모듈 공정 시간, 및/또는 공정 모듈 누적 두께를 포함할 수 있다.
제조 측정 데이터, 공정 파라미터 데이터, 및/또는 플랫폼 성능 데이터를 포함하는 다양한 데이터를 사용하여, 부정합이 검출된 경우, 능동 차단이 수행될 수 있다. 능동 차단은 측정된 소재, 또는 후속적으로 처리되는 소재에 대한 공정 순서에 따라 수행된다. 즉, 데이터는 현재의 소재를 보정하기 위해 사용될 수 있거나, 추가적인 부정합이 발생하지 않도록 처리되는 후속적인 소재를 보정하기 위해 이후에 사용될 수 있다.
대안적인 실시형태에서, 측정 데이터는 공정 모듈에서 현장 포착되어 소재의 부정합을 검출하기 위해 사용될 수 있다. 예를 들어, 에칭 또는 막 형성 또는 증착 챔버와 같은 공정 모듈의 챔버 내부에 다양한 센서가 위치될 수 있거나, 검사 시스템이 공정 챔버의 내부 공간에 액세스할 수 있다. 이러한 경우, 현장 공정 측정 데이터는 제조 측정 데이터로 간주될 수 있는 다른 측정 데이터와 함께 조합하여 또는 단독으로 사용될 수 있으며, 수집된 제조 측정 데이터 또는 현장 공정 측정 데이터 중 적어도 하나에 기초하여, 소재의 부정합이 검출될 수 있다. 그 다음, 측정 데이터가 수집된 후에, 공통 제조 플랫폼을 통한 공정 순서에서 소재의 보정 공정을 수행하기 위해, 공정 순서에서 능동 차단이 수행될 수 있다.
본 발명의 일 양태에 따라, 현재의 소재에 대한 능동 차단의 보정 공정은, 검출된 부정합 또는 결함에 따라 다수의 상이한 경로를 포함할 수 있다. 하나의 예시적인 경로에서, 하나 이상의 공정 모듈 내에서 공정이 변경될 수 있다. 이는 소재가 현재 위치하는 곳의 공정 순서의 업스트림에 있는 공정 또는 모듈에서 수행될 수 있거나, 공정 순서의 다운스트림에 있는 공정 또는 모듈에서 수행될 수 있다.
공정 순서에 대한 공정 변경은, 부정합을 보정하기 위한 보정 공정 순서를 소재에 수행하는 단계를 포함할 수 있다. 보정 공정 순서는 부정합을 해결 또는 제거하기 위해 취해지는 단계를 포함할 수 있다. 예를 들어, 소재를 세척하는 단계가 일 단계로서 공정 순서에 추가될 수 있다. 소재의 세척은 예를 들어, 도 10e에 도시된 바와 같은 챔버를 통한 극저온 냉각 분사를 사용하여 처리될 수 있다. 또한, 막이 소재로부터 제거될 수 있거나, 막의 일부가 제거될 수 있다. 이러한 보정 단계는 공통 제조 플랫폼을 통해 수행될 수 있다. 또는, 보정 공정 순서는 공통 제조 플랫폼의 외부에서 수행될 수 있다.
대안적으로, 공정 변경은 검출된 부정합을 보정하기 위한 조정 공정 순서를 소재에 수행하는 단계를 포함할 수 있다. 조정 공정 순서는, 부정합이 검출되는 현장 공정 측정 데이터 또는 제조 측정 데이터의 실시간 측정에 부분적으로 또는 전적으로 기초하여, 공정 모듈의 하나 이상의 공정 파라미터 또는 조건을 제어하는 단계를 포함할 수 있다. 조정 공정 순서는, 부정합의 보정에 대응하는 모델에 적어도 부분적으로 기초하여, 공정 모듈의 하나 이상의 공정 조건을 제어하는 단계를 포함할 수 있다. 모델을 통해, 사용자는 입력 공정 방식이 변경되는 경우의 공정 모듈의 공정 단계의 결과를 예측할 수 있다. 또한, 조정 공정은 검출된 부정합을 보정하기 위해, 막 형성 공정, 에칭 공정, 또는 막 처리 공정 간에 공정을 교호하는 단계를 포함할 수 있다.
또한, 부정합이 교정, 보정 또는 수정될 수 없는 것인 경우, 소재는 능동 차단으로 폐기될 수 있다.
또 다른 대안으로서, 능동 차단은, 조작자가 취해질 경로를 결정할 수 있도록 부정합을 조작자에게 통지하는 단계를 포함할 수 있다.
본 발명의 또 다른 특징에 따라, 현장 공정 측정 데이터는 순서에 따른 공정 단계 동안 공정 모듈에서 현장 수집될 수 있다. 능동 차단은, 현장 공정 측정 데이터가 획득되거나 수집된 동일한 공정 모듈에서 또한 현장 수행될 보정 공정 단계를 표시할 수 있다. 즉, 현장 측정이 수행되기 전에 이전에 수행된 것과 동일한 공정 단계에서 추가적인 공정을 위해 소재가 모듈에 유지될 수 있다.
능동 차단을 수행한 후에, 능동 차단 및 보정 공정에 따른 부정합에 대한 영향을 결정하기 위해, 소재의 추가적인 제조 측정 데이터를 획득하도록 소재가 이동되거나 조작될 수 있다. 보정 공정이 성공적이거나, 부정합 또는 결함을 해결하기 위한 올바른 방향으로 가고 있는 경우, 부정합에 대한 결정된 영향에 기초하여, 소재를 위한 공정 순서가 계속될 수 있다.
실시예
도 13a 내지 도 13e는 능동 차단을 통해 자기 정렬 단분자층 상의 원하지 않는 핵(nucleus)을 제거하기 위한 영역 선택적 증착에서의 능동 차단의 일 실시예를 설명한다.
이제 도 13a 내지 도 13e를 참조하면, 예시적인 일 실시형태에 따라, 능동 차단 제어 시스템을 갖는 제조 플랫폼은 기판 상에 영역 선택적 증착 방법을 수행 및 모니터링하고, 측정 데이터 및 다른 데이터를 수집하도록 구성될 수 있다. 이러한 실시형태에서, 기판(1300)은 기저층(1302), 제1 재료층(1304)의 노출된 표면, 및 제2 재료층(1306)의 노출된 표면을 포함한다. 일 실시예에서, 기판은 유전체층(1304) 및 금속층(1306)을 포함한다. 예를 들어, 금속층(1306)은 Cu, Al, Ta, Ti, W, Ru, Co, Ni, 또는 Mo를 포함할 수 있다. 유전체층(1304)은 예를 들어, SiO2, 로우-k(low-k) 유전체 재료, 또는 하이-k(high-k) 유전체 재료를 포함할 수 있다. 로우-k 유전체 재료는 약 4인 SiO2의 유전율 미만의 공칭 유전율을 갖는다(예를 들어, 열 성장된 이산화규소의 유전율은 3.8 내지 3.9 범위일 수 있음). 하이-k 재료는 SiO2의 유전율을 초과하는 공칭 유전율을 갖는다.
로우-k 유전체 재료는 3.7 미만의 유전율, 또는 1.6 내지 3.7 범위의 유전율을 가질 수 있다. 로우-k 유전체 재료는, 불화 실리콘 유리(FSG), 탄소 도핑된 산화물, 폴리머, SiCOH 함유 로우-k 재료, 비-다공성 로우-k 재료, 다공성 로우-k 재료, 스핀온 유전체(SOD) 로우-k 재료, 또는 임의의 다른 적합한 유전체 재료를 포함할 수 있다. 로우-k 유전체 재료는, Applied Materials, Inc.로부터 상업적으로 입수 가능한 BLACK DIAMOND@ (BD) 또는 BLACK DIAMOND@ Il (BDII) SiCOH 재료, 또는 Novellus Systems, Inc.로부터 상업적으로 입수 가능한 Coral@ CVD 막을 포함할 수 있다. 다른 상업적으로 입수 가능한 탄소 함유 재료는, Dow Chemical로부터 입수 가능한 SILK@(예를 들어, SiLK-I, SiLK-J, SiLK-H, SiLK-D, 및 다공성 SiLK 반도체 유전체 수지) 및 CYCLOTENE@(벤조시클로부텐), 및 Honeywell로부터 입수 가능한 GX-3TM 및 GX-3PTM 반도체 유전체 수지를 포함한다.
로우-k 유전체 재료는, 작은 공극(또는 기공)을 생성하도록 경화 또는 증착 공정 동안 막의 완전한 고밀화를 방해하는 CH3 결합을 갖는 실리콘 산화물계 기질과 같은, 단상으로 이루어진 다공성 무기-유기 하이브리드 막을 포함한다. 또한 대안적으로, 이러한 유전체층은, 경화 공정 동안 분해되거나 증발되는 유기 재료(예를 들어, 포로겐(porogen))의 기공을 갖는 탄소 도핑된 실리콘 산화물계 기질과 같은, 적어도 2개의 상으로 이루어진 다공성 무기-유기 하이브리드 막을 포함할 수 있다.
또한, 로우-k 재료는, SOD 기술을 사용하여 증착되는, 수소 실세스퀴옥산(HSQ) 또는 메틸 실세스퀴옥산(MSQ)과 같은 실리케이트계 재료를 포함한다. 이러한 막의 실시예는, Dow Corning으로부터 상업적으로 입수 가능한 FOx R HSQ, Dow Corning으로부터 상업적으로 입수 가능한 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 상업적으로 입수 가능한 JSR LKD-5109를 포함한다.
도 14는 본 발명을 구현하는 제조 플랫폼을 통한 예시적인 공정 순서의 흐름도를 도시한다. 공정 순서(1400)는 단계(1402)에서, 측정 데이터를 생성하기 위해 소재가 측정되어 특성화되는 TMM으로 또는 플랫폼의 측정 모듈로 소재를 제공하는 공정 흐름을 포함한다. (블록 1404)
도 15를 참조하면, 검사 시스템을 수용하는 측정 모듈 또는 TMM으로 소재가 이동되거나, 도 15에 도시된 바와 같은 공정 흐름(1500)에 따라 데이터가 현장에서 수집되면, 진행 방법을 결정하기 위해 데이터가 분석 및 처리될 수 있다. 보다 구체적으로, 증착된 또는 에칭된 특정 층과 같은 소재의 특성과 관련된 측정치를 나타내는 제조 측정 데이터와 같은 데이터가 소재로부터 직접 수집될 수 있다(블록 1502). 그 다음, 이러한 데이터는 공통 제조 플랫폼의 능동 차단 제어 시스템으로 전송된다. 추가적으로 그리고 가능하면 선택적으로, 본원에 개시된 바와 같은 추가적인 결정을 위해, 능동 차단 제어 시스템에 의해 공정 파라미터 데이터 및/또는 플랫폼 성능 데이터가 획득될 수 있다. 예를 들어, 소재를 측정하기 직전에 수행된 공정에 대한 특정 공정 설정이 포착될 수 있다. 또한, 검출된 부정합 또는 결함이 전체 제조 플랫폼과 관련되는지 여부에 대한 일부 표시를 제공하기 위해, 추가적인 플랫폼 성능 데이터가 획득될 수 있다.
공정 모듈을 위한 개별 공정 제어 시스템, 또는 제조 플랫폼을 위한 제어 시스템과 같은, 다른 소스로부터 데이터가 측정 및 수집되면, 단계(1506)에 상술된 바와 같이 데이터가 분석 및 처리될 수 있다. 이러한 분석 및 처리는, 딥 러닝 및 자율 학습과 함께 패턴 인식 및 상관관계를 포함하는 기계 학습 알고리즘과 같은, 다수의 상이한 알고리즘을 포함할 수 있다. 이러한 처리를 통해, 단계(1508)에서 상술된 바와 같이 부정합 및 결함이 검출될 수 있다. 조치 가능한 부정합 또는 결함이 측정/계측 방법에서 발견되지 않는 경우, 소재는 공정 순서에 따라 정상적으로 진행될 수 있다. 대안적으로, 그러한 결함 또는 부정합이 검출되고, 이들이 보정 또는 개선될 수 있다고 능동 차단 제어 시스템이 결정하는 경우, 단계(1510)에서와 같이, 보정 공정을 제공하기 위해 공정 순서의 능동 차단이 수행된다. 이들이 보정 또는 개선될 수 없는 경우, 이들은 공정 순서에서 방출될 수 있다.
도 16을 참조하면, 능동 차단 단계는 다수의 상이한 경로를 취할 수 있다. 예를 들어, 제어 시스템에 의해 능동 차단이 표시되는 경우(단계(1600)), 부정합을 보정하기 위한 보정 공정(단계(1602))이 보정 공정 순서로 수행될 수 있다. 예를 들어, 부정합을 해결 및 보정하도록 특정 층에 영향을 주기 위해, 소재가 다른 공정 모듈로 이송될 수 있다. 예를 들어, 층이 증착되었고, 측정 단계에 기초하여 충분히 두껍지 않은 경우, 소재는 이전의 공정 모듈로 복귀될 수 있거나, 추가적인 증착을 위해 다른 공정 모듈로 이송될 수 있다. 대안적으로, 보정 공정 순서는, 이전에 증착되었던 층의 일부를 제거하기 위해 에칭 모듈을 통하는 공정 단계를 삽입할 수 있다.
대안적으로, 부정합이 보정될 수 없는 경우, 능동 차단 제어 시스템은 검출된 부정합 또는 결함을 변경하기 위한 조정 공정 순서로 소재를 이송할 수 있다.
또한, 능동 차단 공정(1600)은 공정 순서 파라미터 및 다양한 다른 공정 모듈이 변경되는 단계(1606)를 구현할 수 있다. 예를 들어, 현재의 소재에 대한 능동 차단을 제공하는 대신에, 특정 공정 순서의 단계 또는 공정 파라미터의 변경을 통해 후속 소재가 영향을 받을 수 있다. 이러한 변경은 이전에 검출되었던 임의의 향후의 부정합 또는 결함을 방지하기 위해 이루어진다.
최종적으로, 소재에 대한 보정 및 조정이 적합하지 않고, 결함 또는 부정합이 극복되지 않을 수 있는 경우, 능동적 차단은, 소재를 처리함에 있어서 추가적인 시간 및 자원을 낭비하지 않도록 하기 위해, 공정 순서로부터 간단히 소재를 방출하는 단계를 포함할 수 있다.
도 14의 흐름도로 돌아가면, 능동 차단이 필요한 경우, 그것이 수행될 수 있고, 이는 단계(1405)에 도시된다. 대안적으로, 능동 차단이 필요하지 않은 경우, 제조되는 소재는 정상적으로 공정 순서에 따라 진행된다.
공정 순서에 따라, 단계(1406)에서, 처리 가스로 처리하기 위한 공정 모듈로 소재가 선택적으로 이송된다. 예를 들어, 처리 가스는 산화 가스 또는 환원 가스를 포함할 수 있다. 일부 실시예에서, 산화 가스는 O2, 1-120, 1-1202, 이소프로필 알코올, 또는 이들의 조합물을 포함할 수 있고, 환원 가스는 1-12 가스를 포함할 수 있다. 산화 가스는 제1 재료층(204) 또는 제2 재료(206)의 표면을 산화시켜서 후속적인 영역 선택적 증착을 개선하기 위해 사용될 수 있다. 일 실시예에서, 처리 가스는 플라즈마 여기 AR 가스를 포함할 수 있거나 이로 구성될 수 있다.
공정에서, 단계(1406)는 측정 및 차단을 위한 추가적인 시점을 제공할 수 있다. 단계(1408)에서, 단계(1106)에서의 소재의 공정 또는 처리가 측정되어 특성화되는 측정 모듈 또는 TMM으로 소재가 선택적으로 이송된다. 능동 차단이 표시되는 경우, 이는 단계(1409)에서 수행될 수 있다.
그 후에, 기판이 다른 공정 모듈로 이송되어, 단계(1410)에서, 자기 정렬 단분자층(SAM)이 소재(1300) 상에 형성된다. SAM은 소재 상에 SAM을 형성할 수 있는 분자를 포함하는 반응물 가스에 노출됨으로써 소재(1300) 상에 형성될 수 있다. SAM은, 흡착에 의해 기판 표면 상에 자발적으로 형성되고 다소 넓은 정렬된 영역으로 구성되는 분자 조립체이다. SAM은 헤드기(head group), 테일기(tail group), 및 기능성 말단기를 보유하는 분자를 포함할 수 있으며, SAM은 실온 또는 실온 초과에서 기상으로부터 소재 상으로 헤드기의 화학 흡착 이후에, 테일기의 느린 유기적 구성이 후속됨으로써 생성된다. 초기에는, 표면 상의 작은 분자 밀도에서, 흡착물질 분자가 무질서 분자 질량을 형성하거나, 정렬된 2차원 "가로 놓인 상(lying down phase)"을 형성하며, 더 높은 분자 커버리지에서, 수 분 내지 수 시간의 기간에 걸쳐서, 기판 표면 상에 3차원 결정질 또는 반결정질 구조물을 형성하기 시작한다. 헤드기는 기판 상에서 함께 회합하는 반면에, 테일기는 기판으로부터 멀리 떨어져서 회합한다.
일 실시형태에 따라, SAM을 형성하는 분자의 헤드기는 티올, 실란, 또는 포스포네이트를 포함할 수 있다. 실란의 실시예는, C, H, Cl, F, 및 Si 원자, 또는 C, H, Cl, 및 Si 원자를 포함하는 분자를 포함한다. 분자의 제한적이지 않은 실시예는, 옥타데실트리클로로실란, 옥타데실티올, 옥타데실 포스폰산, 퍼플루오로데실트리클로로실란(
Figure pct00001
), 퍼플루오로데칸티올(
Figure pct00002
), 클로로데실디메틸실란(
Figure pct00003
), 및 tert부틸(클로로)디메틸실란(
Figure pct00004
)을 포함한다.
소재(1300) 상의 SAM의 존재는, 제2 재료층(1306)(예를 들어, 금속층)에 대한 제1 재료층(1304)(예를 들어, 유전체층) 상의 후속적인 선택적 막 증착을 가능하게 하기 위해 사용될 수 있다. 이러한 선택적 증착 작용은 예상치 못한 것이며, 제2 재료층(1306) 상의 금속 산화물 증착을 방지하거나 감소시키면서, 제1 재료층(1304) 상에 막을 선택적으로 증착하기 위한 새로운 방법을 제공한다. 아마도 제1 재료층(1304) 상에 비하여 제2 재료층(1306) 상에서의 분자의 더 높은 초기 정렬로 인해, SAM 밀도는 제1 재료층(1304) 상에 비하여 제2 재료층(1306) 상에서 더 큰 것으로 추정된다. 제2 재료층(1306) 상의 이러한 더 큰 SAM 밀도는 도 13b에서 SAM(1308)으로 개략적으로 도시된다.
소재 상에 SAM(1308)을 형성한 후에, 단계(1412)에서, 소재 상의 SAM(1308)의 형성물이 측정되어 특성화되는 측정 모듈/TMM으로 소재가 선택적으로 이송된다. 능동 차단이 필요한 경우, 이는 단계(1413)에서 수행될 수 있다. 예를 들어, 측정 시스템은 측정을 수행할 수 있고, 두께, 두께 불균일성 및/또는 정합성과 관련된 데이터를 수집할 수 있다. 예를 들어, 본원에 언급된 바와 같이, SAM 층의 표면 커버리지가 두께 또는 정합성에 있어서 충분하지 않는 경우, SAM 층을 사용하여 불충분한 선택적 증착이 발생할 수 있다. 또한, SAM 층이 불균일한 경우, 이는 층(1306) 상에 공극을 초래할 수 있다. TMM/측정 모듈의 측정을 통해, 이러한 부정합이 검출될 수 있다. 그러한 경우, 능동 차단 제어 시스템은 SAM 층을 제거하기 위해 에칭 또는 세척 모듈로 소재를 이송할 수 있다. 예를 들어, 이는 입자 오염 레벨이 높거나, 층이 균일하지 않거나, 치수가 부정확한 경우 수행될 수 있다. 대안적으로, 적절한 치수가 아닌 경우, SAM 층이 보정될 수 있으며, 층이 너무 얇은 경우 더 많은 막을 증착하기 위해, 소재가 증착 챔버로(예를 들어, 이전 모듈로 다시) 이송될 수 있다. 대안적으로, 층이 너무 두꺼운 경우, 능동 차단 또는 보정의 일부로서, 소재가 에칭 모듈로 이송될 수 있다.
그 후에, 소재는 다른 공정 모듈로 이송되어, 단계(1414)에서, 소재(1300)를 하나 이상의 증착 가스에 노출시킴으로써, 제2 재료층(1306)에 비하여 제1 재료층(1304) 상에 막(1310)(예를 들어, 금속 산화막)이 선택적으로 증착된다. 일 실시예에서, 막(1310)은 HfO2, ZrO2, 또는 Al2O3를 포함하는 금속 산화막을 포함할 수 있다. 막(1310)은 예를 들어, CVD, 플라즈마 강화 CVD, PEALD, ALD 또는 플라즈마 강화 ALD(PEALD)에 의해 증착될 수 있다. 일부 실시예에서, 금속 산화막(1310)은, 금속 함유 전구체 및 산화제(예를 들어, 1-120, 1-1202, 플라즈마 여기 O2, 또는 O3)의 교호하는 노출을 사용하여 ALD에 의해 증착될 수 있다. 막(1310)의 증착 동안, 선택적 증착 및 증착 층(1310)을 층(1304) 상에만 유지시키고, 층(1306) 또는 심지어 SAM 층(1308) 상에는 유지시키지 않는 것이 바람직하다. 그러나, 특정 조건으로 인해, SAM 층 상에 일부 증착이 이루어질 수 있다. 따라서, 본 발명에 따라, 증착 층(1310)의 완료 시에, TMM 또는 다른 측정 모듈 또는 측정 영역에서 측정이 수행되고, 능동 차단이 수행되어, 층(1308) 상의 증착을 해결한다.
도 13c에 도시된 바와 같이, 공정 모듈에서 하나 이상의 증착 가스에 노출시킴으로서, 유전체층(1304) 상에 막(1310)을 증착할 수 있을 뿐만 아니라, SAM(1308) 상에 막 핵(1312)과 같은 막 재료를 증착할 수도 있다. 이러한 증착 선택비 손실은 증착 공정이 너무 오래 동안 수행되는 경우 발생할 수 있다. 대안적으로, 유전체층(1302)과 SAM(1308) 사이의 증착 선택비가 불충분할 수 있다. 또한, SAM(1308)의 표면 커버리지가 불완전하고 층이 제2 재료층(1306) 상에 공극을 포함하는 경우, 불충분한 증착 선택비가 발생할 수 있다.
따라서, 소재 상에 막(1310)을 증착한 후에, 단계(1416)에서, 막(1310)의 증착이 능동 차단 제어 시스템에 의해 측정되어 특성화되는 측정 모듈/TMM으로 소재가 이송된다. 특성화는 증착 선택비의 정도를 결정할 수 있고, SAM(1308)으로부터 막 핵(1312)을 제거하기 위해 임의의 능동 차단 단계가 필요한지 여부를 결정할 수 있다. 능동 차단이 필요한 경우, 이는 예를 들어 소재를 에칭 모듈로 이송함으로써, 단계(1417)에서 수행될 수 있다.
SAM(1308) 상의 막 핵(1312)은, 제1 재료층(1304) 상에 막(1310)을 선택적으로 형성하기 위해 에칭 공정을 사용하여 제거될 수 있다. 소재는 단계(1418)에서 에칭 공정을 수행하기 위해 다른 공정 모듈로 이송된다. 또한, 막(1310)이 에칭 공정에 의해 부분적으로 제거될 수 있지만, 금속 산화물 핵(1312)은 막(1310)보다 더 빨리 에칭될 것으로 예상된다. 에칭 공정은 건식 에칭 공정, 습식 에칭 공정, 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 에칭 공정은 원자층 에칭(ALE) 공정을 포함할 수 있다. 도 13d에 도시된 결과적인 소재는, 임의의 막 핵 제거를 통해 제1 재료층(1304) 상에 선택적으로 형성된 막(1310)을 갖는다.
에칭 공정 후에, 단계(1420)에서, 공정의 결과를 결정하기 위해 소재가 측정되어 특성화되는 측정 모듈/TMM으로 소재가 선택적으로 이송된다. 특성화는 에칭 공정의 정도를 결정할 수 있다. 추가적인 에칭과 같은 능동 차단이 필요한 경우, 이는 단계(1421)에서 수행될 수 있다.
그 후에, 단계(1422)에서, SAM(1308)은 예를 들어, 에칭 또는 공정 모듈 세척 또는 열처리에 의해 소재로부터 제거될 수 있다.
도 14에 개략적으로 도시된 바와 같은, 전술한 공정 단계는 소재 상의 막(1310)의 두께를 증가시키기 위해 한 번 이상 반복될 수 있다. SAM(1308)이 막 증착 및/또는 에칭 공정 동안 손상되어 막 증착 선택비에 영향을 미치는 경우, 소재 상의 SAM(1308)의 제거 및 후속적인 반복 증착이 바람직할 수 있다.
제조 공정에서의 통상적인 계측 또는 공정 제어와 달리, 소재가 독립형 측정/계측 도구에 진입하기 위해 제어된 환경을 벗어나지 않으므로, 산화 및 결함 발생을 최소화하고, 측정이 비-파괴성이므로, 데이터를 획득하기 위해 소재가 희생되지 않음으로써, 생산량을 극대화하며, 공정 흐름의 일부로서 데이터가 실시간으로 수집될 수 있으므로, 생산 시간에 부정적인 영향을 주지 않고, 공통 제조 플랫폼을 통해 순차적으로 처리되는 소재 또는 후속 소재에 대한 공정 중 조정을 가능하게 할 수 있다. 추가적으로, 막 형성 또는 에칭 모듈에서 측정이 수행되지 않으므로, 측정 장치가 공정 유체에 노출되는 경우의 문제를 방지한다. 예를 들어, 개시된 실시형태 중 일부에서와 같이, 소재 측정 영역을 이송 모듈 내에 통합함으로써, 공정 유체에 노출되지 않으면서 그리고 제어된 환경을 벗어나지 않으면서, 예를 들어 진공상태를 중단함이 없이, 공정 흐름에서 지연이 거의 없거나 전혀 없이, 공정 도구들 사이에서 소재가 이동함에 따라 데이터가 획득될 수 있다. "즉각적인" 데이터는 독립형 계측 도구에서 수행되는 통상적인 파괴성 방법으로 획득된 데이터만큼 정확하지 않을 수 있지만, 공정 흐름을 통한 거의 동시적인 피드백, 그리고 공정 흐름을 중단하거나 수율을 희생하지 않으면서 실시간으로 조정할 수 있는 기능은 대량 제조에 매우 유용하다.
도 14a의 공정 흐름(1430)을 추가로 참조하면, 방법은, 제어된 환경을 벗어나지 않으면서, 예를 들어 진공상태를 중단하지 않으면서, 통합된 방법 전반에 걸쳐서 임의의 다양한 시간에 능동 차단 제어 시스템을 사용하여, 소재를 검사하는 단계, 예를 들어 계측을 수행하는 단계(즉, 측정 데이터를 획득하는 단계)를 포함할 수 있다. 소재의 검사 또는 측정은, 소재의 하나 이상의 특성을 특성화하는 단계, 및 특성이 목표 조건을 충족시키는지 여부를 결정하는 단계를 포함할 수 있다. 예를 들어, 검사는, 특성과 관련된 측정 데이터를 획득하는 단계, 및 결함, 두께, 균일성, 및/또는 선택비 조건이 그 조건에 대한 목표를 충족시키는지 여부를 결정하는 단계를 포함할 수 있다. 능동 차단 제어 시스템은, 본원에 설명된 바와 같은 공통 제조 플랫폼의 하나 이상의 측정/계측 모듈 또는 소재 측정 영역을 포함할 수 있다. 다양한 측정/계측 작업 및 후속하는 능동 차단 단계는 예를 들어 도 14a에서 가상선으로 표시된 바와 같이, 특정 시점에서 선택 사항일 수 있지만, 소재가 사양 내에 있도록 보장하기 위해, 공정 흐름의 하나 이상의 시점에서 유리하게 수행될 수 있다. 일 실시형태에서, 측정 데이터는 공통 제조 플랫폼을 통해 수행되는 통합된 일련의 공정 단계의 각각의 단계 후에 획득된다. 측정 데이터는, 공통 제조 플랫폼을 벗어나기 전에 하나 이상의 능동 차단/교정/보정 모듈에서 소재를 보정하기 위해 사용될 수 있거나/사용될 수 있고, 후속 단계 및/또는 후속 소재를 위해 통합된 일련의 공정 단계의 파라미터를 변경하기 위해 사용될 수 있다.
넓은 의미에서, 제어된 환경 내에서, 적층 재료의 선택적 증착과 관련된 통합된 일련의 공정 단계 동안, 측정 데이터가 획득될 수 있으며, 측정 데이터에 기초하여, 적층 재료층의 결함, 두께, 균일성, 및/또는 선택비가 목표 조건을 충족시키는지 여부가 결정될 수 있다. 결함, 두께, 균일성, 및/또는 선택비가 목표 조건을 충족시키지 않는 것으로 결정되거나, 그렇지 않으면 소재의 특성이 부정합인 것으로 결정되는 경우, 소재에 추가적인 능동 차단 처리를 수행할 수 있다. 예를 들어, 통합된 일련의 공정 단계에서 다음 공정 단계를 수행하기 전에 부정합 특성을 제거, 최소화, 또는 보정하기 위해, 공통 제조 플랫폼의 보정/교정 모듈로 간주될 수 있는 하나 이상의 모듈에서 소재가 처리될 수 있다. 보정 조치는 예를 들어, 목표 표면 또는 비-목표 표면을 에칭하는 단계, 소재 상에 추가적인 적층 재료를 증착하는 단계, 소재 상의 장벽층을 보정하는 단계, 소재를 열처리하는 단계, 또는 소재를 플라즈마 처리하는 단계를 포함할 수 있다. 검출된 부정합 또는 결함에 따라, 다른 단계가 능동 차단의 일부일 수도 있다.
일 실시예에서, SAM을 사용하는 공정에서, 보정 조치는, 부정합이 SAM에 의한 비-목표 표면의 불완전한 커버리지 또는 불완전한 차단에 적어도 부분적으로 기초하는 경우, 또는 비-목표 표면의 노출된 영역의 양이 미리 결정된 노출된 영역 임계치를 초과하는 경우, 또는 SAM 표면 상의 적층 재료의 양이 미리 결정된 임계치를 초과하는 경우, SAM을 제거하는 단계를 포함할 수 있다. 다른 실시예에서, 보정 조치는, 목표 표면과 비-목표 표면 사이의 단차 높이 간격이 미리 결정된 단차 높이 임계치 미만인 것에 부정합이 적어도 부분적으로 기초하는 경우, 또는 비-목표 표면의 노출된 영역의 양이 미리 결정된 노출된 영역 임계치 미만인 것에 부정합이 적어도 부분적으로 기초하는 경우, 적층 재료층의 적어도 일부를 제거하는 단계를 포함할 수 있다. 또 다른 실시예에서, 보정 조치는, 목표 표면 위에 놓이는 적층 재료의 두께가 미리 결정된 두께 임계치 미만인 것에 부정합이 적어도 부분적으로 기초하는 경우, 추가적인 적층 재료를 소재에 추가하는 단계를 포함할 수 있다. 또 다른 실시예에서, 보정 조치는, 비-목표 표면 상의 남아 있는 적층 재료, 또는 비-목표 표면 상의 남아 있는 자기 조립 단분자층이 미리 결정된 잔류 두께 임계치를 초과하는 것에 부정합이 적어도 부분적으로 기초하는 경우, 소재를 에칭하는 단계를 포함할 수 있다. 다른 실시예에서, 보정 조치는, 소재로부터의 반사율이 미리 결정된 반사율 임계치 미만인 것에 부정합 소재 특성이 적어도 부분적으로 기초하는 경우, 소재를 열처리 또는 플라즈마 처리하는 단계를 포함할 수 있다.
보정 모듈은, 공통 제조 플랫폼에서 보정 모듈로 지정된 상이한 막 형성 및 에칭 모듈, 또는 열 어닐링 모듈과 같이, 공통 제조 플랫폼에 통합된 다른 유형의 처리 모듈일 수 있거나, 적층 재료를 선택적으로 증착하고 막 핵을 에칭하기 위해 사용된 동일한 막 형성 및 에칭 모듈일 수 있다.
이제 도 14a의 공정 흐름(1430)은, ASD의 목표 두께에 도달하는 경우를 결정하기 위해, 및/또는 부정합이 존재하는지를 결정하기 위해, 소재의 특성을 특성화하는 데 사용되는 선택적인 검사 또는 계측 작업과 함께 상세하게 설명될 것이다. 작업(1432)은 목표 및 비-목표 표면을 갖는 소재를 공통 제조 플랫폼 내에 수용하는 단계를 포함한다. 작업(1450)은, 목표 표면 및/또는 비-목표 표면의 특성과 같은 입력 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는 작업(1434 내지 1438) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있다.
작업(1434)은 소재를 선택적으로 전처리하는 단계를 포함한다. 전처리는 공통 제조 플랫폼을 통해 수행되는 단일 작업 또는 다수의 작업일 수 있다. 작업(1452)은 전처리 후에, 소재의 특성과 관련된 측정 데이터를 획득하기 위한 계측을 선택적으로 수행하는 단계를 포함한다. 다수의 전처리 작업이 수행되는 경우, 모든 전처리가 완료된 후에, 및/또는 임의의 개별 전처리 단계 후에, 측정 데이터가 획득될 수 있다. 일 실시예에서, 커버리지가 완전한지 여부, 또는 처리된 표면의 노출된 영역이 임계치를 초과하는지 여부를 결정하기 위해, SAM이 형성된 후에 소재가 검사된다. 측정 데이터는, 작업(1434 내지 1438) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1432) 또는 작업(1434)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 소재를 보정하기 위한 보정 모듈로 소재가 이송될 수 있다. 예를 들어, 비-목표 표면 상의 SAM의 커버리지가 불완전한 경우, SAM을 제거하고 SAM을 재도포하는 단계와 같은 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
작업(1436)은 공통 제조 플랫폼을 통해 호스팅되는 막 형성 모듈에서 소재 상에 적층 재료를 선택적으로 증착하는 단계를 포함한다. 작업(1454)은, 선택적 증착에 의해 영향을 받는 적층 재료층, 비-목표 표면, 및/또는 전처리된 표면의 특성과 같이, 목표 표면 상에 형성된 적층 재료층을 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1438 내지 1442) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1432) 또는 작업(1434 내지 1436)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 적층 재료층 또는 비-목표 표면을 보정하기 위한 보정 모듈로 소재가 이송될 수 있다. 예를 들어, 적층 재료의 결함, 두께, 균일성, 또는 선택비가 목표 조건을 충족시키지 않는 경우, 예를 들어, 추가적인 적층 재료를 목표 표면 상에 선택적으로 증착하는 단계, 비-목표 표면 또는 목표 표면으로부터 적층 재료를 제거하는 단계, 비-목표 표면으로부터 전처리 층을 제거하는 단계, 소재를 열처리 또는 플라즈마 처리하는 단계, 또는 이들의 둘 이상의 조합에 의해, 하나 이상의 보정 모듈에서 보정 조치가 수행될 수 있다.
작업(1438)은 비-목표 표면을 노출시키기 위해 공통 제조 플랫폼을 통해 호스팅되는 에칭 모듈을 사용하여, 소재를 에칭하는 단계를 포함한다. 작업(1438)은, 비-목표 표면 상에 형성된 SAM 상에 또는 비-목표 표면 상에 증착된 막 핵을 에칭하는 단계, 또는 목표 표면 상에 형성된 적층 재료층의 두께 미만의 두께로 비-목표 표면 상에 형성된 SAM 상에 또는 비-목표 표면 상에 증착된 적층 재료의 전체 층을 에칭하는 단계를 포함할 수 있다. 작업(1438)은 동일한 에칭 단계 또는 후속 에칭 단계에서, 비-목표 표면으로부터 SAM 또는 다른 전처리 층을 제거하는 단계를 더 포함할 수 있다. 작업(1456)은, 에칭에 의해 영향을 받는 적층 재료층의 특성, 에칭에 의해 노출된 비-목표 표면의 특성, 및/또는 비-목표 표면 상의 SAM으로부터 막 핵을 에칭함으로써 영향을 받는 SAM 또는 다른 전처리 층의 특성과 같은, 에칭된 비-목표 표면 및 목표 표면 상의 적층 재료층을 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1442)에 따른 순서의 반복으로 단계(1434 내지 1438)를 포함하는 작업 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1432) 또는 작업(1434 내지 1438)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 적층 재료층 또는 비-목표 표면에 대한 보정 모듈로 소재가 이송될 수 있다. 예를 들어, 적층 재료의 결함, 두께, 균일성, 또는 선택비가 목표 조건을 충족시키지 않는 경우, 예를 들어, 추가적인 적층 재료를 목표 표면 상에 선택적으로 증착하는 단계, 비-목표 표면 또는 목표 표면으로부터 적층 재료를 제거하는 단계, 비-목표 표면으로부터 전처리 층을 제거하는 단계, 소재를 열처리 또는 플라즈마 처리하는 단계, 또는 이들의 둘 이상의 조합에 의해, 하나 이상의 보정 모듈에서 보정 조치가 수행될 수 있다. 또한, 적층 재료층의 두께가 목표 두께 미만임을 측정 데이터가 나타내어 결정(1440)이 "아니오"인 경우, 작업(1442)에 따라 순서의 단계들을 소재에 반복할 수 있다. 적층 재료층의 두께가 목표 두께에 도달했음을 측정 데이터가 나타내어 결정(1440)이 "예"인 경우, 소재가 공통 제조 플랫폼에서 배출될 수 있다.
위에서 언급된 바와 같은 공정 파라미터는 이에 제한됨이 없이, 가스 유량; 에칭제, 증착 반응물, 퍼지 가스 등의 조성; 챔버 압력; 온도; 전극 간격; 전력 등과 같은, 공정 모듈 내의 임의의 작업 변수를 포함할 수 있다. 능동 차단 시스템의 지능형 시스템은, 검사 시스템으로부터 측정 데이터를 수집하고, 예를 들어, 공정 중의 소재를 위한 후속 공정 모듈에서 공정 파라미터를 현장 조정함으로써, 또는 후속 소재를 위한 하나 이상의 공정 모듈에서 공정 파라미터를 변경함으로써, 공통 제조 플랫폼을 통해 수행되는 통합된 일련의 공정 단계를 제어하도록 구성된다. 따라서, 소재를 폐기할 필요가 없도록 통합된 일련의 공정 단계 동안 소재에 대한 필요한 보정을 식별하기 위해, 및/또는 후속 소재에 대해 충족되지 않는 목표 조건의 발생을 감소시키도록 후속 소재를 처리하기 위해, 또는 측정 데이터가 획득된 후에 동일한 소재에 대해 수행되는 단계에 대해 통합된 일련의 공정 단계에 대한 공정 파라미터를 조정하기 위해, 획득된 측정 데이터가 사용될 수 있다.
도시된 실시예 중 일부는 유전체층 상의 금속 산화막의 ASD 층을 나타내지만, 본 발명은 금속-온-금속(MoM) 선택적 증착 또는 유전체-온-유전체(DoD) 선택적 증착에도 적용될 수 있다.
또한, 본 발명은 본 발명의 시스템을 통해 수행되는 바와 같은 자기 정렬 다중 패터닝 공정의 능동 차단을 위해 구현될 수 있다. 이러한 시나리오에서, 본원에 언급된 바와 같은 능동 차단 시스템은, 공통 제조 플랫폼의 하나 이상의 측정/계측 모듈 또는 소재 측정 영역일 수 있다. 도 14b에 나타낸 바와 같이, 다양한 측정 또는 계측 작업은 선택적으로 수행될 수 있지만, 결함 및 EPE를 감소시키도록 소재가 사양 내에 있도록 보장하기 위해, 공정 흐름의 하나 이상의 시점에 유리하게 수행될 수 있다. 일 실시형태에서, 측정 데이터는 공통 제조 플랫폼을 통해 수행되는 통합된 일련의 공정 단계의 각각의 단계 후에 획득된다. 측정 데이터는, 공통 제조 플랫폼을 벗어나기 전에 능동 차단을 개시하여 교정 또는 보정 모듈에서 소재를 보정하기 위해 사용될 수 있거나/사용될 수 있고, 후속 소재를 위해 통합된 일련의 공정 단계의 파라미터를 변경하기 위해 사용될 수 있다.
예를 들어, 제어된 환경 내에서의 다중 패터닝 공정의 경우, 측정 데이터에 기초하여, 그리고 측벽 스페이서 패턴의 형성과 관련된 통합된 일련의 공정 단계 동안 측정 데이터가 획득될 수 있다. 예를 들어, 공통 플랫폼의 TMM/측정 모듈 또는 측정 영역은 측벽 스페이서 패턴의 두께, 폭, 또는 프로파일에 관한 데이터를 제공할 수 있으며, 측벽 스페이서 패턴의 측정된 두께, 폭, 또는 프로파일이 목표 조건을 충족시키는지 여부를 결정하기 위해 차단 제어 시스템에 의해 데이터가 분석될 수 있다. 측벽 스페이서 패턴의 두께, 폭, 또는 프로파일이 목표 조건을 충족시키지 않는 것으로 결정되는 경우, 능동 차단이 필요할 수 있으며, 소재는 측벽 스페이서 패턴을 변경하기 위한 공통 제조 플랫폼의 공정 모듈에서 처리될 수 있다. 일 실시형태에서, 측벽 스페이서 패턴의 목표 두께, 폭, 또는 프로파일이 충족되지 않는 경우, 측벽 스페이서 패턴이 보정될 수 있다. 일 실시예에서, 소재는 추가적인 재료를 구조물 상에 선택적으로 증착하기 위한 막 형성 모듈로 전달될 수 있다. 대안적으로, 추가적인 재료를 구조물 상에 정합하게 증착하기 위한 공정 모듈이 사용될 수 있다. 또한, 능동 차단은 하나 이상의 공정 모듈을 사용하여, 구조물을 재성형하고, 구조물을 에칭하며, 도펀트를 구조물 내에 주입하고, 구조물의 재료층을 제거 및 재도포할 수 있다. 또한, 제어 시스템에 의해 명령되는 바와 같은 적절한 능동 차단을 위해, 다양한 교정 보정 단계가 조합될 수 있다.
일 실시형태에서, 공통 제조 플랫폼의 막 형성 모듈에서 도포된 박막의 정합성 또는 균일성이 박막에 대한 목표 정합성 또는 목표 균일성을 충족시키지 않는 경우, 박막을 보정하기 위해 보정 또는 능동 차단 조치가 취해질 수 있다. 일 실시예에서, 정합하게 도포된 박막을 보정하는 단계는 박막을 제거하고 박막을 재도포함으로써 달성될 수 있다. 따라서, 소재는 하나 이상의 에칭 및/또는 세척 공정 모듈로 전달된 다음, 막 형성 모듈로 전달되어, 막을 재도포할 수 있다. 다른 능동 차단 실시예에서, 소재는 추가적인 박막을 정합하게 도포하기 위한 막 형성 모듈로 이동할 수 있거나, 박막을 에칭하기 위한 에칭 모듈로 이동할 수 있거나, 막 형성과 에칭의 일부 조합으로 이동할 수 있다. 예를 들어, 박막을 제거하거나 박막을 부분적으로 에칭하기 위한 보정 에칭 모듈로 소재가 이송될 수 있거나/이송될 수 있고, 박막이 제거된 후에 박막을 재도포하거나, 기존의 박막 또는 부분적으로 에칭된 박막 위에 추가적인 박막을 도포하기 위한 보정 막 형성 모듈로 소재가 이송될 수 있다.
일 실시형태에서, 공통 제조 플랫폼의 에칭 모듈에서 형성된 측벽 스페이서의 두께, 폭, 또는 프로파일이 측벽 스페이서의 목표 두께, 폭, 또는 프로파일을 충족시키지 않는 경우, 측벽 스페이서를 보정하기 위한 보정 조치가 취해질 수 있다. 측벽 스페이서를 보정하는 단계는, 측벽 스페이서 상에 추가적인 재료를 선택적으로 증착하는 단계, 측벽 스페이서를 재성형하는 단계, 도펀트를 측벽 스페이서 내에 주입하는 단계, 또는 이들의 둘 이상의 조합에 의해 달성될 수 있다. 예를 들어, 소재는 스페이서 재료를 선택적으로 증착하기 위한 보정 막 형성 모듈로 이송될 수 있거나, 측벽 스페이서 재성형 공정을 수행하기 위한 하나 이상의 보정 막 형성 및/또는 에칭 모듈로 이송될 수 있다.
보정 모듈은, 공통 제조 플랫폼에서 보정/교정 모듈로 지정된 상이한 막 형성 및 에칭 모듈일 수 있거나, 열 어닐링 모듈과 같이, 공통 제조 플랫폼에 통합된 다른 유형의 처리 모듈일 수 있다. 대안적으로, 능동 차단에 사용되는 모듈은, 박막을 정합하게 도포하고, 박막을 에칭하며, 맨드렐 패턴을 제거하기 위해 사용된 동일한 막 형성 및 에칭 모듈일 수 있다.
이제 도 14b의 공정 흐름(1460)이 선택적인 계측 작업과 함께 상세하게 설명될 것이다. 작업(1462)은, 제1 맨드렐 패턴을 갖는 소재를 공통 제조 플랫폼 내에 수용하는 단계를 포함한다. 작업(1480)은, 맨드렐 패턴이 그 위에 형성되고 최종 패턴이 전사되는 하부층 및/또는 제1 맨드렐 패턴의 특성과 같은, 입력 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함한다. 측정 데이터는 작업(1464 내지 1478) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있다.
작업(1464)은, 공통 제조 플랫폼을 통해 호스팅되는 막 형성 모듈을 사용하여, 제1 맨드렐 패턴 위에 제1 박막을 정합하게 도포하는 단계를 포함한다. 작업(1482)은, 제1 박막, 박막 증착에 의해 영향을 받는 제1 맨드렐 패턴, 및/또는 박막 증착에 의해 영향을 받는, 최종 패턴이 전사되는 하부층의 특성과 같은, 도포된 정합 제1 박막을 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1464 내지 1468) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1462) 또는 작업(1464)에서 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 소재는 정합하게 도포된 제1 박막을 보정하기 위한 공정 모듈로 이송될 수 있다. 예를 들어, 제1 박막의 정합성 또는 균일성이 제1 박막에 대한 목표 정합성 또는 목표 균일성을 충족시키지 않는 경우, 박막을 제거하고 박막을 재도포하는 단계, 추가적인 박막을 정합하게 도포하는 단계, 박막을 에칭하는 단계, 또는 이들의 둘 이상의 조합과 같은, 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
작업(1466)은, 제1 측벽 스페이서를 형성하기 위해 공통 제조 플랫폼을 통해 호스팅되는 에칭 모듈을 사용하여, 제1 맨드렐 패턴의 상부 표면 및 제1 맨드렐 패턴에 인접한 하부 표면으로부터(예를 들어, 하부층으로부터) 제1 박막을 제거하는 단계를 포함한다(스페이서 에칭으로 지칭됨). 작업(1484)은, 제1 측벽 스페이서, 스페이서 에칭에 의해 영향을 받는 제1 맨드렐 패턴, 및/또는 스페이서 에칭에 의해 영향을 받는 하부층의 특성과 같은, 제1 맨드렐 패턴의 측벽 상에 제1 측벽 스페이서를 형성하는 에칭된 제1 박막을 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1468 내지 1478) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1462) 또는 작업(1464 내지 1466)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 소재는 맨드렐 패턴의 측벽 상의 제1 측벽 스페이서를 보정하기 위한 보정 모듈로 이송될 수 있다. 예를 들어, 측벽 스페이서의 두께, 폭, 또는 프로파일이 측벽 스페이서의 목표 두께, 폭, 또는 프로파일을 충족시키지 않는 경우, 예를 들어, 추가적인 재료를 측벽 스페이서 상에 선택적으로 증착하는 단계, 측벽 스페이서를 재성형하는 단계, 측벽 스페이서 내에 도펀트를 주입하는 단계, 또는 이들의 둘 이상의 조합에 의해, 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
작업(1468)은, 제1 측벽 스페이서를 남기기 위해 공통 제조 플랫폼을 통해 호스팅되는 에칭 모듈을 사용하여, 제1 맨드렐 패턴을 제거하는 단계(맨드렐 풀로 지칭됨)을 포함한다. 작업(1486)은, 맨드렐 풀에 의해 영향을 받는 제1 측벽 스페이서, 및/또는 맨드렐 풀에 의해 영향을 받는 하부층의 특성과 같은, 제1 측벽 스페이서를 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1470 내지 1478) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1462) 또는 작업(1464 내지 1468)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 제1 측벽 스페이서를 보정하기 위한 보정 모듈로 소재가 이송될 수 있다. 예를 들어, 측벽 스페이서의 두께, 폭, 또는 프로파일이 측벽 스페이서의 목표 두께, 폭, 또는 프로파일을 충족시키지 않는 경우, 예를 들어, 추가적인 재료를 측벽 스페이서 상에 선택적으로 증착하는 단계, 측벽 스페이서를 재성형하는 단계, 측벽 스페이서 내에 도펀트를 주입하는 단계, 또는 이들의 둘 이상의 조합에 의해, 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
자기 정렬 이중 패터닝 실시형태에서, 공정 흐름(1460)은 작업(1486) 없이 또는 작업(1486) 후에, 흐름(1470)을 통해, 후술되는 작업(1478)으로 진행될 수 있다. 작업(1472)은, 공통 제조 플랫폼을 통해 호스팅되는 막 형성 모듈을 사용하여, 제2 맨드렐 패턴으로 작용하는 제1 측벽 스페이서 위에 제2 박막을 정합하게 도포하는 단계를 포함한다. 작업(1488)은, 제2 박막, 박막 증착에 의해 영향을 받는 제2 맨드렐 패턴, 및/또는 박막 증착에 의해 영향을 받는 하부층의 특성과 같은, 도포된 정합 제2 박막을 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1474 내지 1478) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1462) 또는 작업(1464 내지 1468)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 정합하게 도포된 제2 박막을 보정하기 위한 보정 모듈로 소재가 이송될 수 있다. 예를 들어, 제2 박막의 정합성 또는 균일성이 제2 박막에 대한 목표 정합성 또는 목표 균일성을 충족시키지 않는 경우, 박막을 제거하고 박막을 재도포하는 단계, 추가적인 박막을 정합하게 도포하는 단계, 박막을 에칭하는 단계, 또는 이들의 둘 이상의 조합과 같은, 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
작업(1474)은, 제2 측벽 스페이서를 형성하기 위해 공통 제조 플랫폼을 통해 호스팅되는 에칭 모듈을 사용하여, 제2 맨드렐 패턴의 상부 표면 및 제2 맨드렐 패턴에 인접한 하부 표면으로부터(예를 들어, 하부층으로부터) 제2 박막을 제거하는 단계를 포함한다(스페이서 에칭으로 지칭됨). 작업(1490)은, 제2 측벽 스페이서, 스페이서 에칭에 의해 영향을 받는 제2 맨드렐 패턴, 및/또는 스페이서 에칭에 의해 영향을 받는 하부층의 특성과 같은, 제2 맨드렐 패턴의 측벽 상에 제2 측벽 스페이서를 형성하는 에칭된 제2 박막을 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1476 내지 1478) 중 어느 하나의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1462) 또는 작업(1464 내지 1474)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 제2 맨드렐 패턴의 측벽 상의 제2 측벽 스페이서를 보정하기 위한 공정 모듈로 소재가 이송될 수 있다. 예를 들어, 측벽 스페이서의 두께, 폭, 또는 프로파일이 측벽 스페이서의 목표 두께, 폭, 또는 프로파일을 충족시키지 않는 경우, 예를 들어, 추가적인 재료를 측벽 스페이서 상에 선택적으로 증착하는 단계, 측벽 스페이서를 재성형하는 단계, 측벽 스페이서 내에 도펀트를 주입하는 단계, 또는 이들의 둘 이상의 조합에 의해, 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
작업(1476)은, 제2 측벽 스페이서를 남기기 위해, 공통 제조 플랫폼을 통해 호스팅되는 에칭 모듈을 사용하여 제2 맨드렐 패턴을 제거하는 단계(맨드렐 풀로 지칭됨)을 포함한다. 작업(1492)은, 맨드렐 풀에 의해 영향을 받는 제2 측벽 스페이서, 및/또는 맨드렐 풀에 의해 영향을 받는 하부층의 특성과 같은, 제2 측벽 스페이서를 갖는 소재의 특성과 관련된 측정 데이터를 획득하기 위한 측정/계측을 선택적으로 수행하는 단계를 포함하며, 이러한 측정 데이터는, 작업(1478)의 공정 파라미터를 조정 및/또는 제어하기 위해 사용될 수 있거나, 작업(1462) 또는 작업(1464 내지 1476)에서 소재의 입력 특성에 대해 후속 소재를 조정하기 위해 사용될 수 있거나, 연속되는 공정 전에 소재를 보정하기 위해 사용될 수 있다. 일 실시형태에서, 하나 이상의 특성이 목표 조건을 충족시키지 않음을 측정 데이터가 나타내는 경우, 제2 측벽 스페이서를 보정하기 위한 공정 모듈로 소재가 이송될 수 있다. 예를 들어, 측벽 스페이서의 두께, 폭, 또는 프로파일이 측벽 스페이서의 목표 두께, 폭, 또는 프로파일을 충족시키지 않는 경우, 예를 들어, 추가적인 재료를 측벽 스페이서 상에 선택적으로 증착하는 단계, 측벽 스페이서를 재성형하는 단계, 측벽 스페이서 내에 도펀트를 주입하는 단계, 또는 이들의 둘 이상의 조합에 의해, 보정 조치가 하나 이상의 공정 모듈에서 취해질 수 있다.
위에서 언급된 바와 같은 공정 파라미터는 이에 제한됨이 없이, 가스 유량; 에칭제, 증착 반응물, 퍼지 가스 등의 조성; 챔버 압력; 온도; 전극 간격; 전력 등과 같은, 공정 모듈 내의 임의의 작업 변수를 포함할 수 있다. 능동 차단 시스템의 지능형 시스템은, 검사 시스템으로부터 측정 데이터를 수집하고, 예를 들어, 공정 중의 소재를 위한 후속 공정 모듈에서 공정 파라미터를 현장 조정함으로써, 또는 후속 소재를 위한 하나 이상의 공정 모듈에서 공정 파라미터를 변경함으로써, 공통 제조 플랫폼을 통해 수행되는 통합된 일련의 공정 단계를 제어하도록 구성된다. 따라서, 소재를 폐기할 필요가 없도록 통합된 일련의 공정 단계 동안 소재에 대한 필요한 능동 차단 단계 또는 보정을 식별하기 위해, 및/또는 후속 소재에 대해 충족되지 않는 목표 조건의 발생을 감소시키도록 후속 소재를 처리하기 위해, 또는 측정 데이터가 획득된 후에 동일한 소재에 대해 수행되는 단계를 위한 통합된 일련의 공정 단계의 공정 파라미터를 조정하기 위해, 획득된 측정 데이터가 사용될 수 있다.
접점 형성 공정에서 능동 차단이 구현될 수도 있다. 소재 상의 접점 형성은 공통 제조 플랫폼을 통해 구현될 수 있다. 일 실시형태에서, 복수의 공정(예를 들어, 세척, 금속 증착, 어닐링, 금속 에칭)을 트랜지스터 접점 영역에 선택적으로 수행하기 위해, 패터닝된 마스크 층을 사용하여 접점이 형성될 수 있다. 다른 실시형태에서, 패터닝된 마스크 층을 사용하지 않으면서, 트랜지스터 접점 영역으로부터 금속을 제거 및 도포하기 위해, 선택적 증착 및 에칭 공정을 사용하여 접점이 형성될 수 있다.
패터닝된 마스크 층 실시형태에서, 공통 제조 플랫폼은, 패터닝된 마스크 층을 통하여 형성 및 노출되는 하나 이상의 접점 형상부를 갖는 소재를 수용할 수 있다. 접점 형상부는 접점 형상부의 바닥에서 노출된 반도체 접점 표면을 가지며, 반도체 접점 표면은 실리콘, 또는 게르마늄, 또는 이들의 합금을 포함한다. 공통 제조 플랫폼은 하나 이상의 에칭 모듈 중 하나에서 반도체 접점 표면을 처리하는 단계를 시작하여 그로부터 오염을 제거할 수 있다. 일 실시형태에서, 접점 형상부 내의 오염 레벨을 검출하기 위해, 처리 전에 입력 웨이퍼에 대한 X선 광전자 분광 측정이 수행될 수 있다. 대안적으로, 반도체 접점 표면 상의 산화물의 양을 결정하거나 근사화하기 위해, 타원 측정법(예를 들어, 두께 측정)이 수행될 수 있다. 그렇게 함으로써, 공통 제조 플랫폼은 에칭 모듈에서 재료를 제거하기 위한 처리 공정을 최적화할 수 있다.
처리 후에, 오염 또는 산화물 층이 적절하게 제거되었는지를 확인하기 위해, 오염 및 두께 측정이 다시 수행될 수 있다. “아니오”인 경우, 공통 제조 플랫폼 및 이의 능동 차단 제어 시스템은, 에칭 모듈을 통해 한 번 이상의 추가적인 횟수로 소재를 처리함으로써 보정 조치를 취할 수 있다. 이러한 측정 및 처리 공정은 오염 또는 산화물이 미리 결정된 임계치 레벨 미만일 때까지 반복될 수 있다. 경우에 따라, 접점 형상부의 치수를 측정하기 위한 고해상도 광학 측정 시스템이 TMM/측정 모듈에서 사용될 수 있다(예를 들어, 고해상도 광학 이미징 및 현미경법, 초분광(다중-분광) 이미징, 간섭계, 분광법, 푸리에 변환 적외선 분광법(FTIR)) 반사 측정, 산란 측정, 분광 타원법, 편광 측정, 굴절계 또는 비-광학 이미징 시스템(예를 들어, SEM, TEM, AFM)).
그 다음, 공통 제조 플랫폼은, 반도체 접점 표면 상의 접점 형상부 내에 금속층을 증착하기 위한 금속 증착 모듈로 소재를 이동시킨다. TMM 또는 측정 모듈의 측정 시스템은 공통 제조 플랫폼 내에 통합된 하나 이상의 측정/계측 시스템(예를 들어, 광학 또는 비-광학 기술)을 사용하여, 증착된 층의 막 특성(예를 들어, 두께, 저항, 균일성, 정합성)을 측정할 수 있다. 측정 및/또는 공정 성능 데이터에 기초하여, 능동 차단 제어 시스템은 금속층 두께를 증가 또는 감소시키기 위한 소재에 대한 보정 조치를 구현할 수 있으며, 측정에 기초하여 원하는 결과를 달성하기 위한 막 형성 모듈 또는 에칭 모듈로 소재를 적절하게 이동시킨다. 대안적으로, 제어 시스템은 금속층을 제거하기 위해 소재를 적절하게 이동시킬 수 있으며, 제1 금속층을 대체하기 위한 제2 금속을 재도포할 수 있다. 이 경우, 금속층은 예를 들어, 하나 이상의 트랜지스터 구성 요소의 유전체 재료와 물리적으로 접촉된다.
금속층은 트랜지스터의 유전체 재료와 물리적으로 접촉되지만, 금속과 유전체 재료 사이의 급격한 전이로 인해 금속과 유전체 재료 사이의 계면 저항이 너무 높기 때문에, 접촉이 아직 완전히 형성되지는 않는다. 저항을 감소시키는 한 가지 접근법은, 소재를 어닐링 또는 가열하여 금속-유전체 합금을 형성하는 것으로서, 합금의 저항은 유전체 재료보다 더 낮고, 금속보다는 더 높다. 열처리 후에, 능동 차단 제어 시스템은 합금 형성이 미리 결정된 한계 내에 있는지를 확인하기 위해, 막 저항률 계측 시스템을 사용하여 저항을 측정하도록 소재를 이동시킬 수 있다. 이 경우, 능동 차단 제어 시스템은, 원하는 저항을 달성하기 위한 합금 재료를 완전히 형성하기 위해 추가적인 열처리가 필요하다고 결정할 수도 있으며, 이에 따라 공통 제조 플랫폼의 소재 이송 기구가 그러한 단계를 위해 작동된다.
열처리 후에, 금속층의 비합금 부분을 제거하여 접점 형상부 내의 합금을 노출시키기 위한 에칭 모듈로 소재가 이동될 수 있다. 또 다시, 능동 차단 제어 시스템은, 금속층의 비합금 부분이 적절하게 제거되었는지 여부를 결정하기 위해, 저항을 측정하기 위한 TMM 또는 측정 모듈 또는 일부 다른 측정 시스템에 소재를 위치시킬 수 있다. 에칭 공정은 전술한 조건이 달성될 때까지 능동 차단 제어 시스템에 의해 반복될 수 있다. 그러나, 일부 실시형태에서, 금속층은 합금 처리의 결과로 인해 완전히 소모될 수 있다. 이 경우, 금속 에칭 공정이 필요하지 않을 수 있다.
일부 실시형태에서, 패터닝된 마스크 층 공정은, 금속층 또는 합금층을 캡핑(capping)하여 금속 산화물 또는 다른 오염을 방지하기 위해, 하나 이상의 막 형성 모듈 중 하나에서 증착된 금속층 또는 합금층 상에 전도성 캡핑층을 도포하는 단계를 포함할 수 있다.
다른 실시형태에서, 공통 제조 플랫폼은, 트랜지스터 구성 요소에 전기 신호를 제공하는, 트랜지스터 위에 이후에 형성되는 금속 라인에 접점을 연결하기 위해, 접점 위에 비아 구조물(예를 들어, W, Co, Ru)을 형성하도록 구성 및 제어될 수 있다.
다른 실시형태에서, 증착된 막이 특정 노출된 재료 상에서만 성장하거나 훨씬 더 빠른 속도로 성장하도록, 서로 선택적으로 상호 작용하는 증착된 막 및 소재 상의 노출된 재료의 화학적 특성에 의존하는 영역 선택적 증착(ASD) 기술을 사용하여, 접점 형성이 구현될 수 있다. 따라서, 패터닝된 마스크 층은 입력 소재에서 생략될 수 있다. 그러나, ASD 실시형태는 두 가지 주요한 차이점을 가지면서, 패터닝된 마스크 층 실시형태와 동일한 다수의 단계를 여전히 사용한다. 자기 조립 단분자층의 도포 및 제거에서, SAM은 금속 증착 전에 도포되고, 금속 증착 후에 제거된다. SAM 층은 패터닝된 마스크 층을 대체하여, 블랭킷 금속 증착물이 접점 형상부 상에 선택적으로 증착될 수 있게 한다. 예를 들어, 마스크 실시형태에서, 소재 위에 블랭킷 금속층을 형성하기 위해, 금속층이 접점 형상부 및 마스크 층 상에 증착된다. 대조적으로, ASD 실시형태에서는, 금속이 접점 형상부 상에 선택적으로 증착되어, SAM 층에 의해 커버되지 않고, 접점 형상부 위에 동일한 금속층 두께를 갖는 금속층을 SAM 상에 형성하지 않는다.
ASD 실시형태에서, 공통 제조 플랫폼 및 능동 차단 제어 시스템은 다양한 측정/계측 시스템을 사용하여, SAM 커버리지 및/또는 밀도가 소재 상의 비-접점 형상부를 적절히 커버하는지 및/또는 소재 상의 접점 형상부를 노출시키는지를 확인한다. 마찬가지로, 능동 차단 제어 시스템 및 공통 제조 플랫폼은 측정/계측 시스템을 사용하여, SAM 재료가 소재로부터 적절하게 제거되는지를 결정할 수 있다. 계측 시스템은, 고해상도 광학(예를 들어, 고해상도 광학 이미징 및 현미경법), 초분광(다중-분광) 이미징, 간섭 측정, 분광법, 푸리에 변환 적외선 분광법(FTIR) 반사 측정, 산란 측정, 분광 타원법, 편광 측정, 또는 굴절계를 포함할 수 있다.
자율 학습 엔진
본 혁신은 이제 도면을 참조하여 설명되며, 유사한 참조번호는 전반적으로 유사한 요소를 지칭하기 위해 사용된다. 이하의 설명에서, 설명을 목적으로, 본 발명의 철저한 이해를 제공하기 위해 많은 구체적인 세부사항이 상술된다. 그러나, 본 발명이 이러한 구체적인 세부사항 없이 실시될 수 있음은 명백할 수 있다. 다른 경우에, 잘 알려진 구조 및 장치는 본 혁신을 원활하게 설명하기 위해 블록도 형태로 제시된다.
본 명세서에서 사용되는 바와 같은 "객체", "모듈", "인터페이스", "구성 요소", "시스템", "플랫폼", "엔진", "유닛", "저장소" 등의 용어는 컴퓨터 관련 엔티티, 또는 특정 기능을 갖는 연산 기계와 관련된 엔티티를 지칭하도록 의도되며, 엔티티는 하드웨어, 하드웨어와 소프트웨어의 조합, 소프트웨어, 또는 실행 소프트웨어일 수 있다. 예를 들어, 구성 요소는, 프로세서를 통해 실행되는 프로세스, 프로세서, 객체, 실행 파일, 실행 스레드, 프로그램, 및/또는 컴퓨터일 수 있지만, 이에 제한되지 않는다. 예를 들어, 서버를 통해 실행되는 애플리케이션 및 서버 둘 모두가 구성 요소일 수 있다. 하나 이상의 구성 요소는 프로세스 및/또는 실행 스레드 내에 상주할 수 있으며, 구성 요소는 하나의 컴퓨터에 로컬화 및/또는 둘 이상의 컴퓨터 간에 분산될 수 있다. 또한, 이러한 구성 요소는 다양한 데이터 구조가 저장된 다양한 컴퓨터 판독 가능 매체에서 실행될 수 있다. 구성 요소는 예를 들어, 하나 이상의 데이터 패킷(예를 들어, 로컬 시스템, 분산형 시스템에서 다른 구성 요소와 상호 작용하는, 및/또는 신호를 통해 다른 시스템과 네트워크(예를 들어, 인터넷)를 통해 상호 작용하는 하나의 구성 요소로부터의 데이터)을 갖는 신호에 따라, 로컬 및/또는 원격 프로세스를 통해 통신할 수 있다.
또한, "또는"이라는 용어는, 배타적인 "또는"이 아닌 포괄적인 "또는"을 의미하도록 의도된다. 즉, 달리 명시되지 않거나, 문맥으로부터 명확하지 않은 경우, "X는 A 또는 B를 사용한다"는 자연적인 포괄적 치환 중 어느 하나를 의미하는 것으로 의도된다. 즉, X가 A를 사용하는 경우; X가 B를 사용하는 경우; 또는 X가 A와 B를 모두 사용하는 경우, "X는 A 또는 B를 사용한다"는 전술한 경우 중 어느 하나에 따라 충족된다. 또한, 본 출원 및 첨부된 청구범위에서 사용된 바와 같은 관사 "일(a)" 및 "하나(an)"는 달리 명시되지 않거나 문맥으로부터 단수형에 관련된 것이 명확하지 않은 경우, 일반적으로 "하나 이상"을 의미하는 것으로 해석되어야 한다.
도면을 참조하면, 도 17은 능동 차단 제어 시스템에 의해 구현될 수 있는 예시적인 자율 생물학적 기반 학습 시스템(1700)을 도시한다. 적응형 추론 엔진(1710)이 목표 구성 요소(1720)에 연결된다. 유선 또는 무선 통신 링크(1715)가 이러한 구성 요소를 연결한다. 목표 구성 요소(1720)에 의해 설정되거나 수행되는 특정 목표에 대해, 적응형 추론 구성 요소(1710)는, 목표를 달성하기 위해 사용될 수 있는 본원에서 포착되는 바와 같은 측정 데이터, 공정 파라미터 데이터, 플랫폼 성능 데이터와 같은 입력(1730)을 수신하고, 수행되거나 달성되는 목표의 양태를 기록하거나 나타낼 수 있는 출력(1740)을 전달한다. 또한, 적응형 추론 엔진(1710)은 링크(1755)를 통해 데이터 저장소(1750)로부터 데이터를 수신할 수 있으며, 이러한 데이터 저장소에 데이터 또는 정보를 저장할 수 있고, 예를 들어, 저장된 정보는 유선 또는 무선 링크(1765)를 통해 전송되는 출력(1740)의 일부일 수 있다. (i) 입력(1730), 출력(1740), 및 데이터 저장소(1750)의 데이터(뿐만 아니라, 입력, 출력, 및 데이터 저장소의 데이터의 이력)는 적응형 추론 엔진(1710)의 작업을 위한 상황 정보를 포함하며, (ii) 링크(1715, 1755, 및 1765)를 통해 엔진으로의 그러한 상황 정보의 피드백은 상황 정보에 기초하는 조정을 가능하게 함을 이해해야 한다. 특히, 목표 구성 요소(1720)는 피드백 상황 정보를 사용하여, 특정 초기 목표를 조정할 수 있으므로, 조정된 목표를 설정하고 수행할 수 있다.
입력(1730)은, 공정 순서 데이터 뿐만 아니라, 공통 제조 플랫폼으로부터의 측정 모듈 데이터, 검사 시스템 데이터, 공정 모듈 파라미터 데이터, 플랫폼 성능 데이터 등을 포함할 수 있는 외재적 데이터 또는 정보로 간주될 수 있다. 이러한 데이터는 명령, 기록, 측정 결과 등을 포함할 수 있다. 출력(1740)은 입력(1730)과 실질적으로 완전히 동일할 수 있으며, 이는 내재적 데이터로 간주될 수 있다. 입력 및 출력은 적응형 추론 구성 요소(1710)에 상주할 수 있는, 제조 플랫폼과의 연결 그리고 입력 및 출력 인터페이스(예를 들어, USB 포트, IR 무선 입력)에 의해 각각 수신 및 전송될 수 있다. 위에 언급된 바와 같이, 입력(1730) 및 출력(1740)은 적응형 추론 엔진(1710)을 위한 상황 정보의 일부일 수 있다. 추가적으로, 적응형 추론 구성 요소(1710)는 목표를 수행한 결과로서 입력(1730)을 요청할 수 있다.
자율 생물학적 기반 시스템(1700)의 구성 요소는 반복적으로 정의될 수 있으며, 이는 기초적인 기본 구성 요소와 함께 상당한 정도의 충분한 학습 복잡도를 자율 시스템(1700)에 부여할 수 있다.
각각의 링크(1715, 1755, 또는 1765)는, 전송되거나 수신될 데이터 또는 정보의 조작을 가능하게 할 수 있는 통신 인터페이스를 포함할 수 있으며; 데이터 저장 및 데이터 마이닝을 위해 데이터베이스를 활용할 수 있고; 액터와 정보를 수신 및 전송할 수 있다. 링크(1715, 1755, 또는 1765)의 유선 실시형태는 연선 라인(twisted-pair line), T1/E1 전화 라인, AC 라인, 광섬유 라인, 및 해당 회로를 포함할 수 있는 반면에, 무선 실시형태는 휴대용 광대역 링크, 롱텀 에벌루션 링크, 또는 IEEE 802.11 링크, 및 관련 전자 장치를 포함할 수 있다. 데이터 저장소(1750)와 관련하여, 단일 요소로서 도시되지만, 이는 분산형 데이터 웨어하우스일 수 있으며, 데이터 메모리 세트는 서로 다른 물리적 또는 논리적 위치에서 전개된다.
예시적인 시스템(1700)에서, 적응형 추론 엔진(1710) 및 목표 구성 요소(1720)는 별개의 구성 요소로서 도시되지만, 그러한 구성 요소 중 하나가 다른 구성 요소 내에 상주할 수 있음을 이해해야 한다.
목표 구성 요소(1720)는 하나 이상의 분야(예를 들어, 반도체 제조와 같은 과학 분야, 또는 반도체 제조와 관련된 기업 부문(예를 들어, 시장 부문, 산업 부문, 연구 부문 등))에 속할 수 있다. 추가적으로, 목표는 전형적으로 여러 분야에 걸칠 수 있고, 다수의 시장에 초점을 맞출 수 있으므로, 목표 구성 요소는, 하나 이상의 특정 분야 또는 부문 내에서 다수의 서로 다른 목표를 설정할 수 있다. 목표를 수행하기 위해, 목표 구성 요소는, 기능적 구성 요소 및 모니터 구성 요소를 포함할 수 있다. 목표를 달성하기 위한 특정 작업은 기능적 구성 요소(들)를 통해 영향을 받는 반면에, 목표의 달성과 관련된 변수의 조건은 모니터 구성 요소에 의해 결정된다. 추가적으로, 기능적 구성 요소(들)는 목표 구성 요소(1720)에 의해 달성될 수 있는 목표의 공간을 결정할 수 있다. 목표의 공간은 특정 기능으로 달성될 수 있는 실질적으로 모든 목표를 포함한다. 기능적 구성 요소에 의해 제공되는 이러한 특정 기능에 대해, 특정 목표의 상황별 조정은 목표의 공간 내에서 제1 목표를 제2 목표로 조정할 수 있음을 이해해야 한다. 목표의 공간 내의 초기 목표는 하나 이상의 액터에 의해 결정될 수 있다; 여기서, 액터는 기계 또는 휴먼 에이전트(예를 들어, 최종 사용자)일 수 있다. 적응형 추론 엔진(1710)은 목표 이동을 통해 복합적인 세부 목표를 향해 목표 구성 요소(1720)를 추진시킬 수 있기 때문에, 초기 목표는 포괄적인 상위 레벨 목표일 수 있음을 유의해야 한다. 목표, 목표 구성 요소, 및 목표 조정은 다음에 예시된다.
도 18은 상황별 목표 조정을 도시하는 도면(1800)이다. 전형적으로, 목표(예를 들어, 목표(18101) 또는 목표(18103))는 목표 구성 요소(예를 들어, 구성 요소(1720))의 기능과 관련된 추상화일 수 있다. 목표는 상위 레벨 추상화(즉, "은퇴를 위한 저축", "수익 확보", "즐기기", "요리 배우기", "지역 여행", "데이터베이스 개발", "제품 제조" 등)일 수 있다. 추가적으로, 목표는, "$60,000 내지 $80,000 범위의 연소득으로 조기 퇴직을 위한 저축", "$5000을 초과하지 않는 숙소를 포함하는 여행 비용으로, 비수기에 미국에서 일본으로의 여행", 또는 “면접 사이트에 접속하여 장래의 고용주의 동료 그룹에게 35분간 발표"와 같은, 보다 구체적인 세분화일 수 있다. 또한, 목표(예를 들어, 18101)는 관련 상황 정보(예를 들어, 18201)를 갖는다. 전술한 바와 같이, 적응형 추론 엔진(1710)에 연결된 목표 구성 요소(1720)는 일반적으로, 설정된 목표(예를 들어, 목표(18101) 또는 목표(18103))와 호환성이다. 예를 들어, "제품 제조"라는 목표(예를 들어, 목표(18101))는, 제품을 제조하기 위해 표준형 또는 맞춤형 사양을 채택하는 분자빔 에피택시 반응기(예시적인 목표 구성 요소(1720))와 같은 제조 도구 시스템에 의존할 수 있다. 그러한 목표(예를 들어, 목표(18101))의 달성 동안, 출력(1740)은 제조된 제품을 포함할 수 있다. 또한, 적응형 추론 구성 요소(예를 들어, 구성 요소(1710))는, 목표 구성 요소의 모니터 구성 요소에 의해 수집된 데이터 또는 도구 시스템 사양에 의해 생성될 수 있는 것과 같은 상황 정보(예를 들어, 상황 정보(18201))에 기초하여, "제품 제조" 목표(예를 들어, 목표(18101))를 조정(예를 들어, 조정(18301))할 수 있다. 특히, 초기 상위 레벨 목표(예를 들어, 목표(18101))는 "반도체 소자 제조"(예를 들어, 목표(18102))로 조정될 수 있다. 전술한 바와 같이, 목표 구성 요소(1720)는 목표를 달성하기 위한 다수의 기능적 구성 요소로 구성될 수 있다. 추가적으로, 목표 구성 요소(1720)는 모듈식일 수 있으며, 목표가 조정됨에 따라, 목표 하위 구성 요소가 통합될 수 있다. 예를 들어, "제품 제조" 목표를 수행하는 목표 구성 요소는, "분자 전자 부품을 사용하는 멀티코어 프로세서 제조"(예를 들어, 목표(1810N))로 목표를 조정(예를 들어, 18301)하기 위해, 다양한 시장의 시장 상황을 분석할 수 있는 대량 병렬 지능형 컴퓨팅 플랫폼에 연결된 멀티마켓(multi-market) 평가 및 예측 구성 요소를 포함할 수 있다. 그러한 조정은 다수의 중간 조정(18301 내지 1830N-1), 및 중간 조정된 목표(18102 내지 1810N-1)를 포함할 수 있으며, 중간 조정은 이전에 수행된 목표로부터 생성된 중간 상황 정보(18202 내지 1820N)에 기초한다는 것을 유의해야 한다.
목표, 목표 구성 요소, 및 목표 조정의 다른 예시로서, 목표는 "상점 B에서 영화 A의 DVD 구입"일 수 있으며, 목표 구성 요소(1720)는 적응형 추론 엔진(1710)을 포함하는 내비게이션 시스템을 갖는 차량일 수 있다. (이러한 예시에서, 적응형 추론 엔진(1710)은 목표 구성 요소(1720)에 있음을 유의해야 한다.) 액터(예를 들어, 차량 조작자)가 상점 B의 위치를 입력 또는 선택할 수 있으며, 목표 구성 요소는 목표를 달성하기 위한 방향을 생성할 수 있다. 액터가 상점으로 이동하는 동안, 상점 B가 재고품 영화 A를 반입하는 것을 중단했다는 입력(1730)을 적응형 추론 엔진(1710)이 수신하는 경우(예를 들어, RFID 판독기가 재고품 데이터베이스를 업데이트했고, 업데이트 메시지가 구성 요소(1710)에 브로드캐스트됨), 적응형 추론 엔진(1710)은, (i) 재고가 있는 영화 A가 있는 상점 C를 식별하기 위해 추가적인 입력(1730)을 요청할 수 있고, (ii) 상점 C에 도달하기 위해 액터에게 이용 가능한 자원을 평가할 수 있으며, (iii) 목표를 달성하는 것에 대한 액터의 관심 레벨을 평가할 수 있다. (i) 내지 (iii)에 예시된 바와 같이 입력(1730)을 통해 전개되는 변경된 상황 정보에 기초하여, 목표 구성 요소는 "상점 C에서 영화 A의 DVD 구입"으로 목표를 조정하기 위한 표시를 수신할 수 있다.
적응형 추론 엔진(1710)은 목표 구성 요소(1720)에 의해 결정된 목표와 관련된 하위 목표를 설정할 수 있음을 이해해야 한다. 하위 목표는, 적응형 추론 엔진이 보완 작업을 수행할 수 있게 하거나 목표와 관련된 개념을 학습할 수 있게 함으로써, 목표 달성을 가능하게 할 수 있다.
요약하면, 자율 생물학적 기반 시스템(1700)은 상황별 목표 조정을 사용하는 목표 기반 시스템이다. 수신된 상황 정보에 기초하는 목표 조정은, 조치 가능한 정보 출력(1740)을 생성하기 위해 입력 정보의 분석에 추가적인 조정 계층을 도입한다는 것을 이해해야 한다. (a) 데이터 분석 또는 정보의 처리를 조정하고 (b) 상황 정보에 기초하여 초기 목표를 조정하는 기능은, 대량 적응형 또는 자율 시스템이 되게 한다.
도 19는 예시적인 자율 생물학적 기반 학습 도구(1900)의 상위 레벨 블록도를 도시한다. 실시형태(1900)에서, 자율 학습 시스템은, 도구 시스템에 이의 특정 기능을 부여하는 기능적 구성 요소(1915)를 포함하고, 단일 기능적 도구 구성 요소 또는 실질적으로 동일한 또는 다양한 기능적 도구 구성 요소의 모음을 포함할 수 있는 도구 시스템(1910), 및 센서 구성 요소(1925)를 포함하며, 센서 구성 요소(1925)는 반도체 웨이퍼의 열처리와 같이, 도구에 의해 수행되는 공정과 관련된 다수의 관측 가능한 치수를 탐색할 수 있고, 공정과 관련된 자산(1928)을 생성한다. 제조 공정 데이터 또는 테스트 가동 데이터와 같은 데이터 자산을 포함하는 수집된 자산(1928)은, 자산(1928)을 수신하는 인터페이스의 역할을 할 수 있는 어댑터 구성 요소(1935), 수신된 자산(1928)을 처리할 수 있는 상호 작용 관리자(1945), 및 수신된 그리고 처리된 데이터를 저장할 수 있는 데이터베이스(들)(1955)를 포함하는 상호 작용 구성 요소(1930)로 전송될 수 있다. 상호 작용 구성 요소(1930)는, 자율 생물학적 기반 학습 시스템(1960)과 도구 시스템(1910)의 상호 작용을 가능하게 한다. 자율 학습 시스템(1960)에 수신될 수 있고 점증적으로 공급될 수 있는, 제조 플랫폼 도구 시스템(1910)에 의해 수행되는 공정에서 생성된 데이터와 정보가 연관된다. 예를 들어, 소재와 관련된 측정 데이터, 및 플랫폼의 공정 모듈과 관련된 공정 파라미터 데이터가 상호 작용 구성 요소(1930)로 전송된다.
자율 생물학적 기반 학습 시스템(1960)은, 수신된 정보에 따라 작업할 수 있고 처리된 정보를 지식 네트워크(1975)를 통해 메모리 플랫폼(1965)에 다시 통신할 수 있는 처리 플랫폼(1985)에 지식 네트워크(1975)를 통해 통신될 수 있는 수신된 정보(1958)(예를 들어, 데이터, 변수 및 연관 관계, 인과관계 그래프, 템플릿 등)를 저장하는 메모리 플랫폼(1365)을 포함한다. 대체로, 자율 학습 시스템(1960)의 구성 요소는, 정보를 조작하고 지식을 생성하도록 메모리가 처리 구성 요소와 네트워킹되는, 뇌의 생물학적 양태와 유사할 수 있다. 추가적으로, 지식 네트워크(1975)는, 상호 작용 관리자(1945)를 통해 도구 시스템(1910) 또는 액터(1990)에 정보를 통신할 수 있는 상호 작용 구성 요소(1930)로부터 정보를 수신할 수 있고, 상호 작용 구성 요소(1930)로 정보를 전송할 수 있다. 정보(1958)가 자율 학습 시스템(1960)에 의해 수신, 저장, 처리 및 전송됨에 따라, 도구 시스템(1910) 및 이에 의존하는 액터에서 다수의 개선이 이루어질 수 있다. 즉, 개선은, (a) 자율 학습 시스템(1960) 및 도구 시스템(1910)이 시간이 지남에 따라 점점 더 독립적이게 되고, 더 적은 액터 개입(예를 들어, 인간의 지시 및 감독)을 필요로 하며, (b) 자율 시스템이 액터로의 이의 출력의 품질을 개선하고(예를 들어, 고장의 근본 원인에 대한 더 나은 식별, 또는 시스템 고장의 발생 전에 시스템 고장의 예측), (c) 자율 학습 시스템(1960)이 시간이 지남에 따라 이의 성능을 개선하는 것(자율 시스템(1960)은 더 빠른 속도로 그리고 더 적은 자원을 소비하면서, 개선된 결과를 제공함)을 포함한다.
메모리 플랫폼(1965)은, 도구 시스템(1910)의 초기화 또는 구성 동안 수신된 지식(예를 들어, 정보(1958))(예를 들어, 선험적 지식)을 저장하도록 구성될 수 있는 기능적 메모리 구성 요소의 계층을 포함한다. 선험적 지식은 상호 작용 구성 요소(1930)를 통해 정보 입력(1958)으로서 전달될 수 있다. 또한, 메모리 플랫폼(1965)은, (a) 도구 시스템(1910)의 초기화/구성 후에 자율 학습 시스템(1960)을 트레이닝하기 위해 사용되는 트레이닝 데이터(예를 들어, 정보 입력(1958)), 및 (b) 자율 학습 시스템(1960)에 의해 생성된 지식을 저장할 수 있다; 지식은 상호 작용 관리자(1945)를 통해, 상호 작용 구성 요소(1930)에 의해 도구 시스템(1910) 또는 액터(1990)로 전달될 수 있다.
액터(1990)(예를 들어, 휴먼 에이전트)에 의해 제공되는 정보 입력(1958)(예를 들어, 데이터)은, 공정과 관련된 변수, 둘 이상의 변수 사이의 관계, 인과관계 그래프(예를 들어, 종속성 그래프), 또는 에피소드 정보를 식별하는 데이터를 포함할 수 있다. 이러한 정보는 학습 프로세스에서 자율 생물학적 기반 시스템(1960)을 원활하게 가이드할 수 있다. 추가적으로, 일 양태에서, 그러한 정보 입력(1958)은 액터(1990)에 의해 중요한 것으로 간주될 수 있고, 중요성은 도구 시스템(1910)에 의해 수행되는 특정 공정에 대한 정보의 적합성과 관련될 수 있다. 예를 들어, 산화물 에칭 시스템의 조작자(예를 들어, 액터(1990)는 휴먼 에이전트임)는 에칭 속도가 제조 공정의 결과에 중요하다고 결정할 수 있으므로, 에칭 속도는 자율 학습 시스템(1960)에 전달되는 특성일 수 있다. 다른 양태에서, 액터(1990)에 의해 제공되는 정보 입력(1958)은 지시(hint)일 수 있으며, 이에 따라 공전 변수들 간의 특정 관계를 학습하라는 지시가 이루어진다. 예를 들어, 지시는, 챔버 체적, 배기 압력 및 유입 가스 유량에 따라, 특정 증착 단계 내의 도구 시스템(1910)의 증착 챔버에서 압력의 작용을 학습하는 제안을 전달할 수 있다. 다른 실시예로서, 지시는 챔버 압력에 대한 상세한 시간적 관계를 학습하도록 지시할 수 있다. 이러한 예시적인 지시는, 다수의 공정 변수에 대한 압력의 기능적 의존성을 학습할 수 있는 자율 학습 시스템의 하나 이상의 기능적 처리 장치를 활성화시킬 수 있다. 더욱이, 그러한 지시는, 액터(1990)에게 이용 가능한 모델 또는 경험적 기능과 관련하여 학습된 기능을 적용하여 비교할 수 있는 하나 이상의 기능적 장치를 활성화시킬 수 있다.
도구 시스템(1910)(예를 들어, 반도체 제조 도구)은 복합적일 수 있으므로, 서로 다른 액터는 서로 다른 유형의 완전한 또는 불완전한 특정 지식을 통해 도구 시스템을 조작 및 작동시키는 것에 특화할 수 있다. 예를 들어, 휴먼 에이전트(예를 들어, 도구 엔지니어)는 상이한 가스가 상이한 분자량을 가지므로 상이한 압력을 생성할 수 있음을 알 수 있는 반면에, 공정/도구 엔지니어는 제1 가스로부터 비롯되는 압력 판독값을 제2 가스로부터 비롯되는 등가 압력으로 변환하는 방법을 알 수 있다; 그러한 지식의 기본적인 예는 단위(예를 들어, Pa)로부터 다른 단위(예를 들어, lb/in2 또는 PSI)로 압력 판독값을 변환하는 것일 수 있다. 자율 생물학적 기반 학습 시스템에 존재하는 추가적인 유형의 포괄적인 더 복잡한 지식은, 도구 시스템의 특성(예를 들어, 챔버의 체적)과 도구 시스템에서 수행된 측정(예를 들어, 챔버의 측정된 압력) 사이의 기능적 관계일 수 있다. 예를 들어, 에칭 엔지니어는 에칭 속도가 에칭 챔버의 온도에 따라 좌우된다는 것을 알고 있다. 지식의 다양성, 및 그러한 지식이 불완전할 수 있다는 점을 고려하기 위해, 액터(예를 들어, 최종 사용자와 같은 휴먼 에이전트)는 전달된 다양한 지식 정도를 통해 자율 학습 시스템(1960)을 가이드할 수 있다: (i) 지식이 지정되지 않음. 액터는 자율 학습 시스템을 위한 지침을 제공하지 않는다. (ii) 기본 지식. 액터는 도구 시스템의 특성과 도구 시스템의 측정 사이의 유효한 관계를 전달할 수 있다; 예를 들어, 액터는 추가적인 세부 사항 없이, 에칭 속도(KE)와 공정 온도(T) 사이의 관계(예를 들어, 관계 (KE, T))를 전달한다. (iii) 식별된 출력을 통한 기본 지식. 도구 시스템 특성과 도구 시스템 측정 사이의 관계에 추가하여, 액터는 관계의 종속 변수에 대한 특정 출력을 제공할 수 있다(예를 들어, 관계(출력(KE), T)). (iv) 관계에 관한 부분적인 지식. 액터는, 도구 시스템 특성과 측정 간의 수학 방정식 구조 뿐만 아니라, 관련 종속 및 독립 변수 간의 수학 방정식 구조(예를 들어, k1 또는 k2에 대한 구체적인 값이 없는
Figure pct00005
)를 알고 있다. 그러나, 액터(1990)는 관계의 하나 이상의 관련 상수의 정확한 값을 알지 못할 수 있다. (v) 완전한 지식. 액터는 기능적 관계에 대한 완전한 수학적 표현을 갖고 있다. 자율 학습 시스템(1960)이 진화하고 도구의 기능적 관계를 자율적으로 학습하려고 시도함에 따라, 이러한 지침은 시간이 지남에 따라 점증적으로 제공될 수 있음을 유의해야 한다.
지식 네트워크(1975)는 정보(예를 들어, 데이터)를 통신하거나 설정된 우선순위에 따라 전력을 전송하는 지식 버스이다. 우선순위는 한 쌍의 정보 소스 및 정보 수신지 구성 요소 또는 플랫폼에 의해 설정될 수 있다. 추가적으로, 우선순위는 전송되는 정보에 기초할 수 있다(예를 들어, 이러한 정보는 실시간으로 신속 처리되어야 함). 우선순위는 정적인 대신에 동적일 수 있으며, 자율 학습 시스템(1960)에서의 학습 전개에 따라 변경될 수 있고, 자율 생물학적 기반 학습 도구(1900)에 존재하는 하나 이상의 구성 요소의 하나 이상의 요구를 고려하여(예를 들어, 문제 상황이 인식될 수 있고, 이에 응답하여 통신이 보장되어 이루어질 수 있음) 변경될 수 있음을 유의해야 한다. 지식 네트워크(1975)를 통한 통신 및 전력 전송은, 유선 링크(예를 들어, 연선 링크, T1/E1 전화 라인, AC 라인, 광섬유 라인) 또는 무선 링크(예를 들어, UMB, LTE, IEEE 802.11)를 통해 이루어질 수 있으며, 기능적 플랫폼(예를 들어, 메모리 플랫폼(1965) 및 처리 플랫폼(1985)) 내의 구성 요소들(도시되지 않음) 사이에서 수행될 수 있거나, 서로 다른 플랫폼의 구성 요소들(예를 들어, 자기 인식의 다른 하위 구성 요소와 통신하는 자기 인식의 메모리 플랫폼의 구성 요소) 사이에서 수행될 수 있거나, 통신은 구성 요소들 사이에서 이루어질 수 있다(예를 들어, 인식의 구성 요소가 개념화의 구성 요소와 통신한다).
처리 플랫폼(1985)은, 정보에 따라 작업하는 기능적 처리 장치를 포함한다: 특정 유형의 입력 정보(예를 들어, 숫자, 순서, 시간 순서, 함수, 클래스, 인과관계 그래프 등과 같은 특정 데이터 유형)가 수신되거나 검색되고, 특정 유형의 출력 정보를 생성하기 위해 처리 장치에 의해 계산이 수행된다. 출력 정보는 지식 네트워크(1975)를 통해 메모리 플랫폼(1965)의 하나 이상의 구성 요소로 전송될 수 있다. 일 양태에서, 기능적 처리 장치는 메모리 플랫폼(1965)에 저장된 데이터 구조 또는 데이터 유형 인스턴스를 판독 및 변경할 수 있고, 새로운 데이터 구조를 그 안에 저장할 수 있다. 다른 양태에서, 기능적 처리 장치는, 적합성, 중요성, 활성화/억제 에너지, 및 통신 우선순위와 같은, 다양한 수치 특성에 대한 조정을 제공할 수 있다. 각각의 기능적 처리 장치는, 정보에 따라 작업하기 위한 계층을 결정하는 동적 우선순위를 갖는다; 더 높은 우선순위의 장치는 더 낮은 우선순위의 장치보다 더 먼저 데이터에 따라 작업한다. 특정 정보에 따라 작업한 기능적 처리 장치가 도구 시스템(1910)의 작업과 관련된 우수한 가동과 불량한 가동을 구별하는 랭킹 번호 또는 랭킹 함수를 생성하는 것과 같은, 새로운 지식을 생성(예를 들어, 학습)하지 못하는 경우, 기능적 처리 장치와 관련된 우선순위가 낮아질 수 있다. 반대로, 새로운 지식이 생성되는 경우, 처리 장치의 우선순위가 높아진다.
처리 플랫폼(1985)은 우선순위가 지정된 기능적 처리 장치를 통해, 특정 상황(예를 들어, 특정 데이터 유형)에서 제1 작업을 시도하려는 인간의 경향을 에뮬레이트하며, 작업이 새로운 지식을 생성하는 경우, 작업은 후속하는 실질적으로 동일한 상황에서 이용된다는 것을 이해해야 한다. 반대로, 제1 작업이 새로운 지식을 생성하지 못하는 경우, 상황을 처리하기 위해 제1 작업을 사용하는 경향이 감소되며, 제2 작업이 사용된다(예를 들어, 확산 활성화). 제2 작업이 새로운 지식을 생성하지 못하는 경우, 이의 우선순위가 감소되고, 제3 작업이 사용된다. 처리 플랫폼(1985)은 새로운 지식이 생성되고, 다른 작업(들)이 더 높은 우선순위를 획득할 때까지, 작업을 계속 사용한다.
일 양태에서, 액터(1990)는, 공정 방식 파라미터, 지침(예를 들어, 이온 주입된 웨이퍼의 어닐링 사이클 동안의 온도 프로파일, 반도체의 기상 증착에서의 셔터 개방/폐쇄 순서, 이온 주입 공정에서의 이온빔의 에너지, 또는 스퍼터링 증착에서의 전기장 크기), 및 자율 학습 시스템(1960)을 위한 초기화 파라미터를 제공할 수 있다. 다른 양태에서, 액터(1990)는 도구 시스템(1910)의 유지 보수와 관련된 데이터를 제공할 수 있다. 또 다른 양태에서, 액터(1990)는, 도구 시스템(1910)에 의해 수행되는 공정의 컴퓨터 시뮬레이션의 결과를 생성 및 제공할 수 있다. 이러한 시뮬레이션으로 생성된 결과는 자율 생물학적 기반 학습 시스템을 트레이닝하기 위한 트레이닝 데이터로서 사용될 수 있다. 추가적으로, 시뮬레이션 또는 최종 사용자는 공정과 관련된 최적화 데이터를 도구 시스템(1910)에 전달할 수 있다.
자율 학습 시스템(1960)은 하나 이상의 트레이닝 사이클을 통해 트레이닝될 수 있으며, 각각의 트레이닝 사이클은, (i) 외부 개입 없이 더 많은 수의 기능을 수행할 수 있도록 하기 위해, (ii) 제조 시스템 상태 근본 원인에 대한 근본 원인을 진단하는 경우, 개선된 정확도 또는 정확성과 같은 더 양호한 응답을 제공할 수 있도록 하기 위해, 그리고 (iii) 더 빠른 응답 시간, 감소된 메모리 소비, 또는 개선된 제품 품질과 같이 성능을 증대시킬 수 있도록 하기 위해, 자율 생물학적 기반 학습 도구(1900)를 전개시키는 데 사용될 수 있다. 트레이닝 데이터는, 도구 시스템(1910)의 표준 가동 또는 공정 보정과 관련된 데이터(1928)(이러한 데이터는 내부적인 것으로 간주될 수 있음)로부터 또는 상호 작용 관리자(1945)를 통하여 트레이닝 데이터가 수집되는 경우, 어댑터 구성 요소(1935)를 통해 자율 학습 시스템(1960)에 제공될 수 있다. 트레이닝 데이터가 데이터베이스(들)(1965)로부터 검색되는 경우(예를 들어, 외부 프로브를 통해 수행된 외부 측정과 관련된 데이터, 또는 도구 시스템(1910)에서의 보정 개입의 기록), 이러한 트레이닝 데이터는 외부적인 것으로 간주될 수 있다. 트레이닝 데이터가 액터에 의해 제공되는 경우, 데이터는 상호 작용 관리자(1945)를 통해 전달되며, 외부적인 것으로 간주될 수 있다. 내부 또는 외부 트레이닝 데이터에 기초하는 트레이닝 사이클은, 자율 학습 시스템(1960)이 도구 시스템(1910)의 예상 작용을 원활하게 학습하게 한다.
전술한 바와 같이, 기능적 구성 요소(1915)는, 본원에 설명된 바와 같은 제조 플랫폼의 도구별 반도체 제조 기능과 관련된 다수의 기능적 도구 구성 요소(도시되지 않음)를 포함할 수 있으며, 다수의 기능적 도구 구성 요소는 도구를 사용하여, (a) 반도체 기판(예를 들어, 웨이퍼, 평면 패널, 액정 디스플레이(LCD) 등)을 제조할 수 있게 하고, (b) 에피택셜 기상 증착 또는 비-에피택셜 기상 증착을 수행할 수 있게 하며, (c) 이온 주입 또는 가스 클러스터 이온 주입을 가능하게 하고, (d) 플라즈마 또는 비-플라즈마 (건식 또는 습식) 산화물 에칭 처리를 수행할 수 있게 하며, (e) 리소그래피 공정(예를 들어, 포토리소그래피, 전자빔 리소그래피 등) 등을 구현할 수 있게 한다. 또한, 도구 시스템(1910)은, 퍼니스(furnace); 제어된 전기 화학 환경에서 작업하기 위한 노광 도구; 평탄화 장치; 전기 도금 시스템; (작업 사이클 동안) 수명 측정을 포함할 수 있는, 광학적, 전기적 및 열적 특성에 대한 측정 모듈 또는 검사 시스템 장치; 다양한 측정 및 계측 모듈, 웨이퍼 세척기 등으로 구현될 수 있다.
도구 시스템(1910)에 의해 수행되는 공정에서, 검사 시스템의 센서 구성 요소(1925)를 포함하는 센서 및 프로브는, 수집된 데이터의 의도된 용도에 따라 다양한 복잡도를 가진 다양한 변환기 및 기술을 통해, 설명된 바와 같은 소재의 특성, 및 공정 모듈의 상이한 물리적 특성(예를 들어, 압력, 온도, 습도, 질량 밀도, 증착 속도, 층 두께, 표면 거칠기, 결정질 배향, 도핑 농도 등) 뿐만 아니라, 공정 모듈 및 제조 플랫폼의 기계적 특성(밸브 개구 또는 밸브 각도, 셔터 온/오프 동작, 가스 플럭스, 기판 각속도, 기판 배향 등)과 관련된 데이터(예를 들어, 데이터 자산)를 수집할 수 있다. 이러한 기술은, 부정합 및 결함을 검출하고 능동 차단을 제공하기 위해 언급된 데이터를 ??득하기 위한 본원에 설명된 바와 같은 다양한 측정 및 계측 기술을 포함할 수 있지만, 이에 제한되지 않는다. 센서 및 측정 모듈 검사 시스템은 도구 시스템으로부터의 데이터를 제공한다는 것을 이해해야 한다. 또한, 이러한 데이터 자산(1928)은 도구 시스템(1910)의 제조 플랫폼에 의해 제조되거나 제작된 소재로부터의 측정 데이터를 효과적으로 특성화한다는 것을 이해해야 한다.
일 양태에서, 센서 구성 요소 또는 검사 시스템(1925)의 데이터 소스는, 아날로그 또는 디지털 형태로 데이터 자산(1928)을 수집하도록 구성될 수 있는 어댑터 구성 요소(1935)에 연결될 수 있다. 어댑터 구성 요소(1935)는, 데이터가 메모리 플랫폼(1965)에 저장되기 전에, 공정 가동에서 수집된 데이터(1968)가 자율 학습 시스템(1960)에서의 데이터의 의도된 사용에 따라 구성되거나 분해될 수 있게 할 수 있다. 어댑터 구성 요소(1935)의 어댑터는 센서 구성 요소/검사 시스템(1925)의 하나 이상의 센서와 연결될 수 있고, 하나 이상의 센서로부터 데이터를 판독할 수 있다. 외부 데이터 소스 어댑터는 도구의 외부로부터 푸시된 데이터를 통과시킬 뿐만 아니라, 데이터를 풀링하는 기능을 가질 수 있다. 예를 들어, MES/이력 데이터베이스 어댑터는, MES 데이터베이스를 참조하여 다양한 오토봇을 위한 정보를 추출하고, 자율 시스템의 하나 이상의 구성 요소를 위한 데이터를 작업 메모리에 패키징/저장하는 방법을 인지한다. 예를 들어, 어댑터 구성 요소(1935)는 도구가 소재를 처리함에 따라, 한 번에 하나의 소재 또는 웨이퍼마다 웨이퍼-레벨 가동 데이터를 수집할 수 있다. 그 다음, 어댑터 구성 요소(1935)는 개별 가동들을 일괄 처리로 통합함으로써, "로트-레벨-데이터", "유리 보수-간격-데이터" 등을 형성할 수 있다. 대안적으로, 도구 시스템(1910)이 로트-레벨 데이터에 대한 단일 파일(또는 컴퓨터 제품 자산)을 출력하는 경우, 어댑터 구성 요소(1935)는 웨이퍼-레벨 데이터, 단계-레벨 데이터 등을 추출할 수 있다. 또한, 분해된 데이터 요소는 도구 시스템(1900)의 하나 이상의 구성 요소(예를 들어, 센서 구성 요소(1925)의 압력 제어기가 작업하는 변수 및 시간)와 관련될 수 있다. 전술한 바와 같이, 수신된 데이터(1928)를 처리 또는 패키징한 후에, 어댑터 구성 요소(1935)는 처리된 데이터를 데이터베이스(들)(1955)에 저장할 수 있다.
데이터베이스(들)(1955)는, (i) 검사 시스템/센서 구성 요소(1925)의 센서에 의해 수행된 측정을 통해, 도구 시스템(1910)에서 비롯된 데이터, (ii) 제조 실행 시스템(MES) 데이터베이스 또는 이력 데이터베이스에서 비롯된 데이터, 또는 (iii) 도구 시스템(1910)의 컴퓨터 시뮬레이션(예를 들어, 액터(1990)에 의해 수행되는 반도체 웨이퍼 제조의 시뮬레이션)으로 생성된 데이터를 포함할 수 있다. 일 양태에서, MES는, 제조 공정 및 공정 순서를 측정 및 제어할 수 있고, 장비 가용성 및 상태를 추적할 수 있으며, 재고품을 제어할 수 있고, 경보를 모니터링할 수 있는 시스템이다.
도구 시스템(1910)에 의해 제조된 제품 또는 제품 자산은 상호 작용 구성 요소(1930)를 통해 액터(1990)에게 전달될 수 있음을 이해해야 한다. 제품 자산은 액터(1990)에 의해 분석될 수 있고, 결과적인 정보 또는 데이터 자산은 자율 학습 시스템(1960)으로 전달될 수 있음을 이해해야 한다. 다른 양태에서, 상호 작용 구성 요소(1930)는 어댑터 구성 요소(1935)를 통해 제품 자산(1928)의 분석을 수행할 수 있다.
또한, 실시형태(1900)에서, 상호 작용 구성 요소(1930) 및 자율 학습 시스템(1960)이 도구 시스템(1910)에 대하여 외부에서 전개된다는 점을 유의해야 한다. 단일 특정 도구 구성 요소(예를 들어, 단일 내장형 모드)로, 또는 플랫폼의 도구 구성 요소들의 클러스터(예를 들어, 다중 내장형 모드)로, 상호 작용 구성 요소(1930) 및 자율 생물학적 기반 학습 시스템(1960)이 제조 플랫폼 도구 시스템(1910) 내에 상주할 수 있는 내장형 전개와 같은, 자율 생물학적 기반 학습 도구(1900)의 대안적인 전개 구성이 실현될 수 있다. 이러한 전개 대안은 계층적 방식으로 실현될 수 있으며, 자율 학습 시스템은 그룹 도구 또는 플랫폼, 또는 도구 집합체를 형성하는 자율 학습 도구들의 세트를 지원한다. 이러한 복합적 구성은 아래에 상세히 설명된다.
그 다음, 예시적인 도구 시스템(2000)이 도 20과 관련하여 설명되며, 자율 생물학적 기반 학습 시스템(1960)에 대한 예시적인 아키텍처가 도 21 내지 도 25와 관련하여 상세하게 제시되고 설명된다.
도 21은 자율 생물학적 기반 학습 시스템의 예시적인 아키텍처(2100)의 상위 레벨 블록도를 도시한다. 실시형태(2100)에서, 자율 학습 시스템(1960)은, 장기 메모리(LTM)(2110), 단기 메모리(STM)(2120), 및 에피소드 메모리(EM)(2130)를 포함하는 기능적 메모리 구성 요소의 계층을 포함한다. 각각의 이러한 기능적 메모리 구성 요소는, 도 19와 관련하여 설명된 바와 같이 동작하는 지식 네트워크(1975)를 통해 통신할 수 있다. 또한, 자율 학습 시스템(1960)은, 처리 플랫폼(1985)과 관련하여 설명된 그러한 기능적 장치와 실질적으로 동일한 특성을 갖는, 오토봇으로 식별되는 기능적 처리 장치를 포함하는 오토봇 구성 요소(2140)를 포함할 수 있다. 오토봇 구성 요소(2140)는 처리 플랫폼(1985)의 일부일 수 있음을 유의해야 한다.
또한, 자율 학습 시스템(1960)은, 자기 인식 구성 요소(2150), 자기 개념화 구성 요소(2160), 및 자기 최적화 구성 요소(2170)를 포함하는 하나 이상의 주요 기능적 장치를 포함할 수 있다. 제1 피드포워드(FF) 루프(2152)가 순방향 링크로서 작용할 수 있고, 자기 인식 구성 요소(2150)와 자기 개념화(2160) 간에 데이터를 통신할 수 있다. 또한, 제1 피드백(FB) 루프(2158)가 역방향 링크로서 작용할 수 있고, 자기 개념화 구성 요소(2170)와 자기 인식 구성 요소(2150) 간에 데이터를 통신할 수 있다. 유사하게, 자기 개념화 구성 요소(2160)와 자기 최적화 구성 요소(2170) 간의 순방향 링크 및 역방향 링크 데이터 통신은, 제2 FF 루프(2162) 및 제2 FB 루프(2168)를 통해 각각 달성될 수 있다. FF 링크에서는, 데이터를 추가로 처리하기 위해 데이터를 수신하는 구성 요소와 통신하기 전에 데이터가 변환될 수 있는 반면에, FB 링크에서는, 데이터를 처리하기 전에 데이터를 수신하는 구성 요소에 의해 다음 데이터 요소가 변환될 수 있음을 이해해야 한다. 예를 들어, FF 링크(2152)를 통해 전송된 데이터는, 데이터를 자기 개념화 구성 요소(2160)에 통신하기 전에 자기 인식 구성 요소(2150)에 의해 변환될 수 있다. 또한, FF 링크(2152 및 2162)는 구성 요소(2150 및 2170) 사이의 데이터의 간접 통신을 가능하게 할 수 있는 반면에, FB 링크(2168 및 2158)는 구성 요소(2170 및 2150) 사이의 데이터의 간접 통신을 가능하게 할 수 있음을 이해해야 한다. 추가적으로, 데이터는 지식 네트워크(1975)를 통해 구성 요소(2150, 2160, 및 2170) 간에 직접 전달될 수 있다.
장기 메모리(2110)는, 초기화/구성 후에 자율 학습 도구 시스템(1900)을 트레이닝하기 위해, 도구 시스템의 초기화 또는 구성 동안 상호 작용 구성 요소(1930)를 통해 제공된 지식(예를 들어, 선험적 지식)을 저장할 수 있다. 또한, 자율 학습 시스템(1960)에 의해 생성된 지식은 장기 메모리(2110)에 저장될 수 있다. LTM(2110)은 메모리 플랫폼(1965)의 일부일 수 있으므로, 이와 실질적으로 동일한 특성을 나타낼 수 있음을 이해해야 한다. 장기 메모리(2110)는 대체로, 제조 플랫폼 구성 요소(예를 들어, 공정 모듈, 측정 모듈, 검사 시스템, 이송 모듈 등), 관계, 공정 단계 및 절차에 관한 정보를 포함하는 지식 베이스를 포함할 수 있다. 지식 베이스의 적어도 일부는, 데이터 유형(예를 들어, 순서, 평균, 또는 표준 편차), 데이터 유형 간의 관계, 및 제1 데이터 유형 세트를 제2 데이터 유형 세트로 변환하기 위한 절차를 표현하거나 분류하는 의미망(semantic network)일 수 있다.
지식 베이스는 지식 요소 또는 개념을 포함할 수 있다. 일 양태에서, 각각의 지식 요소는 2개의 수치 특성(즉, 지식 요소 또는 개념의 적합성(ξ) 및 관성(ι))과 연관될 수 있다; 집합적으로, 이러한 특성은 개념의 우선순위를 결정한다. 명확한 함수, 예를 들어, 이러한 2개의 수치 특성의 가중치 합계, 기하 평균은 개념의 상황 스코어(σ)일 수 있다. 예를 들어, σ=ξ+ι. 지식 요소의 적합성은, 특정 시간에 도구 시스템 또는 목표 구성 요소 상황에 대한 지식 요소(예를 들어, 개념)의 적합성으로 정의될 수 있다. 일 양태에서, 제2 요소보다 더 높은 적합성 스코어를 갖는 제1 요소 또는 개념은, 더 낮은 적합성 스코어를 갖는 제2 요소보다 자율 학습 시스템(1960)의 현재 상태 및 도구 시스템(1910)의 현재 상태에 더 적합할 수 있다. 지식 요소 또는 개념의 관성은, 지식 요소의 사용과 관련된 난이도로서 정의될 수 있다. 예를 들어, 낮은 제1 관성 값이 수치 요소에 부여될 수 있으며, 수치 목록은 제1 값보다 더 높은 제2 관성 값인 것으로 간주될 수 있으며, 일련의 수치는 제2 값보다 더 높은 제3 관성 값을 가질 수 있고, 수치 행렬은 제3 값보다 더 높을 수 있는 제4 관성 값을 가질 수 있다. 관성은 그래프, 데이터베이스의 테이블, 오디오 파일, 비디오 프레임, 코드 조각, 코드 스크립트 등과 같은 다른 지식 또는 정보 구조에 적용될 수 있고, 후자의 항목은 실질적으로 모두 입력(1730)의 일부일 수 있음을 유의한다. 본 혁신은 지식 요소가 검색되어 적용될 가능성에 영향을 미칠 수 있는 적합성 및 관성의 명확한 함수를 제공한다. 최고 상황 스코어를 갖는 개념은, 처리 장치에 의한 처리를 위해 단기 메모리(2120)에 제공될 가능성이 가장 높은 개념이다.
단기 메모리(2120)는, 작업 메모리(예를 들어, 작업 공간 또는 캐시)로서 사용될 수 있거나, 특정 알고리즘 또는 프로시저와 관련된 협력/경합 작업, 또는 오토봇이 데이터 유형에 따라 작업할 수 있는 장소로서 사용될 수 있는 임시 저장소이다. STM(2120)에 포함된 데이터는 하나 이상의 데이터 구조를 가질 수 있다. STM(2120)의 이러한 데이터 구조는, 오토봇 및 플래너 위버봇(planner ueberbot)(예를 들어, 플래닝 전용 오토봇)에 의해 수행되는 데이터 변환의 결과로 변경될 수 있다. 단기 메모리(2120)는, 상호 작용 관리자(1945)에 의해 제공된 학습 명령, 데이터, 장기 메모리(2110)로부터의 지식, 하나 이상의 오토봇 또는 위버봇에 의해 제공된 및/또는 생성된 데이터, 및/또는 액터(1990)에 의해 제공된 초기화/구성 명령을 포함할 수 있다. 단기 메모리(2120)는, 그 안에 저장된 데이터를 변환하기 위해 사용된 하나 이상의 오토봇 및/또는 위버봇의 상태를 추적할 수 있다.
에피소드 메모리(2130)는, 공정과 관련될 수 있는 액터-식별된 파라미터 및 개념 세트를 포함할 수 있는 에피소드를 저장한다. 일 양태에서, 에피소드는 외재적 데이터 또는 입력(1730)을 포함할 수 있으며, 특정 상황 정보와 함께 자율 학습 시스템(1900)에 제공될 수 있다. 에피소드는 대체로, 목표를 수행하는 동안 (예를 들어, 도구 시스템(1910), 목표 구성 요소(1720), 또는 자율 학습 시스템(1960)에 의해) 식별되거나 생성되는 특정 시나리오와 관련될 수 있음을 유의한다. 에피소드를 식별하는 액터는, 공정 엔지니어, 도구 엔지니어, 현장 지원 엔지니어 등과 같은 휴먼 에이전트일 수 있거나, 기계일 수 있다. 에피소드 메모리(2130)는, 특정 시나리오(들)(예를 들어, 에피소드)와 관련된 지식이 에피소드를 초래한 학습 프로세스의 메모리 없이 존재할 수 있고 액세스될 수 있는, 인간의 에피소드 메모리와 유사하다는 것을 이해해야 한다. 전형적으로, 에피소드의 도입 또는 정의는 트레이닝 사이클의 일부이거나, 실질적으로 입력의 임의의 외부 공급이며, 이는 자율 생물학적 기반 학습 시스템(1960)에 의해, 에피소드와 관련된 데이터에 존재할 수 있는 데이터 패턴 또는 입력 패턴을 특성화하도록 학습하는 시도로 이어질 수 있다. 에피소드와 관련된 데이터의 특성화된 패턴은, 에피소드 및 에피소드의 명칭과 함께 에피소드 메모리(2130)에 저장될 수 있다. 에피소드 메모리(2130)에 에피소드를 추가함으로써, 도구 시스템(1910) 또는 일반적으로 목표 구성 요소(1720)에 의해 수행되는 공정의 파라미터 세트가 에피소드에 정의된 바와 같은 동작 범위에 들어가는 경우 활성화될 수 있는 에피소드별 오토봇이 생성될 수 있다; 에피소드별 오토봇은 수행되는 목표 또는 공정과 관련된 제1 특징이 인식되는 경우 충분한 활성화 에너지를 수신한다. 파라미터가 수신된 에피소드를 통해 설정된 기준을 충족시키는 경우, 에피소드별 오토봇은 에피소드의 데이터 패턴을 이용 가능한 현재 데이터와 비교한다. (인식된 데이터 패턴에 의해 정의된 바와 같은) 도구 시스템(1910) 또는 목표 구성 요소의 현재 상황이 저장된 에피소드와 매칭되는 경우, 도구 유지 보수 엔지니어가 상황을 인식할 수 있고, 예방 조치(들)를 취할 수 있도록 보장하기 위해 경보가 발생됨으로써, 기능적 구성 요소(1915) 또는 센서 구성 요소(1925) 또는 도구 공정에 사용된 재료에 대한 추가적인 손상을 완화시킬 수 있다.
오토봇 구성 요소(2140)는, 입력 데이터 유형(예를 들어, 행렬, 벡터, 순서 등)에 따라 특정 작업을 수행하는 오토봇 라이브러리를 포함한다. 일 양태에서, 오토봇은 오토봇 의미망에 존재하며, 각각의 오토봇은 관련 우선순위를 가질 수 있다; 오토봇의 우선순위는 이의 활성화 에너지(EA) 및 이의 억제 에너지(EI)의 함수이다. 오토봇 구성 요소(2140)는, 자기 인식 구성 요소(2150), 자기 개념화 구성 요소(2160), 자기 최적화 구성 요소(2170)를 위한 오토봇, 및 구성 요소들 간에 그리고 다양한 메모리 장치들 간에 데이터를 변환 및 전달하는 것에 관여할 수 있는 추가적인 오토봇을 포함할 수 있는 오토봇의 조직화된 저장소이다. 오토봇에 의해 수행될 수 있는 구체적인 작업은, 순서 평균; 순서 정렬; 제1 및 제2 벡터 간의 스칼라 곱; 제1 행렬과 제2 행렬의 곱셈; 시간과 관련된 시간 순서 미분; 순서 자기 상관 계산; 제1 순서와 제2 순서 사이의 교차 상관 작업; 완전한 기본 함수 세트에서의 함수 분해; 시간 순서 수치 데이터 스트림의 웨이브릿(wavelet) 분해, 또는 시간 순서의 푸리에 분해를 포함할 수 있다. 입력 데이터에 따라 추가적인 작업(즉, 이미지의 특징 추출, 음향 녹음, 또는 생체 지표, 비디오 프레임 압축, 환경 사운드 또는 음성 명령의 디지털화 등)이 수행될 수 있음을 이해해야 한다. 오토봇에 의해 수행되는 각각의 작업은, 하나 이상의 입력 데이터 유형을 변환하여 하나 이상의 출력 데이터 유형을 생성하는 명명된 함수일 수 있다. 오토봇 구성 요소(2140)의 오토봇에 존재하는 각각의 함수는 LTM의 요소를 가질 수 있으므로, 아이더봇(itherbot)은 총 "주의 지속시간(attention span)" 및 자율 학습 시스템(1960)의 요구에 기초하여, 오토봇 활성화/억제 에너지를 결정할 수 있다. 자율 학습 시스템(1960)과 유사하게, 오토봇 구성 요소(2140)의 오토봇은 시간이 지남에 따라 이의 성능을 개선할 수 있다. 오토봇의 개선은, 생성된 결과(예를 들어, 출력)의 더 우수한 품질, 더 우수한 실행 성능(예를 들어, 더 짧은 실행 시간, 더 많은 계산을 수행하는 능력 등), 또는 특정 오토봇을 위한 입력 영역의 향상된 범위(예를 들어, 오토봇이 작업할 수 있는 추가적인 데이터 유형의 산입)를 포함할 수 있다.
LTM(2110), STM(2120) 및 EM(2130)에 저장된 지식(개념 및 데이터)은, 이의 기능의 일부에 자율 생물학적 기반 학습 시스템(1960)이 부여되는 주요 기능적 장치에 의해 사용될 수 있다.
자기 인식 구성 요소(2150)는, 도구 시스템(1910)의 제1 허용 가능 작동 상태와 나중에 도구 시스템이 성능 저하된 후속 상태 사이의 도구 시스템 성능 저하 레벨을 결정할 수 있다. 일 양태에서, 자율 학습 시스템(1960)은, 허용 가능 작동 상태를 특성화하는 데이터, 및 이러한 허용 가능 상태로 제조된 소재와 같은 제품 자산과 관련된 데이터를 수신할 수 있다; 이러한 데이터 자산은 정규 데이터로서 식별될 수 있다. 자율 생물학적 기반 학습 시스템(1960)은, 자기 인식 구성 요소(2150)에 의해 저장될 수 있고 정보 입력(1958)으로서 제공된 데이터와의 비교를 위해 사용될 수 있는, 정규 데이터 및 관련 결과(예를 들어, 중요 파라미터에 관한 통계, 소재의 부정합 및 결함에 관한 데이터, 소재의 하나 이상의 측정된 특성 또는 파라미터의 관찰된 추이, 도구 파라미터에 관련된 예측 함수 등)를 처리할 수 있다; 예를 들어, 소재에 대한 제조 공정 데이터 또는 테스트 가동 데이터 또는 패턴. 정규 데이터의 생성된 학습 결과와 소자 공정 가동 데이터 또는 패턴 사이의 차이가 작은 경우, 제조 시스템 성능 저하가 적은 것으로 간주될 수 있다. 대안적으로, 정규 데이터의 저장된 학습 결과와 샘플 공정 데이터 또는 다른 소재 데이터 사이의 차이가 큰 경우, 소재에 상당한 수준의 부정합 또는 결함이 있을 수 있다. 상당한 수준의 부정합 및 공정 성능 저하는 공정 또는 목표의 상황별 조정으로 이어질 수 있다. 본원에 설명된 바와 같은 성능 저하는 성능 저하 벡터(
Figure pct00006
)로부터 계산될 수 있으며, 성능 저하 벡터의 각각의 성분
Figure pct00007
는 가용 데이터 세트의 상이한 관점이다(예를 들어, Q1은 다변량 평균일 수 있고, Q2는 연관된 다변량 편차일 수 있으며, Q3는 공정 단계의 특정 변수에 대한 웨이브릿 계수 세트일 수 있고, Q4는 예측된 압력과 측정된 압력 간의 평균 차 등일 수 있다). 정상 트레이닝 가동은 각각의 구성 요소에 대한 특정 값 세트(예를 들어, 트레이닝 데이터 자산)를 생성하며, 이는 각각의 구성 요소로부터의 가동 데이터(예를 들어, 가동 데이터 자산)로 생성된 성분(Q1-QU)과 비교될 수 있다. 성능 저하를 평가하기 위해, {Q} 공간에서 이의 "정상 위치"로부터의 가동 성능 저하 벡터의 (예를 들어, 유클리드) 거리를 비교하기 위한 적합한 거리 계측치가 사용될 수 있다; 이러한 유클리드 거리가 클수록, 도구 시스템의 성능이 더 저하된다고 한다. 또한, 제2 계측치는 2개의 벡터 간의 코사인 유사성 계측치를 계산하기 위한 것일 수 있다.
자기 개념화 구성 요소(2160)는, 중요 제조 플랫폼 및 도구 시스템(1910) 관계(예를 들어, 하나 이상의 공정 챔버 작용 기능) 및 표현(예를 들어, 요청된 및 측정된 파라미터에 관한 통계, 성능 저하에 대한 파라미터의 영향 등)에 대한 이해를 확립하도록 구성될 수 있다. 관계 및 표현은 또한 데이터, 또는 소프트 자산이라는 것을 이해해야 한다. 이해는 자율 학습 시스템(1960)에 의해, 또는 액터(1990)(예를 들어, 휴먼 에이전트)의 제공된 지침을 통해 자율적으로 확립된다(예를 들어, 입력 데이터로부터 비롯된 추론 및 상황별 목표 조정에 의해; 추론은 예를 들어, 다변량 회귀, 또는 유전 알고리즘과 같은 진화 프로그래밍을 통해 수행될 수 있음). 자기 개념화 구성 요소(2160)는, 특정 증착 단계 동안 시간에 따른 반도체 제조 시스템의 막 형성 모듈에서의 압력과 같이, 전반적으로 구성 요소(1720)와 같은 목표 구성 요소, 또는 도구 시스템(1910)의 단일 파라미터의 작용에 대한 기능적 표현을 구성할 수 있다. 또한, 자기 개념화 구성 요소(2160)는 특정 입력 정보 세트(1958)에 대한 종속 변수의 기능적 관계와 같이, 도구 시스템과 관련된 작용을 학습할 수 있다. 일 양태에서, 자기 개념화 구성 요소(2160)는 특정 가스 유량, 온도, 배기 밸브 각도, 시간 등이 있는 경우, 주어진 체적의 증착 챔버에서의 압력의 작용을 학습할 수 있다. 더욱이, 자기 개념화 구성 요소(2160)는 예측 목적으로 사용될 수 있는 시스템 관계 및 특성을 생성할 수 있다. 학습된 작용 중에서, 자기 개념화 구성 요소(2160)는 정상 상태를 특성화하는 관계 및 표현을 학습할 수 있다. 전형적으로, 이러한 정상 상태는 관찰자 도구 작용의 편차와 비교되는 기준 상태로서 자율 학습 시스템(1960)에 의해 사용된다.
자기 최적화 구성 요소(2170)는, (a) 제조 플랫폼/도구 시스템(1960)으로부터 부정합의 잠재적인 원인을 식별하기 위해, 또는 (b) 자율 학습 시스템(1960)에 의해 수집된 정보에 기초하여, 제조 플랫폼/도구 시스템 성능 저하의 근본 원인의 하나 이상의 소스를 식별하기 위해, 예측된 값들(예를 들어, 측정 값 및 자기 개념화 구성 요소(2160)에 의해 학습된 기능적 종속성 또는 관계에 기초하는 예측) 사이의 도구 시스템(1910) 편차의 레벨에 기초하여, 자율 생물학적 기반 학습 시스템(1900)의 현재 상태 또는 성능을 분석할 수 있다. 자기 최적화 구성 요소(2170)는, 자율 학습 시스템(1960)이 부정합 또는 결함에 대한 잘못된 근본 원인을 초기에 부정확하게 식별하는지 여부를 시간이 지남에 따라 학습할 수 있으며, 학습 시스템(1900)은 실제 근본 원인을 정확하게 식별하기 위해, 사용자 지침 또는 유지 보수 로그의 입력을 고려한다. 일 양태에서, 자율 학습 시스템(1960)은 향후의 진단 정확도를 개선하기 위해 학습과 함께 베이지안 추론을 사용하여, 이의 진단을 위한 기준을 업데이트한다. 대안적으로, 최적화 계획이 조정될 수 있으며, 이러한 조정된 계획은 후속적인 검색, 채택, 및 실행을 위해 최적화 사례 이력에 저장될 수 있다. 더욱이, 도구 시스템(1910)에 의해 수행되는 공정에 대한 일련의 조정, 또는 전반적으로 목표 구성 요소(1720)에 의해 수행되는 목표는 최적화 계획을 통해 달성될 수 있다. 자기 최적화 구성 요소(2170)는 공정 또는 목표 최적화를 촉진시킬 수 있는 조정 계획을 전개하기 위해, 데이터 피드백(예를 들어, 링크(1965, 1955, 및 1915)를 통해 이루어지는 루프)을 이용할 수 있다.
실시형태(2100)에서, 자율 생물학적 기반 학습 시스템(1960)은, 플래너 구성 요소(2180) 및 시스템 상황 정보 구성 요소(2190)를 더 포함할 수 있다. 주요 기능적 장치(2150, 2160, 및 2170), 및 기능적 메모리 구성 요소(2110, 2120, 및 2130)의 계층은, 지식 네트워크(1975)를 통해 플래너 구성 요소(2180) 및 시스템 상황 정보 구성 요소(2190)와 통신할 수 있다.
플래너 구성 요소(2180)는 오토봇 구성 요소(2140)의 보다 상위 레벨 오토봇을 이용할 수 있고 이를 포함할 수 있다. 이러한 오토봇은 플래너 위버봇으로서 식별될 수 있으며, 적합성, 중요성, 활성화/억제 에너지, 및 통신 우선순위와 같은, 다양한 수치 특성에 대한 조정을 구현할 수 있다. 플래너 구성 요소(2180)는 예를 들어, 특정 데이터 유형 또는 데이터 구조가 특정 오토봇 및 단기 메모리(2120)에서 이용 가능한 특정 지식을 통해 단기 메모리(2120)에서 조작되도록 강제할 수 있는 플래너 위버봇 세트를 생성함으로써, 엄격한 직접적인 포괄적 전략을 구현할 수 있다. 일 양태에서, 플래너 구성 요소(2180)에 의해 생성된 오토봇은 오토봇 구성 요소(2140)에 저장될 수 있고, 지식 네트워크(1975)를 통해 사용될 수 있다. 대안적으로 또는 추가적으로, 플래너 구성 요소(2180)는, 자율 학습 시스템(1960)의 현재 상황 정보, 도구 시스템(1910)의 현재 조건, (콘텐츠로 작업할 수 있는 관련 오토봇을 포함할 수 있는) 단기 메모리(2120)의 콘텐츠, 및 다양한 오토봇의 사용 비용/편익 분석에 따라, 간접적인 포괄적 전략을 구현할 수 있다. 본 자율 생물학적 기반 학습 도구(1900)는 플래너 구성 요소의 동적 확장을 제공할 수 있음을 이해해야 한다.
플래너 구성 요소(2180)는, 자율 생물학적 기반 도구(1900)에서의 공정 또는 목표 조정이 이의 성능 저하를 초래하지 않도록 보장할 수 있는 조절 구성 요소로서 역할을 할 수 있다. 일 양태에서, 조절 특징은, 계획된 공정 또는 목표 조정에 기초하여 작동 조건을 추론하는 조절 위버봇의 생성을 통한 직접적인 포괄적 전략을 통하여 구현될 수 있다. 이러한 추론은 조절 위버봇이 작용하는 데이터 유형의 의미망을 통해 이루어질 수 있으며, 추론은 비용/편익 분석에 의해 지원 또는 보완될 수 있다. 플래너 구성 요소(2180)는 목표 구성 요소(예를 들어, 도구 시스템(1910))에 대한 특정 손상을 완화시킬 수 있는 목표 공간의 특정 영역 내에 목표 추이를 보존할 수 있음을 이해해야 한다.
시스템 상황 정보 구성 요소(2190)는, 자율 학습 시스템(1960)을 이용하는 자율 생물학적 기반 학습 도구(1900)의 현재 역량을 포착할 수 있다. 시스템 상황 정보 구성 요소(2190)는, (i) 내부 역량 정도와 관련된 값(예를 들어, 공정을 수행(또는 목표를 수행)함에 있어서의 제조 플랫폼/도구 시스템(1910)의 효율도, 공정을 수행하는 동안 사용된 자원 세트, 최종 제품 또는 서비스(또는 수행된 목표의 결과)의 품질 평가, 소자 납품 시간 등), 및 (ii) 자율 학습 도구(1900)의 상태를 나타내기 위한 라벨 또는 식별자를 포함하는 상태 식별자를 포함할 수 있다. 예를 들어, 라벨은 "초기 상태", "트레이닝 상태", "모니터링 상태", "학습 상태", 또는 "지식 적용"과 같은 상태를 나타낼 수 있다. 역량의 정도는 결정된 범위 내에서, 수치 값 또는 계측치에 의해 특성화될 수 있다. 또한, 시스템 상황 정보 구성 요소(2190)는, 특정 시간 간격 동안 자율 학습 시스템(1960)에 의해 수행된 학습의 요약을 포함할 수 있을 뿐만 아니라, 수행된 학습을 고려하여 구현될 수 있는 가능한 공정 또는 목표 조정의 요약을 포함할 수 있다.
도 22a는 예시적인 오토봇 구성 요소(2140)를 도시한다. 오토봇(22151 내지 2215N)은 특정 동적 우선순위(22151 내지 2215N)를 각각 갖는, 오토봇 및 위버봇의 라이브러리를 나타낸다. 오토봇(22151 내지 2215N)은 메모리(예를 들어, 장기 또는 단기 메모리, 또는 에피소드 메모리)와 통신할 수 있다. 전술한 바와 같이, 오토봇의 우선순위는 오토봇의 활성화 에너지 및 억제 에너지에 의해 결정된다. 오토봇(예를 들어, 오토봇(22151 또는 2215N))은 오토봇에 의해 처리될 수 있는 데이터가 STM에 있는 경우, (위버봇을 통해) 활성화 에너지를 얻는다. 오토봇(예를 들어, 오토봇(22152)) 활성화 에너지 및 억제 에너지의 가중치 합계(예를 들어,
Figure pct00008
)는, 오토봇이 이의 기능적 작업을 수행하기 위해 스스로 활성화될 수 있는 경우를 결정할 수 있다:
Figure pct00009
인 경우, 오토봇이 스스로 활성화되며, 여기서
Figure pct00010
는 미리 결정된 고유 임계치이다. 본 자율 생물학적 기반 학습 도구(1900)는 오토봇의 동적 증가를 제공할 수 있음을 이해해야 한다.
도 22b는 오토봇의 예시적인 아키텍처(2250)를 도시한다. 오토봇(2260)은 오토봇 구성 요소(2140)에 포함된 실질적으로 임의의 오토봇일 수 있다. 기능적 구성 요소(2263)는, 오토봇(2260)이 입력 데이터에 따라 수행할 수 있는 작업의 적어도 일부를 결정 및 실행한다. 프로세서(2266)는 오토봇(2260)에 의해 수행되는 작업의 적어도 일부를 실행할 수 있다. 일 양태에서, 프로세서(2266)는 기능적 구성 요소(2263)의 코프로세서로서 작용할 수 있다. 오토봇(2260)은 이전에 수행된 작업의 결과 세트가 있는 내부 메모리(2269)를 더 포함할 수 있다. 일 양태에서, 내부 메모리는, 작업과 관련된 입력 데이터, EA 및 EI의 현재 값 및 이전 값, 오토봇의 작업 이력의 로그 등을 저장하는 캐시 메모리로서 작용한다. 또한, 내부 메모리(2269)는 특정 유형 및 양의 오류가 피드백되거나 오토봇(2260)으로 다시 전달되는 경우, 오토봇(2260)이 향후 결과의 품질을 개선하는 방법을 학습할 수 있게 할 수 있다. 따라서, 오토봇(2260)은 트레이닝 사이클 세트에 걸쳐서 트레이닝되어 특정 입력 데이터를 특정 방식으로 조작할 수 있다.
또한, 오토봇(예를 들어, 오토봇(2260))은, (a) 오토봇이 조작 또는 요구할 수 있는 하나 이상의 입력 데이터 유형, (b) 오토봇이 생성할 수 있는 데이터 유형, 및 (c) 입력 및 출력 정보에 대한 하나 이상의 제약을 오토봇이 지정할 수 있다는 점에서, 자기 표현적(self-describing)일 수 있다. 일 양태에서, 인터페이스(2275)는, 특정 도구 시나리오에 따라, 위버봇이 활성화/억제 에너지를 오토봇에 공급하기 위해, 오토봇(2260)이 자기 표현 및 이에 따라 위버봇에게 오토봇의 가용성 및 성능을 표현할 수 있게 할 수 있다.
도 23은 자율 생물학적 기반 학습 시스템(1960)의 자기 인식 구성 요소의 예시적인 아키텍처(2300)를 도시한다. 자기 인식 구성 요소(2150)는 제조 플랫폼/도구 시스템(예를 들어, 도구 시스템(1910))의 학습된 정상 상태에 대한 현재의 성능 저하 레벨을 결정할 수 있다. 소재의 부정합 및 성능 저하는, 도구 시스템의 기계 부품 또는 마모; 제조 플랫폼/도구 시스템이 하나 이상의 최적 범위를 벗어나서 작동하도록 강제할 수 있는 방식(예를 들어, 데이터 자산) 또는 공정을 전개하는 부적절한 작업 또는 개발 작업; 제조 플랫폼/도구 시스템의 부적절한 맞춤화; 또는 유지 보수 스케줄에 대한 부적절한 준수와 같은, 다수의 원인으로 인해 발생할 수 있다. 자기 인식 구성 요소(2150)는, (i) 메모리 계층, 예를 들어 메모리 플랫폼(1965)의 일부일 수 있는 인식 메모리, (ii) 오토봇 구성 요소(2140)에 위치할 수 있고 처리 플랫폼(1985)의 일부일 수 있는 인식 오토봇과 같은 기능적 연산 장치, 및 (iii) 인식 플래너 세트를 통해, 반복적으로 어셈블링 또는 정의될 수 있다. 성능 저하 레벨에 기초하여, 자율 학습 시스템(1960)은 가능한 결함을 랭킹하기 위한 정보(1958) 뿐만 아니라, 가용 데이터 자산(1928)을 분석할 수 있다. 일 양태에서, 과도한 부정합 레벨에 응답하여, 자율 학습 시스템은 플랫폼을 통한 보정 공정을 위한 제어를 제공할 수 있다. 예를 들어, 추가적인 측정/계측 및 관련 데이터(예를 들어, 데이터 자산 및 패턴, 관계, 및 그러한 조합으로부터 추출된 실질적으로 임의의 다른 유형의 이해)에 의해 확인된 바와 같은 성공적인 보정 공정의 경우, 선행된 보정 공정 활동이 자율 학습 시스템(1960)에 의해 유지될 수 있다. 따라서, 데이터 자산으로부터 자율적으로 얻어진 새로운 이해 및 분석을 통해, 학습된 예측이 식별되는 향후의 사례에서, 제조 플랫폼 및 공정 순서는 추가적인 부정합을 방지하도록 조정될 수 있다.
인식 작업 메모리(AWM)(2310)는, 데이터를 저장하기 위해 사용될 수 있는 인식 감각 메모리(ASM)(2320)로서 식별된 특수한 메모리 영역을 포함할 수 있는 S™이며, 예를 들어, 센서 구성 요소(1925)의 센서 또는 액터(1990)에서 비롯될 수 있는 정보 입력(1958)은 어댑터 구성 요소(1935)에 있는 하나 이상의 어댑터에 의해 패키징될 수 있고, 지식 네트워크(1975)에 의해 수신될 수 있다. 자기 인식 구성 요소(2150)는, 오토봇 구성 요소(2140)에 위치할 수 있고 인식 플래너 위버봇(AP)을 포함할 수 있는 다수의 특수 기능 오토봇을 더 포함할 수 있다.
또한, 자기 인식 구성 요소(2150)는 인식 지식 메모리(AKM)(2330)를 포함할 수 있으며, 인식 지식 메모리(AKM)(2330)는 L™의 일부이고, 자기 인식 구성 요소(2150)의 작업과 관련된 다수의 개념(예를 들어, 특성; 클래스 또는 인과관계 그래프와 같은 엔티티; 관계, 또는 프로시저)을 포함할 수 있다. 일 양태에서, 반도체 제조 플랫폼 도구를 위한 자기 인식 구성 요소(2150)는, 단계, 가동, 일괄처리, 유지 보수 간격, 습식 세척 사이클 등과 같은 영역별 개념을 포함할 수 있을 뿐만 아니라, 수치, 목록, 순서, 세트, 매트릭스, 링크 등과 같은 범용 개념을 포함할 수 있다. 이러한 개념은 보다 상위 레벨의 추상화에 들어갈 수 있다; 예를 들어, 소재 가동은, 단계가 방식 파라미터 설정(예를 들어, 원하는 값), 및 하나 이상의 단계 측정치를 모두 갖는 정렬된 일련의 공정 단계로서 정의될 수 있다. 또한, AKM(2330)은 평균, 표준 편차, 범위, 상관관계, 주성분 분석(PCA), 멀티-스케일 주성분 분석(MSPCA), 웨이브릿, 또는 실질적으로 임의의 기저 함수 등과 같은, 둘 이상의 개념을 연결할 수 있는 기능적 관계를 포함할 수 있다. 다수의 기능적 관계가 적용 가능할 수 있으므로, 동일한 개념에 관련될 수 있음을 유의해야 한다; 예를 들어, 수치 목록은 (기능적) 관계 및 표준 편차 관계일 뿐만 아니라, 최대 관계 등인, 평균에 의해 실수 인스턴스에 매핑된다. 하나 이상의 엔티티로부터 다른 엔티티로의 관계가 함수 또는 함수적(예를 들어, 함수의 함수)인 경우, 함수를 이루기 위해 위버봇에 의해 실행될 수 있는 관련 프로시저가 있을 수 있다. 개념의 정확한 정의는 UML, OMGL 등과 같은 적합한 데이터 스키마 정의 언어로 표현될 수 있다. AKM(2330)의 콘텐츠는 시스템을 가동 정지시키지 않으면서 (도구 시스템) 가동 시간에 동적으로 증가될 수 있음을 또한 유의해야 한다.
본원에 설명된 바와 같은 지식 베이스의 임의의 개념으로서, AKM(2330)의 각각의 개념은 적합성 특성 및 관성 특성과 연관될 수 있으므로, 개념의 특정 상황 스코어를 유발할 수 있다. 자율 시스템에 데이터가 제공되기 전에, 처음에는, AKM(2330)의 모든 요소에 대한 적합성 값이 제로이지만, 모든 개념에 대한 관성은 도구에 따라 좌우될 수 있으며, 액터에 의해, 또는 이력 데이터(예를 들어, 데이터베이스(들)(1955)의 데이터)에 기초하여 할당될 수 있다. 일 양태에서, 평균의 계산은 컴퓨터 시뮬레이션의 결과, 또는 수집된 데이터 세트와 관련된 실질적으로 모든 상황에 적용 가능할 수 있는 매우 간단한 연산으로 간주될 수 있기 때문에, 수치 세트로부터 평균을 생성하는 프로시저의 관성은 실질적으로 낮을 수 있다(예를 들어, t=1). 유사하게, 수치 세트를 변환하는 최대화 및 최소화 프로시저는 상당히 낮은 관성 값이 부여될 수 있다. 대안적으로, 범위를 계산하고 표준 편차를 계산함으로써, 그러한 지식 요소를 적용하기가 더 어렵기 때문에 더 높은 관성 값(예를 들어, t=2)이 구해질 수 있는 반면에, PCA를 계산함으로써, 보다 상위 레벨의 관성을 표시할 수 있고, MSPCA를 계산함으로써, 더 높은 관성 값을 또한 가질 수 있다.
AKM(2330) 및 AWM(2310)(아래 참조)으로부터 통신할 개념(들)을 결정하기 위해, 상황 스코어가 사용될 수 있다. 상황 스코어 임계치를 초과하는 지식 요소 또는 개념은 AWM(2310)에 전달될 수 있는 자격이 있다. 개념을 유지하기 위한 AWM(2310)의 충분한 가용 저장소가 있고, AWM(2310)에 전달되지 않은 더 높은 상황 스코어를 갖는 상이한 개념이 없는 경우, 이러한 개념이 전달될 수 있다. AWM(2310)에 있는, 개념의 적합성 및 이에 따른 개념의 상황 스코어는 시간이 경과함에 따라 감소할 수 있으며, 이는 이미 메모리에 있는 하나 이상의 개념이 더 이상 필요하지 않거나 더 이상 적용 가능하지 않는 경우, 더 높은 적합성을 가진 새로운 개념이 인식 작업 메모리(2310)에 들어갈 수 있게 할 수 있다. 개념의 관성이 더 클수록, 개념이 AWM(2310)으로 전달되는 시간 및 AWM(2310)으로부터 제거되는 시간이 모두 더 오래 걸린다는 것을 유의한다.
제조 플랫폼/도구 시스템 상태가 변경되는 경우, 예를 들어, 스퍼터 타겟이 교체되거나, 전자빔 건이 추가되거나, 증착 공정이 종료되거나, 현장 프로브가 개시되거나, 어닐링 단계가 완료되는 등의 경우, 인식 플래너(2350) 위버봇은 어떤 개념(예를 들어, 지식 요소)이 새로운 상태에 적용될 수 있는지를 기록할 수 있고, AKM(2330)에서 각각의 그러한 개념의 적합성 값 및 이에 따른 상황 스코어를 증가시킬 수 있다. 유사하게, 오토봇(22151 내지 2215N)의 활성화 에너지는, 특정 오토봇의 활성화 에너지를 감소시키고, 새로운 상황에 적합한 오토봇의 EA를 증가시키도록, 위버봇에 의해 조정될 수 있다. 적합성(및 상황 스코어)의 증가량은 플래너 위버봇에 의해 그러한 개념의 제1 이웃으로 분산된 다음, 제2 이웃 등으로 분산될 수 있다. AKM(2330)의 제1 개념의 이웃은 위상학적 의미에서, 선택된 척도(예를 들어, 홉 수, 유클리드 거리 등)에 따라 제1 개념으로부터 특정 거리 내에 위치하는 제2 개념일 수 있음을 이해해야 한다. 원래의 적합성 증가량을 수신한 제1 개념으로부터 제2 개념이 더 멀어질수록, 제2 개념의 적합성 증가량이 더 작아진다는 점을 유의한다. 따라서, 적합성(및 상황 스코어) 증가량은 "개념적 거리"에 따른 감쇠된 확산을 나타낸다.
아키텍처(2100)에서, 자기 인식 구성 요소(2150)는 인식 스케줄 어댑터(ASA)(2360)를 포함하며, 인식 스케줄 어댑터(ASA)(2360)는 인식 플래너 구성 요소(2350)의 확장부일 수 있고, (예를 들어, 센서 구성 요소(1925)를 통해, 상호 작용 구성 요소(1930)를 통해, 입력(1730)을 통해, 또는 (피드백) 링크(1755)를 통해) 수집 외부 데이터 또는 내부 데이터의 변경을 요청 및 수행할 수 있다. 일 양태에서, 인식 스케줄 어댑터(2360)는 데이터 샘플링 빈도 조정을 도입할 수 있으며, 예를 들어, 이는 어댑터 구성 요소(1935)의 상이한 어댑터가 ASM(2320)을 위해 의도된 지식 네트워크(1975)(예를 들어, 정보 입력(1958))로 데이터를 전달할 수 있는 속도를 조절할 수 있다. 더욱이, 인식 스케줄 어댑터(2360)는 낮은 빈도로 샘플링할 수 있거나, 정상 데이터 패턴의 표현에 포함되지 않는 공정 변수와 관련된 데이터 모음, 또는 적응형 추론 엔진(1710)에 수신된 데이터로부터 추론되는 바와 같은 목표의 수행을 진행하지 못하는 변수를 실질적으로 제거할 수 있다. 반대로, ASA(2360)는, 정상 데이터 패턴에서 광범위하게 사용되거나 목표를 능동적으로 진행시킬 수 있는 변수 세트를 더 높은 빈도로 샘플링할 수 있다. 또한, 제품 품질 또는 공정 신뢰성이 정상 데이터 패턴으로부터 점진적으로 벗어나고 있음(또는 목표 변화로 인해, 부정합이 존재하거나 목표 공간에서 초기 목표로부터 크게 벗어나고 있음)을 측정 데이터가 나타내는, 제조 플랫폼/도구 시스템(1910)의 상태의 변화(또는 특정 목표와 관련된 상황의 변화)를 자율 학습 시스템(1960)이 인식하는 경우, 자율 학습 시스템은 ASA(2360)를 통해 더 신속한 데이터 샘플링을 요청할 수 있으므로, 부정합 및 공정 성능 저하를 효과적으로 검증할 수 있고 적절한 보정 공정 조치 또는 능동 차단을 트리거할 수 있는 다량의 실행 가능한 정보(예를 들어, 입력(1730))를 수집할 수 있다.
액터(1990)(예를 들어, 휴먼 에이전트)는 (예를 들어, 성공적으로 조정된 목표의 예시를 포함하는) 하나 이상의 에피소드의 정의를 포함할 수 있는 다수의 방식으로 자기 인식 구성 요소(2150)를 트레이닝할 수 있다. 에피소드에 대한 자기 인식 구성 요소(2150)를 통한 자율 학습 시스템(1960)의 트레이닝은 다음과 같이 수행될 수 있다. 액터(1990)는 에피소드를 생성하고, 고유한 명칭을 에피소드에 제공한다. 그 다음, 새롭게 생성된 에피소드에 대한 데이터는 자율 학습 시스템(1960)에 제공될 수 있다. 데이터는, 도구 시스템(1910)의 단일 특정 작업 단계 동안의 특정 센서의 데이터, 단일 특정 단계 동안의 파라미터 세트, 가동 동안의 단일 파라미터 평균 등일 수 있다.
대안적으로 또는 추가적으로, 액터(1990)에 의해 더 많은 기본 지침이 제공될 수 있다. 예를 들어, 현장 지원 엔지니어는 도구 시스템(1910)에 대한 예방적 도구 유지 보수(PM)를 수행할 수 있다. PM은 계획되어 주기적으로 수행될 수 있거나, 이는 미리 계획되지 않거나 비동기식일 수 있다. 자율 학습 시스템(1960)의 요청에 응답하여, 일상적인 예방적 유지 보수에 응답하여, 또는 예정되지 않은 유지 보수에 응답하여, 예방적 도구 유지 보수가 제조 시스템에 대해 수행될 수 있음을 이해해야 한다. 연속적인 PM 사이의 시간 간격이 경과하고, 이러한 시간 간격 동안, 하나 이상의 공정(예를 들어, 웨이퍼/로트 제조)이 도구 시스템에서 수행될 수 있다. 영향을 받는 플래너 및 미리 계획되지 않은 유지 보수와 같은, 데이터 및 제품 자산 및 관련 정보를 통해, 자율 학습 시스템은 "고장 사이클"을 추론할 수 있다. 따라서, 자율 학습 시스템은 자산(들)(1928)을 이용하여, 고장들 사이의 평균 시간(MTBF)을 추론할 수 있다. 이러한 추론은 임계 데이터 및 제품 자산에 따른 고장 수명(time-to-failure) 모델을 통해 지원된다. 또한, 자율 학습 시스템(1960)은, 정보 I/O(1958)로서 수신된 서로 다른 자산 간의 관계를 통해, 또는 전문 액터에 의해 제공되는 지도형 트레이닝 세션으로부터 비롯되는 이력 데이터를 통해, 모델을 전개할 수 있다. 전문 액터는 트레이닝된 서로 다른 자율 학습 시스템과 상호 작용하는 서로 다른 액터일 수 있음을 이해해야 한다.
액터(1990)는, 웨이퍼 레벨 가동 데이터를 평균할 수 있고 PM 간격에 걸친 임계 파라미터의 추이를 평가할 수 있음을 시스템에 통지함으로써 자율 시스템을 가이드할 수 있다. 또한, 자율 시스템에 의해 더 어려운 훈련이 수행될 수 있으며, 액터(1990)는 학습 명령을 통해, 자율 학습 시스템(1960)이 각각의 미리 계획되지 않은 PM 전에, 웨이퍼 평균 레벨로 데이터 패턴을 특성화하는 것을 학습하도록 지시한다. 이러한 명령은 자율 학습 시스템(1960)이 미리 계획되지 않은 PM 전에, 데이터 패턴을 학습하도록 촉진시킬 수 있으며, 데이터 패턴이 인식 오토봇에 의해 식별될 수 있는 경우, 자기 인식 구성 요소(2150)는 시간이 지남에 따라 이러한 패턴을 학습할 수 있다. 패턴을 학습하는 동안, 인식 구성 요소(2150)는 자기 개념화 구성 요소(2160), 또는 오토봇 구성 요소(2140)에 위치하는 인식 오토봇으로부터 지원(또는 서비스)을 요청할 수 있다. (예를 들어, PCA 분해 계수에 반영된 바와 같은 패턴의 재현성 정도, K-클러스터 알고리즘의 지배적 클러스터의 크기, 또는 서로 다른 파라미터 및 시간의 세트에 따른 제1 파라미터의 크기의 예측 등에 의해 측정되는) 높은 신뢰도로 도구 시스템에 대한 패턴이 학습된 경우, 자율 생물학적 기반 학습 시스템(1960)은 도구 유지 보수를 해야 하게 만들 수 있는 고장과 관련된 참조 에피소드를 생성할 수 있으므로, 참조 에피소드의 발생 전에 경보가 트리거될 수 있다. 오토봇 구성 요소(2140)에 상주할 수 있는 인식 오토봇은, 그것이 필요하기 전에, 미리 계획되지 않은 유지 보수가 필요할 수 있는 실질적으로 임의의 특정 상황, 또는 고장 참조 에피소드에 대한 데이터 패턴을 완전히 특성화하지 못할 수 있음을 유의한다. 그럼에도 불구하고, 심층 동작 및 예측 함수 분석을 포함할 수 있는 도구 시스템(1910)의 이러한 예방적 상태 관리는, 자기 개념화 구성 요소(2160)의 오토봇에 의해 수행될 수 있음을 이해해야 한다.
도 24는 인식 작업 메모리(2320)에서 작업할 수 있는 오토봇의 도면(2400)이다. 도시된 오토봇(정량자(2415), 예상 엔진(2425), 서프라이즈 스코어 생성기(2435), 및 요약 생성기(2445))은 인식 엔진(예를 들어, 오토봇(2415, 2425, 2435, 및 2445)과 같은, 기본 구성 요소의 협동 작업으로부터 신생 특성이 발생하는 가상의 신생 구성 요소)을 구성할 수 있다. 인식 엔진은, 하나 이상의 플래닝 위버봇이 조정된 오토봇 모음을 사용하여, 지적인 활동을 수행할 수 있는 방법의 일 실시예라는 점을 이해해야 한다. 플래닝 위버봇은, 자율 생물학적 기반 학습 시스템에 수신된 데이터의 패턴을 특성화하기 위해, 다양한 오토봇(예를 들어, 평균, 표준 편차, PCA, 웨이브릿, 미분 등) 또는 자기 개념화 구성 요소(1560)의 서비스를 사용한다. 각각의 단계, 가동, 로트 등 가동에 대한 데이터는, 트레이닝 동안 외부 엔티티에 의해 정상 또는 비정상으로 라벨링될 수 있다. 정량자(2415)는, 프로토 타입의 정상 공정에 대한 데이터 패턴을 학습하기 위해 정상 데이터를 활용하도록 위버봇을 플래닝함으로써 사용될 수 있다. 또한, 정량자(2415)는 ASM(2320)에 저장된 라벨링되지 않은 데이터 세트(예를 들어, 정보 입력(1958))를 평가할 수 있고, 정상 데이터 패턴을 라벨링되지 않은 데이터의 데이터 패턴과 비교할 수 있다. 정상 데이터에 대한 예상 패턴, 또는 정상 데이터로 파라미터를 예측하기 위한 방정식은 예상 엔진(2425)을 통해 저장 및 조작될 수 있다. 라벨링되지 않은 데이터의 패턴은 다수의 계측치에 따라, 다양한 방식으로 정상 데이터 패턴과 상이할 수 있음을 유의해야 한다; 예를 들어, 호텔링(Hotelling) T2 통계(PCA 및 MS-PCA에 적용되고 트레이닝 가동으로부터 유도됨)에 대한 임계치를 초과할 수 있고; 라벨링되지 않은 데이터 세트의 데이터 서브 세트의 평균은 정상 트레이닝 가동 데이터로 계산된 평균과 36 초과(또는 다른 미리 결정된 편차 간격)만큼 상이할 수 있으며; 측정된 파라미터의 추이는 정상 가동과 관련된 데이터에서 관찰된 것과 실질적으로 상이할 수 있는 등의 식이다. 따라서, 요약 생성기(2445)는 정상 데이터에 대한 성분의 벡터를 생성하는 반면에, 서프라이즈 스코어 생성기(1835)는 벡터의 성분의 이러한 실질적으로 모든 차이를 통합하여 랭킹하거나 가중치를 부여할 수 있고, 도구 시스템의 건강 상태를 반영하고 도구 시스템이 "정상 상태로부터 얼마나 멀리 벗어나 있는지"를 반영하는, 도구 시스템에 대한 순 성능 저하 서프라이즈 스코어를 계산할 수 있다. 정상 및 라벨링되지 않은 계측치 간의 차이는 시간에 따라 달라질 수 있음을 이해해야 한다. 따라서, 증가하는 양의 정상 데이터의 수집을 통해, 자율 학습 시스템(1960)은 시간이 지남에 따라 더 높은 레벨의 통계적 신뢰도로 다양한 작업 한계를 학습할 수 있으며, 예를 들어 서프라이즈 스코어를 통해 측정되는 바와 같은 성능 저하 조건에 따라 제조 공정 방식(예를 들어, 목표)을 조정할 수 있고, 요약 생성기(2445)를 통해 액터에게 리포트될 수 있다.
도 25는 자율 생물학적 기반 학습 시스템의 자기 개념화 구성 요소의 예시적인 실시형태(2500)를 도시한다. 자기 개념화 구성 요소의 기능은 중요한 반도체 제조 도구 관계 및 표현에 대한 이해를 확립하는 것이다. 이러한 이해는 제조 공정(예를 들어, 목표)을 조정하기 위해 사용될 수 있다. 이러한 습득된 이해는 최종 사용자(예를 들어, 액터(1990))가 제공한 지침과 함께 또는 자율적으로 확립된다. 다른 주요 기능적 구성 요소(2150 및 2160)와 유사하게, 자기 개념화 구성 요소(2160)는 메모리, 연산 장치, 또는 오토봇, 및 플래너의 계층의 관점에서 반복적으로 어셈블링되거나 정의된다; 이러한 구성 요소들은 우선순위-가능 지식 네트워크와 통신할 수 있다.
실시형태(2500)는, 자기 개념화 구성 요소(2160)의 작업에 필요한 개념(예를 들어, 특성, 엔티티, 관계, 및 프로시저)을 포함하는 개념화 지식 메모리(CKM)(2510)를 도시한다. CKM(2510)의 개념은, (i) 단계, 가동, 로트, 유지 보수 간격, 습식 세척 사이클, 단차 측정, 웨이퍼 측정, 로트 측정, 웨이퍼 상의 위치, 웨이퍼 영역, 웨이퍼 중심, 웨이퍼 에지, 첫 번째 웨이퍼, 마지막 웨이퍼 등과 같은 영역별 개념; 및 (ii) 수치, 상수(예를 들어, e, π), 변수, 순서, 시간 순서, 행렬, 시간 행렬, 세립형(fine-grained) 작용, 조립형(coarse-grained) 작용 등과 같은 범용 영역 독립적 개념을 포함한다. 자기 개념화 구성 요소는, 가산, 감산, 곱하기, 나누기, 제곱, 세제곱, 거듭제곱, 지수, 로그, 사인, 코사인, 탄젠트 등과 같은 광범위한 범용 기능적 관계; 및 다양한 레벨의 세부 사항을 제공할 수 있고 적응형 개념화 템플릿 메모리(ACTM)(2520)에 위치할 수 있는 다른 영역별 기능적 관계를 더 포함한다.
ACTM(2520)은, 도구 시스템(1910)(반도체 제조 플랫폼 도구)과 상호 작용하는 액터(예를 들어, 최종 사용자)에게 완전히 또는 부분적으로 알려진 기능적 관계를 보유할 수 있는 CKM(2510)의 확장부이다. ACTM은 CKM의 논리적 확장부이지만, 실제 메모리 저장소는 자기 개념화 구성 요소(2160) 내의 단일 저장소 장치로 간주될 수 있기 때문에, 오토봇, 플래너 및 다른 기능적 구성 요소는 그러한 분리에 의해 영향을 받지 않음을 유의해야 한다. 자기 개념화 구성 요소(2160)는, 개념화 작업 메모리(CWM)(2540)의 확장부인 개념화 목표 메모리(CGM)(2530)를 더 포함할 수 있다. CGM(2530)은, 현재 목표의 오토봇이 예를 들어, (f, 압력, 시간, 단계)를 학습할 수 있게 할 수 있고, 특정 공정 단계 동안, 압력의 함수(f)(여기서, 함수가 시간에 따라 달라짐)를 학습할 수 있게 할 수 있다. 학습 함수(f)는, 도구 시스템(1910)을 사용하여 반도체 소자를 제조하는 목표를 달성하는 것을 가능하게 할 수 있는 하위 목표를 나타낸다는 것을 유의해야 한다.
또한, ACTM(2520)의 개념은 상황 스코어를 유발할 수 있는, 적합성 수치 특성 및 관성 수치 특성을 갖는다. 관성 값은 학습될 개념의 가능성을 나타낼 수 있다. 예를 들어, 행렬 개념에 대한 더 높은 관성 값, 및 시간 순서 개념에 대한 더 낮은 관성은, 자기 개념화 구성 요소(2160)가 행렬의 데이터의 기능적 작용이 아닌 시간 순서의 기능적 작용을 학습할 수 있는 상황을 유발할 수 있다. 자기 인식 구성 요소(2150)와 유사하게, 더 낮은 관성을 갖는 개념은 CKM(2510)으로부터 CWM(2540)으로 전달될 가능성이 더 높다.
개념적 플래너(CP)는 다양한 오토봇에 활성화 에너지를 제공하며, 현재 상황 정보, 도구 시스템(1910)(또는 알반적으로 목표 구성 요소(1720))의 현재 상태, CWM(2540)의 콘텐츠, 또는 CWM(2540)에서 활성화된 현재 오토봇(들)에 따라, CKM(2510) 및 ACTM(2520)의 다양한 개념에 상황 에너지를 제공한다. 적응형 추론 엔진에 의한 추론은 개념의 전파 양태에 기초할 수 있기 때문에, 활성화 에너지 및 상황 에너지 변경은 CWM(2540) 또는 CKM(2510)의 개념에 대한 변경된 의미망의 결과로서 생성된 지식에 기초하는(예를 들어, 학습에 기초하는) 목표 조정을 유발할 수 있음을 이해해야 한다.
CTM(2520)의 콘텐츠는 위에서 설명된 지식을 표현할 수 있는 개념이므로, 이러한 개념은 적합성 및 관성 수치 특성을 가질 수 있다. CTM(2520)의 콘텐츠는, (더 낮은 관성을 가진 개념은 더 높은 관성을 가진 개념에 비해 활성화될 가능성이 더 높다는 제약에 따라) 도구 시스템(1910)의 기능적 작용을 학습하기 위해 오토봇에 의해 사용될 수 있다. 모든 지침이 동일한 관성을 가질 필요는 없다; 예를 들어, 두 개념이 완성 함수를 나타내더라도, 제2 완성 함수보다 더 낮은 관성이 제1 완성 함수에 제공될 수 있다.
부분적으로 정의된 방정식과 같은 부분적인 지식이 CWM(2540)에 업로드되는 경우, 이는 예를 들어, 기존 지식을 사용하여 완료될 수 있다(CP는 미지의 계수에 대한 값을 먼저 식별하기 위한 가용 데이터를 사용하도록 오토봇을 조정한다). 따라서, 애드혹(ad hoc) 계수 세트는 부분적으로 정의된 방정식 개념을 완성 함수 개념으로 완성할 수 있다. 그 다음, 완성 방정식 개념은 가산, 곱하기 등과 같은 사전 구축된 기능적 관계 개념에 사용될 수 있다. 출력을 갖는 기본 지식(예를 들어, 관계(출력(κE),T))은, CWM(2540)의 오토봇이 κE 및 T 간의 관계를 표현할 수 있는 최상의 함수를 식별하기 위해, κE 및 T에 대한 데이터를 포함하는 다양한 기능적 표현을 구성하여 평가할 수 있게 할 수 있다. 대안적으로, 출력이 없는 기본 지식은 CP의 지원을 통해, 오토봇이 출력으로서의 변수, 또는 독립 변수를 지정하여, 나머지 변수의 함수로서 이를 표현하려고 시도할 수 있게 할 수 있다. 적절한 기능적 표현이 구해지지 않는 경우, 대안적인 변수가 독립 변수로서 지정될 수 있고, 적절한 기능적 관계로 수렴할 때까지 프로세스가 반복되거나, 자율 학습 시스템(1960)은 적절한 기능적 관계가 구해지지 않음을 예를 들어 액터(1990)에게 표시한다. 식별된 적절한 기능적 관계는, CP에 의해 할당된 관성 레벨로 자율 학습 시스템(1960)에서 오토봇에 의해 사용되도록 CKM(2510)에 제출될 수 있다. 예를 들어, 할당된 관성은 식별된 관계의 수학적 복잡도의 함수일 수 있으며, 즉 다수의 변수, 파라미터, 및 연산자(예를 들어, 그레디언트, 라플라시안, 편도함수 등)를 포함하는 비선형 관계에 대해 할당된 관성보다 더 낮은 관성 값이 두 변수 간의 선형 관계에 할당될 수 있다.
개념화 엔진(2545)은, 인식 오토봇 및 개념화 오토봇의 조정된 활동을 제공할 수 있는 "가상 구성 요소"일 수 있다. 일 양태에서, 자기 인식 구성 요소(2150)는, (FF 루프(2152)를 통해) 변수 그룹(예를 들어, 그룹 내의 변수는 적절한 쌍별 상관관계 특성을 나타내는 변수일 수 있음)을 자기 개념화 구성 요소(2160)로 피드포워드할 수 있다. 전달된 정보는, 자기 개념화 구성 요소(2160)가 기능 관계 템플릿에 대해 CKM(2510) 및 ACTM(2520)을 확인할 수 있게 할 수 있다. 템플릿의 가용성은, 개념화 엔진(2545)에 위치할 수 있는 개념화 학습자(CL)의 오토봇이 전달된 그룹 내의 변수들 간의 기능적 작용을 보다 신속하게 학습할 수 있게 할 수 있다. 이러한 기능적 작용을 학습하는 것은 주 목표의 하위 목표일 수 있음을 이해해야 한다. CP 오토봇의 지원을 받는 CL 오토봇은 개념화 검사기(CV)의 오토봇을 사용할 수도 있다. CV 오토봇은 제안된 기능적 관계의 품질(예를 들어, 예측 값과 측정치 사이의 평균 오차가 기기 분해능 내에 있음)을 평가할 수 있다. CL 오토봇은 액터가 제공한 지침을 통해 또는 자율적으로 기능적 관계를 독립적으로 학습할 수 있다; 그러한 액터가 제공한 지침은 외부 데이터로서 간주될 수 있다. CL에 의해 학습된 함수는, 관심 변수들의 그룹으로서 자기 인식 구성 요소(2150)에 (예를 들어, FB 링크(2158)를 통해) 피드백될 수 있다. 예를 들어, 함수
Figure pct00011
를 학습한 후에(여기서,
Figure pct00012
(예를 들어, 점근적 에칭 속도) 및 U(예를 들어, 활성화 장벽)는 CL에 알려진 특정 값을 가짐), 자기 개념화 구성 요소(2160)는 지침 그룹(출력(
Figure pct00013
))을 자기 인식 구성 요소(2150)에 피드백할 수 있다. 이러한 피드백 통신은 자기 인식 구성 요소(2150)가 이러한 변수 그룹에 관한 패턴을 학습할 수 있게 함으로써, 변수 그룹과 관련된 성능 저하가 신속하게 인식될 수 있으며, 필요한 경우, 경보가 발생(예를 들어, 경보 요약, 확인된 경보 수신자 목록) 및 트리거될 수 있다. 메모리(2560)는 개념화 에피소드 메모리이다.
CL 및 CV와 관련된 이하의 두 가지 양태를 유의해야 한다. 첫째, CL은 기능적 관계를 간결한 수식으로 저장할 수 있게 하는, (예를 들어, 기호 조작을 통해) 방정식을 단순화할 수 있는 오토봇을 포함할 수 있다. 예를 들어,
Figure pct00014
관계는
Figure pct00015
로 단순화되며, 여기서
Figure pct00016
Figure pct00017
는 압력, 유량 및 배기 밸브 각도를 각각 나타낸다. 둘째, CV는 기능적 관계의 품질을 결정할 때 방정식의 구조의 복잡도를 고려할 수 있으며, 예를 들어, 예측값 대 측정치의 평균 오차와 같이, 실질적으로 동일한 특성을 가진 파라미터들의 경우, 더 복잡한 방정식 대신에 더 간단한 방정식이 바람직할 수 있다(예를 들어, 더 간단한 방정식은 더 낮은 개념 관성을 가질 수 있음).
추가적으로, 자기 인식 구성 요소(2150)로부터 자기 개념화 구성 요소(2160)로의 정보의 중요 FF(2152) 통신, 및 자기 개념화 구성 요소(2160)로부터 자기 인식 구성 요소(2150)로의 FB(2158) 통신은, 에피소드에 대한 데이터 패턴을 특성화하기 위해 인식 오토봇과 개념화 오토봇의 협력을 포함할 수 있다. 도 21과 관련하여 전술한 바와 같이, 자기 인식 구성 요소(2150)가 에피소드를 학습하는데 실패하는 경우, 자기 개념화 구성 요소(2160)는 적절한 기능적 관계 세트의 제공을 통해 자기 인식 구성 요소(2150)를 지원할 수 있다. 예를 들어, 에피소드의 특성화는, 도구 시스템(1910)으로 가동되는 공정의 안정화 단계에서 압력의 시간 종속성에 대한 세립형 표현을 필요로 할 수 있다. 자기 개념화 구성 요소(2160)는 안정화 단계에서 압력의 이러한 상세한(예를 들어, 초 단위) 시간 의존성을 구성할 수 있다. 따라서, FB 루프(2158)를 통해, 자기 인식 구성 요소(2150)는, 정상 도구 상황에서 안정화 단계 동안 압력의 패턴을 특성화하도록 학습할 수 있고, 학습된 압력 시간 종속성을 특정 에피소드 데이터의 압력의 패턴과 비교하도록 학습할 수 있다. 예를 들어, 자율 생물학적 기반 학습 도구(1900)에서 에피소드의 발생을 식별하는 데이터 패턴으로서, 에피소드의 데이터에 대한 안정화 단계 이전의 측정된 압력에서 급등이 존재하고, 정상 도구 작동 동안의 압력 데이터에서 급등이 없는 것이 검출될 수 있다.
유사하게, 예정되지 않은 PM의 예측은, 도구 시스템 데이터의 임계 측정치의 시간적 변동에 대한 지식, 및 자기 개념화 구성 요소(2170)에 의해 전달되는 예측 함수 세트의 가용성에 따라 좌우될 수 있다. 예측 함수는 예측이 시간에 따른 변수 세트의 예상 값에 따라 좌우되는 경우, 미리 계획되지 않은 PM의 신생 상황을 예측하도록 자기 인식 구성 요소(예를 들어, 구성 요소(2150))를 지원할 수 있다.
도 26은 자율 생물학적 기반 학습 시스템의 자기 최적화 구성 요소의 예시적인 실시형태(2600)를 도시한다. 전술한 바와 같이, 자기 최적화 구성 요소 기능은, 제조 플랫폼/도구 시스템(1910)의 현재 상태(예를 들어, 성능)를 분석한 다음, 부정합이 검출되는지를 결정하고, 현재 상태 분석의 결과에 기초하여, 도구 시스템(1910)의 상태 악화에 대한 실질적으로 모든 잠재적 원인 및 그러한 부정합의 원인을 진단 또는 랭킹하며, 보정 공정을 제공하기 위한 제조 플랫폼의 필요한 제어를 제공하기 위해, 자율 학습 시스템(1960)에 의해 달성된 학습에 기초하여, 부정합의 근본 원인을 식별하는 것이다. 다른 주요 기능적 구성 요소(2150 및 2160)와 유사하게, 자기 최적화 구성 요소(2170)는, 메모리 플랫폼(1965)에 속할 수 있는 메모리들의 계층, 및 처리 플랫폼(1985)의 일부일 수 있는 오토봇 및 플래너로부터 반복적으로 구축된다.
최적화 지식 메모리(OKM)(2610)는, 제조 플랫폼/도구 시스템(1910)의 작용의 진단 및 최적화와 관련된 개념(예를 들어, 지식)을 포함한다. 작용은 목표 또는 하위 목표를 포함할 수 있음을 이해해야 한다. 따라서, OKM(2610)은, 단계, 단계 데이터, 가동, 가동 데이터, 로트, 로트 데이터, PM 시간 간격, 습식 세척 사이클, 공정 방식, 센서, 제어기 등과 같은 영역별 또는 목표별 개념을 포함한다. 후자의 개념은 반도체 소자를 제조하는 도구 시스템(1910)과 관련된다. 또한, OKM(2610)은, 측정(예를 들어, 측정 모듈로부터의 측정), 순서, 비교기, 케이스, 케이스 인덱스(case-index), 케이스 파라미터, 원인, 영향, 인과관계 종속성, 증거, 인과관계 그래프 등을 포함할 수 있는 영역 독립적 개념을 포함한다. 또한, OKM(2610)은, 비교, 전파, 랭킹, 해결 등과 같은 기능적 관계 세트를 포함할 수 있다. 이러한 기능적 관계는 오토봇에 의해 이용될 수 있으며, 오토봇은 오토봇 구성 요소(2140)에 위치할 수 있고, 프로시저의 실행을 통해 이의 기능의 적어도 일부를 OKM(2610)에 부여할 수 있다. OKM(2610)에 저장된 개념은, 적합성 수치 특성 및 관성 수치 특성, 그리고 이로부터 유도된 상황 스코어 특성을 갖는다. 적합성, 관성 및 상황 스코어의 의미는, 자기 인식 구성 요소(2150) 및 자기 개념화 구성 요소(2160)에서의 의미와 실질적으로 동일하다. 따라서, 단계 데이터보다 더 낮은 관성이 가동 데이터에 제공되는 경우, 자기 최적화 구성 요소(2170) 플래너(예를 들어, 위버봇)는 OMK(2610)으로부터의 가동 데이터의 개념을 최적화 작업 메모리(OWM)(2620)로 전달할 가능성이 더 높다. 결과적으로, 가동 데이터와 단계 데이터 사이의 이러한 관성 관계는, 가동 관련 개념으로 작업하는 최적화 오토봇의 활성화 속도를 증가시킬 수 있다.
FF 링크(2152 및 2162)를 통해, 자기 인식 구성 요소(2150) 및 자기 개념화 구성 요소(2160)는, OKM(2610)에 저장된 개념의 상황 스코어, 및 최적화 플래너 구성 요소(2650)에 상주할 수 있는 최적화 플래너(OP)를 통한 최적화 오토봇의 활성화 에너지에 영향을 줄 수 있음을 유의해야 한다. OKM(2610)에 저장되어 자기 인식 구성 요소(2150) 및 자기 개념화 구성 요소(2160)를 통해 영향을 받는 개념은, 특정 상황 정보에 따라 최적화될 특정 목표의 양태를 결정할 수 있음을 이해해야 한다. 예를 들어, 공정 단계 동안의 데이터의 패턴이 크게 저하되었고 소재에서 부정합을 생성했음을 자기 인식 구성 요소(2150)가 인식하는 경우, 관련된 단계 개념의 상황 스코어가 증가될 수 있다. 따라서, 이 경우, OP는 보정 공정을 제공하기 위한 공정 동안(예를 들어, 목표를 수행하는 동안) 수행되는 일련의 단계를 변경하기 위해, 단계 개념과 관련된 최적화 오토봇에 추가적인 활성화 에너지를 공급할 수 있다. 유사하게, (예를 들어, FF(2162)를 통해) 자기 개념화 구성 요소(2160)로부터 수신된 FF 정보, 제품 로트에 대한 도구 측정치 사이의 새로운 기능적 관계를 자기 개념화 구성 요소(2160)가 식별하는 경우, 자기 최적화 구성 요소(2170)는, (1) 로트 개념의 상황 스코어, 및 (2) 로트 개념에 따라 좌우되는 기능을 가진 최적화 오토봇의 활성화 에너지를 증가시킬 수 있으므로, 로트 개념의 양태(예를 들어, 로트 내의 웨이퍼의 수 또는 유형, 로트의 비용, 로트에 사용되는 자원 등)를 변경할 수 있다.
도구 시스템(1910)의 상태 평가는 설명된 바와 같이 진단 엔진(2425)을 통해 수행될 수 있다. 상태 평가는 제조 공정의 하위 목표일 수 있음을 유의해야 한다. 진단 엔진(2425)은 종속성 그래프를 자율적으로 생성하고, 액터(1990)가 종속성 그래프를 확장시킬 수 있게 한다. (이러한 종속성 그래프는 외부 데이터 또는 내부 데이터로서 간주될 수 있다.) 인과관계 그래프는 도구 시스템(1910)에 의해 수행되는 공정의 역학, 및 액터(1990)에 의해 안출될 수 있는 진단 계획에 따라, 점진적으로 전달될 수 있다. 예를 들어, 인과관계 그래프는 "압력" 고장이 다음의 4가지 원인 중 하나로 인해 유발됨을 나타낼 수 있다: 증착 챔버에 누출이 있거나, 챔버 내로의 가스 유량에 결함이 있거나, (가스 유량의 크기를 제어하는) 배기 밸브 각도에 결함이 있거나, 압력 센서에 오류가 있음. 도구 시스템(1910)의 구성 요소는 선험적인 고장 확률을 갖는다(예를 들어, 챔버 누출은 0.01의 확률로 발생할 수 있고, 가스 유량은 0.005의 확률로 결함이 있을 수 있는 등). 또한, 액터(1990), 또는 자기 개념화 구성 요소(2160)는, 조건부 확률로 표현될 수 있는 압력 고장에 대한 조건부 종속성을 정의할 수 있다: 예를 들어, 챔버에 누출이 있는 경우 압력에 결함이 있을 확률은
Figure pct00018
일 수 있다. 일반적으로, 도구 고장의 원인과 인과 관계가 있는 조건부 확률은 액터(1990)에 의해 제공될 수 있다. 자율 학습 시스템(1960)은 액터(1990)에 의해 정의된 확률 할당치가 대략적인 추정치일 수 있다고 가정하며, 이는 많은 경우에 물리적 확률(예를 들어, 관측에 의해 지원되는 실제 확률)과 상당히 상이할 수 있음을 유의해야 한다. 인과관계 그래프의 실시예가 아래의 도 27a 및 도 27b와 관련하여 제시되고 다음에 설명된다.
자기 최적화 구성 요소(2170)는, 도구와 관련된 정보 I/O(1958)를 통해 제조 플랫폼/도구 시스템(1910)의 성능에 관한 예측 세트를 생성할 수 있는 예측 구성 요소(2660)를 더 포함할 수 있다. 이러한 정보는, 기능적 구성 요소에 의해 사용되는 재료의 품질; 굴절률, 광흡수 계수, 또는 제품 자산(1928)이 운반체로 도핑된 경우 자기 수송 특성과 같은, 제조 플랫폼/도구 시스템(1910)에 의해 생성된 제품 자산(1928)의 물리적 특성 등을 포함할 수 있다. 다수의 기술이 예측 구성 요소(2660)에 의해 사용될 수 있다. 기술은, 정보(1958)를 처리할 때 자기 인식 구성 요소에 의해 사용될 수 있는 그러한 기술과 실질적으로 동일한 제1 특성화 기술을 포함하며, 즉, 예를 들어, (i) 푸리에 변환, 가보(Gabor) 변환, 웨이브릿 분해, 비선형 필터링 기반 통계 기술, 스펙트럼 상관관계를 사용하는 주파수 분석; (ii) 시간 의존적 스펙트럼 특성(센서 구성 요소(1925)에 의해 측정될 수 있음), 프왱카레 맵과 같은 비선형 신호 처리 기술, 및 랴푸노프(Lyapunov) 스펙트럼 기술을 사용하는 시간 분석; (iii) 실제 또는 신호 공간 벡터 진폭 및 각도 변동 분석; (iv) 이상 예측 기술 등을 포함한다. 분석 (i), (ii), (iii) 또는 (iv)를 통해 생성된 데이터 자산 또는 정보는, 신경망 추론, 퍼지 로직, 베이스(Bayes) 네트워크 전파, 유전 알고리즘과 같은 진화 알고리즘, 데이터 융합 기술 등과 같은, 예측 기술로 보완될 수 있다. 구성 요소(2140)에 위치할 수 있는 최적화 오토봇, 및 최적화 플래너 구성 요소(2650)에 의해 생성된 적합한 보정 조치와 함께, OKM(2610)에서 이용 가능한 정보 뿐만 아니라, 센서 구성 요소(1925)에 의해 탐색되는 바와 같은 특성 또는 특정 자산에서의 약화 추이의 식별을 통해, 도구 시스템(1910)의 최적화를 가능하게 하기 위해, 분석 및 예측 기술의 조합이 이용될 수 있다.
도 27a는 자기 개념화 구성 요소(2130)에 의해 생성된 예시적인 인과관계 그래프(2700)를 도시한다. 인과관계 그래프는 자기 개념화 구성 요소(2130)에 의해 예측되는, 수학 함수 또는 관계의 종속 변수와 독립 변수 간의 관계를 나타낸다. 예를 들어, 압력(P), 가스 유량(Φ), 및 밸브 각도(θ)의 데이터에 액세스함으로써, 자기 개념화 구성 요소(2130)는 곡선 피팅, 선형 회귀, 유전 알고리즘 등과 같은 하나 이상의 수학적 기술을 사용하여, 데이터 입력 또는 독립 변수(가스 유량, 밸브 각도, 온도, 습도 등)에 따른 관심 출력 또는 종속 변수(예를 들어, 압력)에 대한 예측 함수(2710)를 개념화하거나 학습할 수 있다. 예시적인 학습된 예측 함수(2710)는 2개의 입력 변수(Φ, θ)와 압력 사이의 다음의 관계일 수 있다:
Figure pct00019
. 이러한 학습된 함수로부터, 자기 개념화 구성 요소(2160)는 종속성 그래프(2700)를 자율적으로 구성한다.
종속성 그래프(2700)를 생성하기 위해, 자기 개념화 구성 요소(2160)는 2개의 단계로 진행할 수 있다. (i) 비교기(2720)는 단일 학습 함수(2710)를 입력으로서 수신하는 루트 노드(root node)로서 도입된다. 비교기(2720)의 고장은 생물학적 기반 자율 학습 시스템을 사용하는 제조 플랫폼/도구 시스템(1910)의 고장을 의미한다. 비교기 고장은 예를 들어, 소재 특성의 측정 값을 학습 함수(2710)를 통해 생성된 예측 값과 비교하는 것에 기초할 수 있는 부울 값(예를 들어, "통과/실패"(2730)) 결과일 수 있다. 자기 개념화 구성 요소(2160)는, 예측된 압력 값과 수집된 압력 데이터(예를 들어, 센서 구성 요소에 위치하는 압력 센서에 의해 보고됨) 사이의 평균 차가 사용자 지정된 범위 내에서 유지되지 못하는 경우(예를 들어, 평균 차가 예측된 압력의 5% 내에 유지), 비교기(2720)의 고장을 표시한다. 비교기(2720)의 고장은 예측 함수(2710)의 출력에 따라 좌우된다. 따라서, 비교기 고장은 압력 판독의 실패(PR(2740))에 따라 좌우된다(영향을 받는다); 이는 압력 센서(PS(2743))가 고장이거나 물리적 압력(예를 들어, 물리량 PP(2746))에 결함이 있기 때문에 실패할 수 있다. 압력 기구(PM(2749))가 고장일 수 있기 때문에, 물리적 압력(PP(2746))에 결함이 있을 수 있다. 따라서, 시스템은 PR(2740)과 {PS(2743), PP(2746)} 사이 그리고 PP(2740)와 {PM(2749)} 사이의 종속성을 자율적으로 생성한다.
(ii) 학습 함수(2710)의 종속 변수는 다음과 같이 종속성 그래프를 완성하기 위해 사용된다. 물리적 기구(PM(2749))는 가스 유량 판독(ΦR(2750))이 실패하거나 밸브 각도 판독(θR(2760))이 실패하는 경우(학습 함수(2710)의 종속 변수), 고장일 수 있다. 따라서, 자기 개념화 구성 요소(2160)는 PM(2749)과 {θR(11150), ΦR(2760)} 사이의 종속성을 생성한다. ΦR(2750)과 {ΦS(2753), ΦP(2756)} 사이 그리고 θR(2760)과 {θS(2763), θP(2766)} 사이의 종속성을 생성하기 위해, 판독 실패에 대한 실질적으로 동일한 처리 또는 추론이 자기 개념화 구성 요소(2160)에 의해 사용될 수 있다. 그 다음, 자기 개념화 구성 요소(2160)는 ΦP(2756)와 {M(2759)} 사이 그리고 θP와 {θM} 사이의 종속성을 추가할 수 있다. 물리량(예를 들어, PP(2746), ΦP(2756), θP(2766))과 관련 기구(예를 들어, PM(2749), ΦM(2759), 및 θM(2769)) 사이의 관계는 중복적이며 명확성을 향상시키도록 제시되고, 기구 노드(예를 들어, 노드(2749, 2759 및 2769))는 제거될 수 있으며, 그들의 자손(children)은 연관된 물리적 크기 노드(예를 들어, 노드(2746, 2756, 및 2769))의 자손으로 이루어질 수 있음을 유의해야 한다.
종속성 그래프(2700)와 같은 종속성 그래프에서, 리프 레벨(leaf-level) 노드는 물리적 고장 지점(예를 들어, 노드(2740, 2743, 2746 및 2749); 노드(2740, 2753, 2756, 및 2759; 및 2760, 2763, 2766, 및 2769))이다. 일 양태에서, 액터(예를 들어, 사용자일 수 있는 액터(1990))는 모든 물리적 고장 지점에 대해 선험적 확률을 갖는 생물학적 자율 학습 시스템을 제공할 수 있다. 이러한 선험적 확률은 구성 요소, 현장 데이터, MTBF 데이터 등에 대한 제조 사양으로부터 획득될 수 있거나, 제조 도구에 존재하고 적절한 제조 공정에 관련되는 부품의 성능을 시뮬레이션함으로써 생성될 수 있다. 또한, 액터는 이전 경험, 판단, 현장 데이터, 및 가능한 고장 모드에 기초하여 조건부 확률을 제공할 수 있다(예를 들어, 제1 고장이 존재하면 제2 고장의 확률을 제거할 수 있거나, 제1 고장이 제2 고장의 발생 확률을 증가시킬 수 있는 등이다). 예를 들어, 구성 요소(1940)와 같은 상호 작용 구성 요소를 통해, 선험적 및 조건부 확률을 수신하면, 자율 시스템은 자율 시스템에 제출된 실제 고장 데이터에 기초하여 확률을 업데이트하도록 학습하는 것과 함께, 베이지안 네트워크 전파를 사용할 수 있다. 따라서, 액터에 의해 제공된 초기 확률이 잘못된 경우, 자율 시스템은 현장 데이터가 고장 결과(즉, 비교기의 통과 또는 실패 결과)와 모순되거나 지지함에 따라, 확률을 조정한다.
액터(예를 들어, 사용자일 수 있는 액터(1990))는 기구 고장에 기반하는 자율적으로 생성된 종속성 그래프(예를 들어, 종속성 그래프)에 종속성을 추가할 수 있음을 유의해야 한다. 이러한 추가는 예를 들어, 상호 작용 관리자(1955)를 통해 수행될 수 있다. 일 양태에서, 예시로서, 종속성 그래프(2700)는 {ΦR(2750), θR(2760), PLEAK(2770), 및 PALT(2773)}에 대한 PM(2749)의 종속성을 초래하는 PLEAK(2770) 및 PALT(2773)로 라벨링된 2개의 노드로 증가된다. 종속성 그래프(2700)는 더 깊은 그래프로도 증가될 수 있음을 이해해야 한다. 노드(PLEAK(2770))를 추가함으로써, 가스 유량 판독 또는 밸브 각도 판독의 실패 외에, 도구에 누출이 있는 경우에도 압력 기구가 고장일 수 있음을 자기 개념화 구성 요소(2160)를 통해 자율 시스템에 통지한다. 노드(PALT(2773))는 누출에 대한 대안 기구가 시스템 고장을 초래할 가능성을 나타낸다는 점에서 노드(2770)를 보완한다. 노드 또는 더 깊은 그래프를 추가하면, 액터는 노드에 대한 선험적 확률, 및 종속성을 표현하는 관련 조건부 확률을 할당한다.
학습 함수는 전술한 함수(
Figure pct00020
)보다 더 복잡할 수 있으며, 실질적으로 더 많은 독립 변수를 포함할 수 있지만, 인과관계 그래프는 실질적으로 동일한 방식으로 작성될 수 있음을 이해해야 한다.
도 27b는 예측 및 방식 비교기를 통한 예시적인 학습 함수 종속성 그래프의 도면(2780)이다. 학습 함수 비교기(예를 들어, 비교기(2720))와 더불어, 생물학적 기반 자율 학습 시스템은 하나 이상의 방식 비교기를 생성할 수 있다. 방식 비교기(예를 들어, 비교기 A(2795A) 또는 비교기 B(2795B))는, 방식 파라미터의 설정값을 도구 시스템(예를 들어, 도구 시스템(1910))의 관련 센서로부터 비롯되는 해당 평균 측정값 또는 판독값과 비교한다. 일 양태에서, 관련 센서 및 대응하는 규정된 값을 갖는 방식 파라미터(예를 들어, θ(2785A) 또는 Φ(2785B))의 모음이 주어지면, 자율 시스템은 각각의 세트 파라미터에 대해 방식 비교기를 생성한다. 예측 함수 비교기와 유사하게, 설정된 방식 값 및 판독값이 액터(예를 들어, 액터(1990))에 의해 결정될 수 있는 특정 임계치만큼 상이한 경우, 방식 비교기는 고장 신호를 보낸다. 도면(2780)에서, 공정 압력이 특정 값으로 설정되지 않기 때문에, 압력에 대한 방식 비교기가 생성되지 않음을 유의해야 한다.
근본 원인을 식별하기 위해, 예를 들어 최고 고장 확률을 갖는 물리적 고장 지점을 식별하기 위해, 생물학적 기반 자율 학습 시스템은 하나 이상의 예측자 또는 방식 비교기의 고장을 활용하여, 종속성 그래프에 존재하는 모든 물리적 고장 지점을 랭킹할 수 있다. 일 양태에서, 하나 이상의 비교기를 갖는 완전한 종속성 그래프의 경우, 생물학적 기반 자율 학습 시스템은 비교기의 고장 특징(failure signature)이 주어지면, 베이지안 추론을 사용하여 확률을 전파할 수 있다. 따라서, 시스템은 각각의 비교기에 대한 특정 통과/실패 결과(예를 들어, 비교기 A(2795A)에 대한 결과(2798A) 또는 비교기 B(2795B)에 대한 결과(2798B))에 대해 고장 확률을 계산할 수 있다. 예를 들어, 예측자 비교기(2720) 및 방식 비교기 A(2795A)는 실패하는 반면에, 비교기 B(2795E)는 통과한다고 가정한다. 자율 시스템은 비교기 실패가 주어진 경우 각각의 물리적 고장 지점에 대한 고장 확률을 계산할 수 있다. (예를 들어, 비교기(2795A) 및 비교기 A(2795A)가 실패하는 반면에, 비교기 B(2795E)가 통과하는 경우, 압력 센서 고장의 확률). 그 다음, 각각의 고장 지점은, 가장 가능성이 높은 고장(계산된 최고 확률) 또는 가장 가능성이 높은 근본 원인으로부터 가장 가능성이 낮은 고장(계산된 최저 확률)으로의 순으로 정렬된다. 조치 가능한 정보(예를 들어, 출력(1740))로 간주될 수 있는 근본 원인의 식별은, 추가적인 프로세스(예를 들어, 새로운 부품 주문, 유지 보수 서비스 요청(액터가 도구의 제조사 장소에 위치하거나 이와 통신함), 소프트웨어 업데이트 다운로드, 새로운 트레이닝 세션 스케줄링 등)를 위해 상호 작용 관리자를 통해 액터에게 전달될 수 있다.
도 28은 자율 생물학적 기반 학습 도구 시스템의 예시적인 그룹 전개의 상위 레벨 블록도(2800)를 도시한다. 자율 도구 시스템(28201 내지 2820K)의 그룹은, 자율 도구 시스템(28201 내지 2820K)의 그룹 및 자율 학습 시스템(1960)과 액터(1990)가 상호 작용할 수 있게 하는 인터페이스(1930)에 정보(1958)를 전달(출력) 및 수신(입력)하는 자율 생물학적 기반 학습 도구(1960)에 의해 제어될 수 있다. 개별적으로, 각각의 자율 도구 시스템(28201 내지 2820K)은 연관된 자율 학습 시스템(2850)에 의해 지원 또는 보조된다. 이러한 학습 시스템은 학습 시스템(1960)과 실질적으로 동일한 기능을 갖는다. 그룹(2810)에서, 각각의 자율 도구(28201 내지 2820K)는 연관된 로컬 액터(19901 내지 1990K)와의 각각 독립적인 상호 작용을 제공할 수 있음을 이해해야 한다. 그러한 액터는 위의 도 19와 관련하여 설명된 바와 같은 액터(1990)와 실질적으로 동일한 기능을 갖는다. 추가적으로, 자율 도구(28201 내지 2820K)와의 상호 작용은, 상호 작용 구성 요소(2840)를 통해, 그리고 전형적으로 그 모두가 특정 도구 시스템(예를 들어, 자산(28501 내지 2850K))인 자산 및 도구별 정보(예를 들어, 28481 내지 2848K)를 제공 및 수신함으로써, 자율 시스템(1900)에서와 실질적으로 동일한 방식으로 수행된다. 특히, 그룹 전개(2812) 시에, 각각의 액터(19901 내지 1990K)는 이의 관련 시스템 도구(예를 들어, 시스템 도구(28202))의 서로 다른 작업 양태를 모니터링할 수 있음을 이해해야 한다. 예를 들어, 로컬 액터(19901 내지 1990K)는 특정 출력 세트(예를 들어, 28601 내지 2860K)를 임계적으로 설정할 수 있다. 이러한 결정은 이력 데이터 또는 설계(예를 들어, 공정을 위한 방식)에 기초할 수 있거나, 생성된 패턴, 구조, 관계 등을 통해 자율적으로 비롯될 수 있다. 이러한 결정이 없는 경우, 그룹 자율 학습 시스템(1960)은 그룹 출력(2865)을 유발하는 실질적으로 모든 출력(예를 들어, 28601 내지 2860K)이 임계적인 것으로 가정한다.
일 양태에서, 자율 학습 시스템(1960)은 정상(예를 들어, 결함이 없음) 그룹 도구(2800) 작업 동안 임계 출력 파라미터에 대한 예상 값을 (시스템과 관련하여 전술한 학습 메커니즘을 통해) 학습할 수 있다. 일 양태에서, 측정 출력(2865)이 예상 출력으로부터 벗어나는 경우, 자율 학습 시스템(1960)은 그룹(2800) 성능의 성능 계측치를 성능 저하된 것으로 식별할 수 있다. 후자의 평가는 단일 자율 도구 시스템(1900)과 관련하여 설명된 것과 실질적으로 동일한 방식으로(즉, 자율 학습 시스템(1390)의 자기 인식 구성 요소를 통해) 진행될 수 있음을 이해해야 한다. 자율 그룹 도구(2800)가 저하된 성능을 나타낼 수 있지만, 자율 도구 시스템(2801 내지 2820K)의 서브 세트는 성능 저하되지 않은 출력을 제공할 수 있고, 미리 결정된 계측치에 대한 개별 예상 값을 충족시킬 수 있음을 유의해야 한다.
또한, 단일 도구 시스템(예를 들어, 도구 시스템(1910))의 시나리오와 유사하게, 자율 학습 시스템(1960)은 개별 도구 관련 출력 파라미터에 따라 임계 출력 파라미터에 대한 예측 모델을 구성할 수 있다. 이러한 출력 파라미터는 자산(1928) 입력/출력을 통해 수집될 수 있음을 이해해야 한다. 그룹 도구(2800)에서, 도구 출력(예를 들어, 28601 내지 2860K)의 측정치는, 각각의 자율 학습 시스템(예를 들어, 1960 또는 2850)에 존재하는 전개된 지식 네트워크를 통해 액세스될 수 있는 각각의 도구 시스템(28201 내지 2820K)에 위치하는 센서 구성 요소를 통해, 자율 생물학적 기반 학습 시스템(1960)에 이용 가능할 수 있음을 유의해야 한다.
또한, 자율 시스템(1960)은 플랫폼(2800) 또는 도구 그룹의 자산(1928)(예를 들어, 그룹 입력 데이터, 그룹 출력, 그룹 방식, 또는 그룹 유지 보수 활동)에 따라, 그룹 고장 수명의 예측 모델을 또한 구성할 수 있다. 일 양태에서, 그룹 고장 수명을 결정하기 위해, 자율 학습 시스템(1960)은, (예를 들어, 검사 시스템 또는 센서 구성 요소의 세트를 통해) 검출된 고장, 관련 자산(28501 내지 2850K), 출력(2801 내지 2860K), 및 도구(2801 내지 2820K) 세트의 실질적으로 모든 작업 도구에 대한 유지 보수 활동 사이의 시간을 포함하는, 고장 데이터를 수집할 수 있다. (이전 고장 평가의 결과로서, 그룹(2800)의 도구 세트(예를 들어, 도구(28201 내지 2820K))에 있는 특정 도구(예를 들어, 도구 시스템 2(28201) 및 도구 시스템 K(2820K))가 작동 불능일 수 있음을 이해해야 한다.) 수집된 데이터는, 그룹 자산(예를 들어, 입력, 방식 등), 출력, 및 유지 보수 활동에 따라 고장 수명에 대한 예측 함수를 학습하기 위해, (예를 들어, 자율 학습 시스템(1960)의 처리 구성 요소(1985)를 통해) 자율적으로 분석될 수 있다. 수집된 데이터로부터 구성된 그룹 고장 수명 모델은 그룹 도구(2800)의 성능에 영향을 미치는 실질적으로 지배적인 요인을 용이하게 표시할 수 있음을 이해해야 한다.
일 양태에서, 그룹 도구(2800)의 도구 시스템(예를 들어, 28201 내지 2820K)의 개별 구성 요소에 대해 구성된 고장 수명 모델은 부품 재고를 최적화하고 유지 보수 일정을 최적화하기 위해, 액터(1990)(예를 들어, 그룹 레벨 제어기)에 의해 사용될 수 있다. 그러한 최적화는 자율 시스템(1960)에 의해 적어도 부분적으로 수행될 수 있음을 이해해야 한다. 예를 들어, 자율 시스템은 MES(또는 ERP) 시스템에 액세스하여 가용 부품의 수를 식별한다. 특정 시간 기간(
Figure pct00021
) 내에 (예를 들어, 교체를 위해) 필요할 것으로 예상될 수 있고, 도구 시스템(28201 내지 2820K)에 기능을 제공하는 부품 세트(예를 들어, 시스템(1910)의 구성 요소(1915)와 같은, 기능적 구성 요소 내의 하나 이상의 구성 요소의 부품)가 재고가 있는 가용 공급량을 초과하는 경우, 추가적인 부품이 주문될 수 있다. 대안적으로 또는 추가적으로, 부품이 이용 가능한 경우, 필요한 부품의 예상 스케줄을 분석하여, 새로 주문할 최적의 또는 적절한 시간을 결정할 수 있다.
부품을 분석하여 상당히 짧은 시간 기간 내에 고장날 수 있는 부품을 식별하기 위한 자율 시스템(1360)에 이용 가능한 기회를 이용하기 위해, 이전에 스케줄링된 필요한 유지 보수 활동 동안의 유지 보수 스케줄이 재평가되어 최적화될 수 있음을 이해해야 한다. 또한, 현재 유지 보수 사이클 동안의 부품의 교체가 향후 스케줄링된 유지 보수 사이클의 부품 교체와 관련하여 유용한지 여부를 결정하기 위해, 그룹 또는 개별 고장 수명 스케줄은, 부품 비용, 부품 교체 시간 등과 같은 추가적인 정보를 통해 일 양태에서 자율적으로 보완될 수 있음을 이해해야 한다. 자율 시스템(1960)은, 그룹에 대한 출력 제품(예를 들어, 소재 등)당 비용, 및 그룹 도구(2800)의 작업 동안 특정 주문을 제조하기 위한 총 비용을 계산하기 위해, 그룹 도구(2800)의 작업과 관련된 다양한 비용을 입력으로서 취할 수도 있음을 유의한다. 개별 도구 자산(28501 내지 2850K)(예를 들어, 방식), 출력(28601 내지 2860K), 및 유지 보수 활동에 따라 비용 모델을 구축한 후에, 자율 시스템(1960)은 오름차순의 작업 비용으로 개별 도구 시스템(28201 내지 2820K)을 랭킹할 수 있다. 조합된 비용 데이터 자산을 사용하여, 개별 도구 시스템과 관련된 자산, 출력, 및 유지 보수 활동에 대한 비용의 예측 모델을 구성할 수 있으며, 예를 들어, 이러한 평가를 통해, 그룹 도구를 위한 작업 또는 유지 보수 비용에 실질적으로 영향을 미치는 연산 자산 및 변수를 식별할 수 있다. 일 양태에서, 자율 시스템(1960)은 비용을 최소화하기 위해, 생산 라인, 또는 플로워 플랜트의 장비 구성을 재설계하기 위한 가용 이력 데이터 자산을 사용할 수 있다. 또한, 이러한 최적화 프로세스 동안, 자율 시스템(1960)은, 대안적인 작업 패턴을 이용하기 위해 다양한 도구 시스템의 가동 정지에 의존할 수 있다. 또한, 자율 시스템(1960)은 비용-편익 분석을 사용하여, 특정 산출물의 제조가 고비용의 특정 도구 시스템의 산출물 없이 진행되는 일련의 트레이드-오프 시나리오를 결정할 수 있다.
도구 시스템(28201 내지 2820K)은 실질적으로 동일할 수 있거나, 서로 다를 수 있다(예를 들어, 도구 시스템(28201 내지 28203)은 스테퍼이고, 도구(2820j)는 스테퍼이며, 2820K-2820K는 터보 분자 진공 펌프이다). 전형적으로, 동종(예를 들어, 도구 시스템들이 비슷함)과 이종(예를 들어, 도구들이 서로 다름) 간의 주요한 차이점은 입력 및 출력 측정(예를 들어, 측정 자산)이 구별된다는 점에 있을 수 있다. 예를 들어, 도구 그룹 또는 플랫폼(2800)에 대한 관심 임계 출력은 D1 CD 균일성일 수 있지만, 그룹 도구 또는 플랫폼(2800)의 일부인 코팅 또는 막 형성 시스템은 이러한 출력 측정을 제공하지 못할 수 있다. 따라서, 자율 시스템(1960)은 개별 도구(예를 들어, 28201 내지 2820K) 출력에 따라 도구 그룹의 출력을 표현하기 위한 모델을 구성할 수 있다. 따라서, 그룹 성능이 저하된 것으로 확인되는 경우, 개별 도구와 관련된 개별 성능을 분석하여, 성능 저하를 유발함에 있어서 가장 큰 비중을 차지하는 도구를 분리시킬 수 있다.
도 29는 자율 도구 시스템의 집합체 전개도를 도시한다. 집합체 시스템(2910)은 자율 도구 집합체(29201 내지 2920Q) 세트를 포함한다. 각각의 도구 집합체는 자율 도구의 동종 또는 이종 그룹을 포함할 수 있으며, 예를 들어 자율 제조 설비(도시되지 않음), 또는 서로 다른 자율 제조 설비 세트를 포함할 수 있는, 서로 다른 자율 도구 그룹 세트를 포함할 수 있다. 예를 들어, 도구 집합체는 제조 플랫폼을 요청할 수 있다. 자율 집합체(29201 내지 2920Q)는 전형적으로 서로 다른 지리적 위치에 위치될 수 있음을 이해해야 한다. 유사하게, 제조 공정이 다수의 단계를 포함할 수 있기 때문에, 공장 내의 자율 도구 그룹은 플랜트 내의 서로 다른 위치에서 전개될 수 있다. 따라서, 제품 출력 체인(2965)은, 부분적으로 제조되거나 처리되거나 분석된 제품을 서로 다른 자율 도구 집합체(29201 내지 2920Q)에 제공할 수 있게 할 수 있다; 이러한 특징은 집합체(29201 내지 2920Q)와 관련된 출력/입력을 나타내는 양방향 화살표(29601 내지 2960Q)로 표시된다.
집합체 시스템(2910)은, 상호 작용 구성 요소(1940), 액터(1990), 및 자율 학습 시스템(1960)을 포함하는 자율 학습 시스템에 의해 자율적으로 지원될 수 있다. 일 양태에서, 자율 지원은 출력 자산(예를 들어, 출력(2965))의 전반적인 제조 효율성(OFE) 계측치를 개선하는 쪽으로 지향될 수 있다. 또한, 각각의 자율 도구 집합체(29201 내지 2920Q)는 상호 작용 구성 요소(2930), 및 자율 학습 시스템(2940)에 의해 차례로 자율적으로 지원될 수 있다. 인터페이스 구성 요소(2930)는 자율 학습 시스템(2940)과 액터(29901 내지 2990Q) 사이의 상호 작용을 가능하게 한다. 각각의 이러한 구성 요소의 기능은 시스템(1960) 및 시스템(2800)과 관련하여 전술한 각각의 구성 요소의 기능과 실질적으로 동일하다. 상호 작용 구성 요소(2930)와 자율 시스템(2940) 간에 통신되는 정보(2948I)(I=1, 2,..., Q)는 각각의 자율 도구 집합체(2920I)와 연관된다. 유사하게, 자율 도구 집합체(2920I)로 전달되고 이로부터 수신되는 자산(2950I)은 이에 특정된 것이다.
자율 도구 집합체(29101 내지 2910Q)의 성능을 해결하기 위해, 복합 집합체 인덱스(Ca)를 사용하여 제품을 식별하는 성능 태그를 통해, 제조 공정의 다단 특성이 통합될 수 있으며, 여기서 인덱스 a는 집합체 C(예를 들어, 자율 집합체(2920Q)) 내의 특정 도구 그룹, 및 가동 인덱스(R)를 나타내므로, 특정 제품과 관련된 제품 품질 또는 성능 계측치는 "그룹 계층 출력"으로 지칭될 수 있는 라벨(Ca; R)을 통해 식별된다. 이러한 라벨은 각각의 자율 작업 그룹을 개별 구성 요소(Ca)로서 식별할 수 있게 한다. 따라서, 자율 시스템(1960)은 제조 집합체(예를 들어, 자율 도구 집합체(29102))에 따라 그리고 각각의 제조 집합체 내의 도구 그룹에 따라, 품질 및 성능 계측치를 매핑할 수 있다. 후자는 먼저 집합체(예를 들어, 제조 설비)를 식별하고, 평가된 성능 저하와 관련된 도구에 대한 분석을 후속적으로 수행함으로써, 불량 성능 또는 품질의 근본 원인을 분석할 수 있게 한다. 다수의 집합체 도구로 구성된 자율 시스템에서 생성된 출력 자산이 제1 집합체(N)로부터 제2 집합체(N')로 이송될 수 있다는 점을 설명하기 위한 인덱스(Ca)를 이해해야 한다. 따라서, (예를 들어, 다단 제조 공정의 일부로서) 자산의 이송과 관련된 성능을 추적하기 위한 복합 심볼은
Figure pct00022
로 나타낼 수 있다.
자율 도구 집합체의 성능은 제품 수율에 따라 달성될 수 있다. 이러한 수율은 서로 다른 집합체를 랭킹하기 위해 사용된다. 일 양태에서, 자율 학습 시스템(1960)은 각각의 자율 도구 또는 자율 그룹 도구로부터의 출력 자산에 적어도 부분적으로 기초하여, 수율을 위한 모델을 전개할 수 있다. 예를 들어, 반도체 제조에 사용되는 도구 또는 도구 그룹의 경우, 수율은 측정 데이터에 기초하여 소재의 검출된 부정합의 함수로서 표현될 수 있다. 더욱이, 다른 수율 계측치가 수율을 위한 모델을 결정하기 위해 사용될 수 있으며, 특히 출력 자산이 집합체 간에 이송될 수 있는 도구 집합체 시스템(예를 들어, 29201 내지 2920Q)을 포함하는 자율 학습 시스템에서 사용될 수 있다: 전반적인 장비 효율성(OEE), 사이클 시간 효율성, 정시 납품률, 설비 가동률, 재작업율, 기계 라인 수율, 프로브 수율 및 최종 테스트 수율, 자산 생산량, 기동 또는 램프업 성과 비율 등. 자율 도구 집합체 세트의 작업을 지원하는 자율 시스템은, 공정을 재설계하거나 상기 수율 계측치와 관련된 조정에 대하여 액터(19901 내지 1990Q)와 통신하기 위해, 수율 계측치 간의 관계를 자율적으로 식별할 수 있음을 유의해야 한다.
앞서 언급된 수율 함수는 정적 및 동적 분석(예를 들어, 시뮬레이션)의 조합을 통해 분석됨으로써, 특정 수율을 유발하는 영향의 정도 또는 비중에 따라, 그룹 계층 출력을 랭킹할 수 있다. 수율, 또는 자산 출력에 영향을 미치는 영향에 적어도 부분적으로 기초하여, 그룹 계층 출력 레벨에서 도구, 도구 그룹, 또는 집합체를 랭킹함으로써, 그룹 또는 집합체 자율 학습 시스템(1960)은 그룹 또는 집합체의 그룹의 각각의 도구와 관련된 자율 시스템을 통해, 특정 도구가 수율 저하의 주된 도구로서 분리될 수 있는지 여부를 자율적으로 식별할 수 있음을 유의해야 한다. 이러한 도구가 발견된 경우, 그룹 또는 집합체 레벨 자율 시스템(1960)은 성능 저하에 대한 후보일 수 있는 결함의 랭킹에 관한 정보와 함께, 유지 보수 부서에 경보를 내릴 수 있다.
또한, 최저 랭킹의 자율 도구 집합체에 대한 수율은, 수율에 대한 이의 영향에 지배적인 도구 그룹의 그룹 계층 출력을 식별하기 위해 사용될 수 있다. 이러한 도구 그룹에 대한 고장 수명은 불량 성능의 원인(들)을 식별하기 위해, 서로 다른 자율 집합체 내의 실질적으로 동일한 도구 그룹과 비교될 수 있다. 또한, 자율 도구 집합체 시스템은 서로 다른 도구 집합체의 특정 도구 그룹 내의 도구를 랭킹한다. 자율 도구 집합체(예를 들어, 29201 내지 2920Q) 그룹을 지원 및 분석하는 자율 학습 시스템은, 각각의 집합체에 대한 추론된 고장 수명에 따라 각각의 집합체를 랭킹할 수 있음을 유의해야 한다. 예를 들어, 입력/출력 자산(예를 들어, 자산(1958)) 부하를 고려하여, 작업 시간 간격에 따라 고장 수명이 변할 수 있기 때문에, 고장 수명 추정을 갖는 데이터베이스는 지정된 시간 주기(예를 들어, 주별, 월별, 분기별, 또는 연도별)로 업데이트될 수 있다.
또한, 그룹 도구의 불량 성능에 주로 책임이 있는 개별 도구 또는 모듈(예를 들어, 균일한 도핑 농도 또는 균일한 표면 반사 계수와 같은 지정된 목표 품질 특성을 갖는 자산을 가장 빈번하게 출력하지 못하는 도구와 같이, 그룹 도구 내에서 성능이 최저로 랭킹되는 도구)이 식별되는 경우, 최저 성능 도구와 관련되거나, 이러한 불량 성능 도구를 포함하는 집합체 시스템과 관련된 자율 시스템은 도구의 출력을 분석하여, 최저 성능 그룹의 출력에 가장 큰 영향을 미치는 그러한 출력을 식별할 수 있다. 예를 들어, 전술한 바와 같이, 낮은 균일성을 갖는 자산을 출력하는 도구 그룹 또는 집합체의 도구는, 도구 그룹 균일성 편차(예를 들어, 다른 고품질 디스플레이 상의 코팅의 표면 반사율에 대한 균일성 문제로 인해 광학 디스플레이의 표면 반사율의 균일성 변화의 편차)의 상당한 비율(예를 들어, 60%)을 유발할 수 있다. 이를 위해, 일 양태에서, 그룹의 각각의 출력에 대해, 도구 자율 시스템은 도구 자산(예를 들어, 입력, 방식, 및 공정 파라미터, 도구 조작자 또는 액터 등)에 따라 도구 출력을 표현하는 함수를 구성한다. 그 다음, 이러한 모델을 분석하여 불량 성능의 주요한 요인을 식별한다. 자율 시스템은 그룹 도구에서 최고 성능 도구를 식별할 수 있고, 최고 성능을 가진 도구를 야기하는 원인을 분석할 수 있다; 예를 들어, 작업 동안 도구의 진공 레벨이 그룹 도구의 상이한 도구의 진공 레벨보다 지속적으로 더 낮거나, 또는 에피택셜 증착 동안, 최고 성능 도구에서의 웨이퍼가 증착을 수행하는 상이한 도구에서보다 더 낮은 속도로 회전하여, 도구가 더 나은 소자 품질을 지속적으로 달성한다. 최고 랭킹 및 최저 랭킹 도구에서의 이러한 요인은 집합체 시스템의 다른 도구의 동일한 파라미터와 비교될 수 있다. 최고 및 최저 랭킹 성능의 근본 원인으로 식별된 요인이 도구 집합체 시스템 전반에 걸쳐서 실질적으로 동일한 것으로 확인된다는 것을 비교가 나타내는 경우, 새로운 모델이 전개될 수 있고, 대안적인 근본 원인이 식별될 수 있다. 모델 전개 및 검증의 이러한 반복적이고 자율 프로세스는, 근본 원인이 식별되고 최상의 방법이 에뮬레이트될 때까지 계속될 수 있으며(예를 들어, 도구 집합체(11320 p)에서 사용되는 코팅 방식은 바람직한 특정 허용 범위만큼 출력 자산 성능을 증대시키기 때문에, 실질적으로 모든 도구 집합체에서 채택됨), 낮은 성능의 근본 원인은 완화된다(예를 들어, 페인팅 터널의 작동 온도에서의 점도가 페인팅된 제품의 불균일한 착색을 초래하는 특정 브랜드의 페인트를 폐기). 도구, 도구 그룹, 또는 도구 집합체의 랭킹은 자율적이며, 단일 자율 도구 시스템(예를 들어, 시스템(1960))에서와 실질적으로 동일한 방식으로 진행된다. 자율 도구 집합체의 작업을 지원하는 자율 시스템은 이의 내부 구조의 복잡도와 관계없이, 이러한 자율 집합체들을 단일 구성 요소로서 간주하여, 이는 집합체와 관련된 자율 시스템을 통해 액세스 및 관리될 수 있다.
도 30은 전술한 일종의 도구 시스템 또는 제조 플랫폼 또는 공정 모듈(예를 들어, 개별 자율 도구(1960), 자율 그룹 도구(2800), 및 자율 집합체 도구(2900)) 사이의 모듈성 및 반복적 결합을 도시하는 도면(3000)이다. 자율 시스템(3000)에서, 목표, 상황 정보, 및 자산은 축방향 게이트웨이로서 도시된 지식 네트워크(1975)를 통해 순환하며, 서로 다른 자율 도구 시스템(1960, 2800 및 2900)으로 전달된다. 이러한 정보 및 자산은 각각의 자율 시스템에서 작용되며, 작용은 분석, 변경, 새로운 정보 및 자산의 생성을 포함할 수 있다; 이러한 작용은 자율 시스템(1960, 2800, 2900)의 각각의 표현물의 외측 벨트 상의 화살표로서 삽화로 도시된다. 처리 및 생성된 자산은 지식 네트워크(1975)로 전달되어, 자율 시스템 간에 순환될 수 있다. 도면(3000)에서, 자산의 처리 및 생성은 방위각으로 발생하는 것으로 나타내는 반면에, 자산의 통신은 반경 방향 프로세스이다. 도면(3000)이 도시하는 바와 같이, 자율 도구 시스템은, 실질적으로 동일한 방식으로 기능하는 실질적으로 동일한 요소에 기초한다.
도 31은 자산 생성을 위한 다중 스테이션 공정을 평가 및 보고하는 예시적인 시스템(3100)을 도시한다. 자율 생물학적 기반 학습 시스템(1960), 액터(1990), 및 관련 상호 작용 구성 요소(1930)를 포함하는 자율 시스템(3105)은, N-스테이션 공정(3110)에서 비롯되는 자산(들)(1928)을 수신 및 전달할 수 있고, 후향 추론(backward chaining)을 통해 성능을 평가할 수 있다. N-스테이션 공정은 N개의 공정 스테이션(31101 내지 3110N) 세트를 통해 수행되며, N개의 공정 스테이션(31101 내지 3110N) 세트는 출력(3120)을 생성하고, 개별 자율 도구(1960), 자율 도구 그룹(2820), 또는 자율 도구 집합체(2920)를 포함할 수 있다. 성능 평가(들)의 결과로서, 자율 시스템(3108)은, 특정 성능 저하도를 갖는 공정 스테이션(31101 내지 3110N)의 도구 또는 도구 그룹을 찾을 수 있다. 또한, 선택된 스테이션에 대해, 자율 시스템(3108)은 평가 리포트, 보수(들) 리포트, 또는 유지 보수 스케줄을 제공할 수 있다. 서로 다른 공정 스테이션이 실질적으로 동일한 작업을 수행할 수 있음을 이해해야 한다; 이러한 시나리오는, 자산(3115)이 생성되어 추가적인 공정을 위해 서로 다른 도구 또는 도구 그룹으로 이송된 후에, 출력 자산(3115)이 추가적인 공정을 위해 특정 도구 또는 도구 그룹으로 복귀하는 상황을 반영할 것이다.
후향 추론에서, 출력을 유발하는 작업 흐름(예를 들어, 공정 흐름(3130))은, 전형적으로 작업 흐름을 평가하는 프로브 흐름(예를 들어, 평가 흐름(3140))에 전형적으로 대응한다. 따라서, 평가는 일반적으로 톱-바텀(top-bottom) 방식으로 수행되며, 특정 작업의 상위 레벨 스테이지(예를 들어, 최종 자산 출력(3120))에 대해 평가가 수행되고, 탐색 중인 하위 레벨 스테이지로 진행되어, 특정 작업의 완료 전에 특정 스테이지에 대한 평가에 집중한다. 자율 시스템(3104)에 의해 적용된 바와 같은 출력 자산(3120)은 공정 스테이션 N(3110N)을 통해 수신된다. 자율 시스템(3104)은 3146으로 도시된 바와 같이, 공정 스테이션(3110N)의 실질적으로 모든 작업 구성 요소(예를 들어, 도구, 그룹 또는 집합체 도구)에 대해, 예상 성능에 적어도 부분적으로 기초하여, 특정 성능 저하 벡터(도시되지 않음)를 유발하는 성능 계측치 세트({P(C) N-1→N})를 평가할 수 있다. 추가적으로, 공정(3130)에서, 출력 자산(예를 들어, 자산(3115))은 서로 다른 지리적 영역에 걸쳐서 이송될 수 있으므로, 자율 시스템(3104)에 의해 평가되는 성능 저하 벡터는, 부분적으로 완성된 자산(3115)을 유발하는 공정의 수송 중 부분과 관련된 계측치를 포함할 수 있음을 이해해야 한다. 예를 들어, 공정(3130)이 반도체 공정과 관련된 경우, 소재는 특정 공정 플랫폼에서 적은 부정합 또는 결함을 가질 수 있다. 그러한 평가의 결과(들)(3149)가 N-스테이션 출력(3120)에 결함이 있음을 나타내는 경우, 자율 시스템(3104)은 공정 스테이션 N과 관련된 결함이 있는 도구 또는 도구 그룹 또는 플랫폼을 분리시키고, 리포트(예를 들어, 평가 리포트(3150), 보수(들) 리포트(3160), 또는 유지 보수 스케줄(3170))를 생성한다. 생성된 리포트(들)는 하나 이상의 액터(예를 들어, 액터(19901 내지 1990Q))에 의해 사용될 정보를 포함할 수 있다. 또한, 성능의 특정 문제에 대해, 특히, 전형적으로 광범위한 가용 데이터의 이점을 얻을 수 있는 자율적으로 전개된 솔루션에 대하여 액터의 개입이 우선될 수 있도록 빈번하지 않게 나타나는 문제에 대해, 하나 이상의 제조 플랫폼을 위한 레거시 솔루션(또는 "수리") 또는 보정 공정을 생성하기 위해, 리포트가 저장될 수 있다. 또한, 리포트의 이용 가능성은 고장 시뮬레이션 또는 고장 에피소드의 포렌식(forensic) 분석을 가능하게 할 수 있으므로, 적어도 두 가지 레벨에서 제조 비용을 감소시킬 수 있다: (a) 비용이 많이 들고 빈번하지 않게 고장이 발생하는 장비는, 장비의 복잡도에 적합하지 않은 백그라운드에서 액터가 장비를 작동시킴으로써 비롯되는, 드문 조건에서 고장이 발생할 것으로 예측될 수 있고, 이는 자율 시스템(1960)에 의해 시뮬레이션될 수 있음; (b) 평가 리포트(3150) 및 보수 리포트(3160)에 저장된 이력 데이터에 적어도 부분적으로 기초하는 다양한 고장 시나리오의 예측을 통한 부품 재고의 최적화.
공정 스테이션 N(3110N)의 결과(3149)가 결함이 있는 도구 또는 도구 그룹 또는 플랫폼을 산출하지 않는 경우, 부분적으로 처리된 출력 자산(3115)을 생성하고 출력(3120)을 생성하기 위한 공정 사이클(3130)의 일부인, 하위 레벨 공정 스테이션(N-3110N-1)에 대한 평가가 수행된다. 서로 다른 성능 계측치 세트(
Figure pct00023
)의 분석을 통해, 성능 저하의 정도가 추출될 수 있고, 관련 도구 또는 도구 그룹(예를 들어, 집합체(C))이 구해질 수 있다. 자율 도구 집합체, 또는 자율 도구 그룹, 또는 개별 자율 도구에 결함이 없는 경우, 자율 시스템(3104)은 최종 출력(3120)에서의 불량 성능의 원인을 찾기 위해, 대상물에 대한 후향, 탑-바텀 평가 흐름(3140)을 계속한다.
도 32는 도구 집합체 시스템에 의해 자율적으로 생성되는 출력 자산을 분배할 수 있는 예시적인 자율 시스템(3200)의 블록도이다. 시스템(3200)에서, 도구 집합체(2920Q)는, (i) 도구 집합체 시스템(2920Q)을 구성할 수 있는 하나 이상의 도구의 성능 저하 조건을 포함하여, 상태에 관하여 수집되거나 추론되는 정보(예를 들어, 구조 및 데이터 패턴, 자율 도구 집합체(2920Q)를 구성하는 비슷한 또는 상이한 도구 그룹에서의 기존의 성능 저하 에피소드 또는 조건에 대한 해결책과 같은 측정된 변수들 간의 관계 등); 또는 (ii) 상기 집합체에 의해 제조된 출력 제품일 수 있는, 출력 자산 세트(3210)를 자율적으로 생성할 수 있다. 또한, 시스템(3200)에서, 출력 자산(3220)은 자산 선택기(3220)에 의해 필터링될 수 있고, 분배 구성 요소(3230)로 전달 또는 통신될 수 있다. 이러한 분배 구성 요소(3230)는 자율 생물학적 기반 학습 시스템(1960)의 지능적 양태를 이용할 수 있다. 분배 구성 요소(3230)는, 패키징 구성 요소(3245)를 조작할 수 있는 관리 구성 요소(3235), 및 데이터를 준비할 수 있는 암호화 구성 요소(3255)를 포함할 뿐만 아니라, 스케줄러(3265) 및 자산 모니터(3275)를 포함한다. 패키징 구성 요소(3245)는 분배 공정 동안 분배될 자산을 준비할 수 있다; 이러한 준비는 손상 방지 및 분실 방지를 포함할 수 있다. 정보(예를 들어, 임계치 초과의 온도와 같이 부품 사양을 벗어나는 작업의 결과로 전개되는 시스템의 원치 않는 조건과 같은 에피소드 메모리(3130)의 이벤트) 또는 데이터 자산의 경우, 패키징 구성 요소(3245)는 적어도 부분적으로, 분배될 자산의 의도된 수신자에 따라 정보를 표시하기 위한 특정 포맷을 변경할 수 있다. 예를 들어, 사유 정보는 추상적일 수 있으며, 특이성 없이 표시될 수 있다(예를 들어, 가스의 명시적인 명칭은 "가스"라는 단어로 대체될 수 있다; 특정 파라미터들 간의 관계는 "p(O2)<10-8 Torr"와 같은 변수들 간의 관계로 일반화될 수 있고, “p(가스)<10-8 Torr”로서 패키징될 수 있다). 또한, 패키징 구성 요소(11645)는 자산 전송 및 의도된 수신자에서의 자산 복원 동안 정보 무결성을 보장하기 위해, 암호화 구성 요소(3255)를 이용할 수 있다.
추가적으로, 일 양태에서, 관리 구성 요소(3235)는, (i) 분배되도록 예정된 자산, 또는 분배된 자산을 전형적으로 포함하는 자산 저장소(3283); (ii) 특정 자산의 분배 또는 완성과 관련된 상업적 파트너를 포함하는 파트너 저장소(3286); (iii) 선택된 자산이 분배되었거나 분배될 수 있는 현재, 과거, 또는 예상 고객을 포함할 수 있는 고객 저장소(3289); (iv) 라이센싱, 고객 지원 및 관계, 자산 패키징을 위한 프로시저, 스케줄링 프로시저, 지식 재산권의 시행 등과 같은, 자산의 분배와 관련된 양태를 결정할 수 있는 정책 저장소에 액세스할 수 있다. 정책 저장소에 포함된 정보는, 자율 생물학적 기반 학습 시스템에 의해 학습되거나 생성된 지식(예를 들어, 정보 자산)에 적어도 부분적으로 기초하여, 동적으로 변경될 수 있음을 이해해야 한다.
자산이 패키징되었고 분배를 위해 스케줄링되었다면, 분배의 기록이 저장될 수 있으며, 자산이 데이터 자산인 경우, 자산의 복사본이 저장될 수 있다. 그 다음, 자산은 서로 다른 자율 도구 집합체 P(2920P)에 전달할 수 있다.
도 33은 자산(예를 들어, 완제품, 부분 완제품 등)에 대해, 설계에서부터 제조 및 마케팅에 이르기까지, 자율적으로 결정된 분배 단계의 일 실시예를 도시한다. 육각형 셀(3310)은 특정 지리적 영역(예를 들어, 도시, 카운티, 주, 하나 이상의 국가)을 나타내며, 두 가지 종류의 자율 도구 집합체(예를 들어, "원형" 집합체(3320, 3330, 3340, 3350, 및 3360), 및 "정사각형" 집합체(3365 및 3375))가 제품 또는 자산 세트의 제조 체인에 참여한다. (지리적 영역은 육각형 셀과 더불어, 실질적으로 임의의 경계 영역을 둘러쌀 수 있음을 유의해야 한다.) 예를 들어, 제한 사항이 아닌 시나리오로서, 자산의 제조는, 고산 스포츠(예를 들어, 스키, 등산, 패러글라이딩 등)를 위한 광학적 관리를 위한 주문 제작된 솔리드 스테이트 소자를 위한 설계를 제공하는 집합체일 수 있는 집합체(3320)에서 시작된다. 설계는 소스 재료 및 이들의 조합물의 광학 특성에 대한 컴퓨터 시뮬레이션을 수행하는 단계 뿐만 아니라, 소자 시뮬레이션을 수행하는 단계로 이루어질 수 있다. 이러한 경우, 집합체(3320)는 본 실시예에서 자율 도구 그룹 세트(도 28)로 간주될 수 있는 대량 병렬 슈퍼 컴퓨터일 수 있으며, 시뮬레이션 컴퓨터의 네트워크에 있는 각각의 컴퓨터는 자율 도구 그룹으로 간주된다. 집합체(3320)는, 광학 장치의 하나 이상의 설계, 및 장치의 표현과 관련된 일련의 리포트(예를 들어, 데이터 자산)를 출력한다. 이러한 출력 또는 자산(도시되지 않음)은 (예를 들어, 구성 요소를 통한) 적절한 암호화 및 패키징 후에, 무선 링크일 수 있는 통신 링크(3324)를 통해 집합체(3330)로 전송될 수 있다.
집합체(3330)는 데이터 자산을 수신할 수 있으며, 제한적이지 않은 실시예로서, 수신된 자산에 따라 솔리드 스테이트 소자를 제조하기 위한 증착 공정을 개시한다. 이를 위해, 집합체(3330)는 집합체(3340)와 협력할 수 있으며, 둘 모두는 2-집합체 자율 집합체 도구(2910)의 일부인 제조 설비로서 간주될 수 있다. 이러한 집합체는 수신된 사양 자산에 따라 다수의 소자를 제조할 수 있으며, 소자가 제조되면, 그것이 테스트될 수 있고, 품질 및 성능 계측치가 할당될 수 있으며, 이러한 계측치는, 집합체(3330 및 3340)에 들어가는 자율 도구들 중에서 발견된 "불량 수행자(poor performer)"에 대한 후향 추론을 유발할 수 있다. 다수의 계측치의 결정을 통해, 집합체(3320 및 3330)의 작업을 자율적으로 조정함으로써, 소자 또는 출력 자산의 제조를 최적화할 수 있다. 링크(3324)는 내부 링크를 나타내며, 집합체(3330 및 3340)는 동일한 제조 플랜트의 일부이므로, 자산은 차량 운송 경로를 제공하는 링크(3324)를 사용하는 경우와 실질적으로 상이한 조건에서 운송될 수 있음을 유의한다. 링크(3344)는 서로 다른 지리적 위치에서 상업용 패키징을 위해 소자를 수송하는 데 사용될 수 있다(이러한 수송은 유리한 패키징 비용, 숙련된 노동력, 법인세 인센티브 등에 의해 동기 부여될 수 있음). 집합체(3340)에서의 자율 학습 시스템은 적시에 그리고 비용 효율적인 납품을 보장하기 위해, 배송 시간(예를 들어, 스케줄러를 통해) 및 경로(예를 들어, 링크(3344))를 최적화할 수 있음을 이해해야 한다. 집합체(3350)에서 자산이 패키징되고, 집합체(3360)에서 무선 링크를 통해 원격으로 테스트된다. 일 양태에서, 테스트되는 소자의 양, 및 소자가 테스트되는 로트는 집합체(3360)의 자율 시스템에 의해 결정될 수 있다. 패키징된 소자가 상용화를 위해 승인되면, 자산은 도로 링크(3344)를 통해 집합체(3340)로 수송된 후에, 도로 링크(3370)를 통해 상이한 종류의 집합체(3375)로 수송된다. 이러한 집합체는 파트너 벤더일 수 있고, 도구 그룹 집합체로 간주될 수 있는 집합체(3375), 저장 창고일 수 있다. 이러한 집합체는 수신된 자산을 위한 쇼룸일 수 있는 집합체(3365)와 내부적으로 연결된다.
위에서 제시되고 설명된 예시적인 시스템을 고려하여, 개시된 청구 대상에 따라 구현될 수 있는 방법은 도 34, 도 35 및 도 36의 흐름도를 참조하여 더 잘 이해될 것이다. 설명의 간략화를 위해, 방법은 일련의 블록으로 도시되고 설명되지만, 일부 작업은 본원에서 도시되고 설명된 것과 상이한 순서로 및/또는 다른 블록과 동시에 수행될 수 있으므로, 개시된 양태가 작업의 순서 또는 수에 의해 제한되지 않음을 이해하고 인식해야 한다. 또한, 도시된 모든 작업이 이후에 설명되는 방법을 구현하는 데 필요한 것은 아닐 수 있다. 블록과 관련된 기능은 소프트웨어, 하드웨어, 이들의 조합, 또는 임의의 다른 적합한 수단(예를 들어, 장치, 시스템, 프로세스, 구성 요소)에 의해 구현될 수 있음을 이해해야 한다. 추가적으로, 이하에서 그리고 본 명세서 전반에 걸쳐서 개시된 방법은 그러한 방법을 다양한 장치로 전송 및 전달하는 것을 가능하게 하기 위해 제조 물품에 저장될 수 있음을 추가로 이해해야 한다. 당업자는 방법이 상태도에서와 같이, 일련의 상호 관련된 상태 또는 이벤트로서 대안적으로 표현될 수 있음을 이해하고 인식할 것이다.
도 34는 상황별 목표 조정과 함께 생물학적 기반 자율 학습을 위한 예시적인 방법(3400)의 흐름도를 나타낸다. 작업(3410)에서, 목표가 설정된다. 목표는, 목표 또는 목적을 달성하기 위해 사용되는 목표 구성 요소의 기능과 관련된 추상화이다. 목표는 종합적일 수 있으며, 다양한 분야(예를 들어, 산업, 과학, 문화, 정치 등)를 포괄할 수 있다. 일반적으로, 작업(3410)은, 학습 시스템(예를 들어, 적응형 추론 엔진)에 연결될 수 있는 목표 구성 요소의 외부에 있거나 외적일 수 있는 액터에 의해 수행될 수 있다. 목표의 종합적인 특성을 고려하면, 목표 구성 요소는, 다수의 기능을 갖는 도구, 장치, 또는 시스템(예를 들어, 특정 공정을 수행하는 도구 시스템(예를 들어, 도구 시스템(1910)), 또는 요청 세트에 대한 특정 결과를 제공하는 장치 등)일 수 있다. 작업(3420)에서, 소재의 측정 데이터와 같은 데이터가 수신된다. 이러한 데이터는 내재적일 수 있으며, 예를 들어 목표를 수행하는 목표 구성 요소(예를 들어, 구성 요소(1720))에서 생성된 데이터일 수 있다. 일 양태에서, 특정 공정을 수행하는 부분으로서, 측정 모듈과 결합된 센서 또는 프로브를 갖는 검사 시스템 세트가 적응형 지능적 구성 요소에 수신되는 데이터를 수집할 수 있다. 또한, 휴먼 에이전트 또는 기계일 수 있는 액터(예를 들어, 액터(1990))에 의해 전달된 데이터와 같은, 수신된 데이터는 외재적일 수 있다. 외재적 데이터는, 공정을 구동하기 위해 사용되거나, 일반적으로, 특정 목표의 달성을 추진하기 위해 사용되는 데이터일 수 있다. 휴먼 에이전트는 도구 시스템의 조작자일 수 있으며, 도구에 의해 수행되는 공정과 관련된 지침 또는 특정 절차를 제공할 수 있다. 액터의 일 실시예는 도구 시스템의 시뮬레이션을 수행하는 컴퓨터, 또는 실질적으로 임의의 목표 구성 요소일 수 있다. 도구 시스템의 시뮬레이션은 도구 시스템을 위한 전개 파라미터를 결정하기 위해 사용될 수 있거나, 도구에 대한 대안적인 작업 조건(예를 들어, 휴먼 에이전트에게 유해할 수 있거나 비용이 많이 들 수 있는 작업 조건)을 테스트하기 위해 사용될 수 있음을 이해해야 한다. 수신된 데이터는, 특정 공정 또는 일반적으로 특정 코드와 관련된 트레이닝 데이터 또는 제조 데이터일 수 있다.
추가적인 양태에서, 수신된 데이터는 데이터 유형과 연관될 수 있거나, 절차적 또는 기능적 단위와 연관될 수 있다. 데이터 유형은 실제 데이터의 상위 레벨 추상화이다; 예를 들어, 도구 시스템의 어닐링 상태에서, 어닐링 사이클의 기간 동안 프로그래밍된 레벨로 온도가 제어될 수 있으며, 도구 시스템의 온도 센서에 의해 측정된 온도 값의 시간 순서는 순서 데이터 유형과 연관될 수 있다. 기능적 단위는 수신된 명령의 라이브러리, 또는 도구의 작업에 필요한 데이터를 조작하거나 도구에 의해 생성된 데이터를 분석하기 위한 처리 코드 패치에 해당할 수 있다. 기능적 단위는 단위의 특정 기능과 관련된 개념으로 추상화될 수 있다; 예를 들어, 곱셈 코드 조각은 곱셈 개념으로 추상화될 수 있다. 이러한 개념은, 단일 개념이 곱셈(순서), 곱셈(행렬), 또는 곱셈(상수, 행렬)과 같은 복수의 데이터 유형에 종속되게 할 수 있다는 점에서 오버로드될 수 있다. 또한, 기능적 단위와 관련된 개념은, 독립 변수에 대해 2개의 벡터의 스칼라 곱의 도함수를 나타내는 개념을 설명할 수 있는 도함수(스칼라_곱(벡터, 벡터))와 같은, 기능적 단위와 관련된 다른 개념을 상속할 수 있다. 기능적 개념은 그 자체가 개념인 클래스와 직접적으로 유사하다는 것을 이해해야 한다. 또한, 데이터 유형은 우선순위와 연관될 수 있으며, 우선순위에 따라, 의미망에 저장될 수 있다. 유사하게, 기능적 개념(또는 오토봇)이 또한 우선순위와 연관될 수 있으며, 상이한 의미망에 저장될 수 있다. 개념 우선순위는 동적이며, 의미망에서 개념 활성화를 촉진할 수 있다.
작업(3430)에서, 전술한 바와 같이, 의미망에서 표현될 수 있는 지식이 수신된 데이터로부터 생성된다. 의미망에서 활성화를 전파함으로써, 지식의 생성이 달성될 수 있다. 이러한 전파는 스코어 조합과 더불어, 개념에 할당된 상황 스코어에 의해 결정될 수 있다. 일 양태에서, 스코어 조합은 2개의 스코어의 가중 가산, 또는 2개 이상의 스코어의 평균일 수 있다. 스코어 조합에 대한 규칙은 도구 시스템 조건, 또는 외부 액터로부터 수신된 정보 입력에 따라, 필요한 경우 변경될 수 있음을 이해해야 한다. 거의 활성화되지 않는 개념이 폐기될 수 있게 함으로써, 새로운 개념이 더 적절해질 수 있도록, 시간이 지남에 따라 우선순위가 감소할 수 있음을 이해해야 한다.
생성된 지식은 완전한 정보일 수 있다; 예를 들어, 증착 단계에서의 정상 상태 압력은, 정상 상태 유량 및 정상 상태 배기 밸브 각도와 같은 2개의 독립 변수의 정확하고 명확한 수학적 함수이다(예를 들어, 함수에 들어가는 모든 파라미터를 갖는 일가 함수는 확률적이거나 미지수인 것이 아니라 결정론적으로 평가됨). 대안적으로, 생성된 지식은 부분적인 이해를 나타낼 수 있다; 예를 들어, 에칭 속도는 온도에 대한 알려진 기능적 종속성(예를 들어, 지수 종속성)을 가질 수 있지만, 에칭 속도와 온도 사이의 특정 관계(예를 들어, 기능적 종속성을 결정하는 파라미터의 정확한 값)는 알려져 있지 않다.
작업(3440)에서, 생성된 지식은 추가적인 지식의 자율 생성을 위한 후속 사용을 위해 저장된다. 일 양태에서, 지식은 메모리의 계층에 저장될 수 있다. 계층은 메모리에서의 지식의 지속성, 및 추가적인 지식의 생성을 위한 지식의 가독성에 따라 결정될 수 있다. 일 양태에서, 계층의 제3 층은 수신된 데이터 영향 및 지식이 수집될 수 있는 에피소드 메모리(예를 들어, 에피소드 메모리(2130))일 수 있다. 이러한 메모리 층에서, 개념의 조작은 중요하지 않으며, 그 대신, 메모리는 도구 시스템 또는 외부 액터로부터 수신된 가용 정보의 저장소로서 역할을 한다. 일 양태에서, 이러한 메모리는, 다수의 데이터 유형 및 절차적 개념이 저장될 수 있는 메타 데이터베이스로서 식별될 수 있다. 제2 층에서, 지식은 단기 메모리에 저장될 수 있으며, 개념은 상당히 조작될 수 있고, 의미망에서의 확산 활성화가 이루어질 수 있다. 이러한 메모리 층에서, 기능적 단위 또는 절차적 개념은 수신된 데이터 및 개념에 따라 작용함으로써, 학습, 또는 새로운 지식을 생성한다. 제1 층 메모리는 능동 사용을 위해 지식이 유지되는 장기 메모리(예를 들어, LTM(2110))일 수 있으며, 유효한 새로운 지식이 이러한 메모리 층에 저장된다. 또한, 장기 메모리의 지식은 단기 메모리의 기능적 단위에 의해 사용될 수 있다.
작업(3450)에서, 생성되거나 저장된 지식이 사용된다. 지식은, (i) 저장된 지식과 새롭게 수신된 데이터(자기 인식 구성 요소(2150) 참조) 사이의 차이를 식별함으로써, 목표 구성 요소(예를 들어, 도구 시스템(1910))의 성능 저하 레벨을 결정하기 위해 사용될 수 있거나(수신된 데이터는 외재적(예를 들어, 입력(1730)) 또는 내재적(예를 들어, 출력(1740)의 일부)일 수 있음); (ii) 예를 들어, 데이터 패턴을 식별함으로써, 또는 (자기 개념화 구성 요소(2160)에서와 같은) 변수들 간의 관계를 발견함으로써, 외재적 또는 내재적 데이터 또는 둘 모두를 특성화하기 위해 사용될 수 있거나(변수는 설정된 목표를 달성하기 위해 사용될 수 있음); 또는 (iii) 데이터를 생성하는 도구 시스템(예를 들어, 자기 최적화 구성 요소(2170))의 성능을 분석하여, 예측된 고장 또는 기존의 고장에 대한 근본 원인의 예측을 제공할 뿐만 아니라, 도구 시스템의 성능 저하로 인해 도구 고장이 발생하기 전에, 예방적 유지 보수를 구현하기 위한 필요한 보수 또는 경보기 작동을 제공하기 위해 사용될 수 있다. 저장된 및 생성된 지식의 사용은, 수신된 데이터(외재적 또는 내재적), 및 그 이후의 생성된 지식에 의해 영향을 받는다는 것을 유의해야 한다.
작업(3460)은 생성된 지식을 고려하여 목표 달성의 정도가 검사될 수 있는 검증 작업이다. 설정된 목표가 달성되는 경우, 예시적인 방법(3400)은 종료될 수 있다. 그렇지 않으면, 설정된 목표가 달성되지 않은 경우, 설정된 목표가 작업(3470)에서 검토될 수 있다. 후자에서, 방법(2400)의 흐름은 현재 목표가 변경되거나 조정되는 경우 새로운 목표를 설정하도록 유도할 수 있다; 예를 들어, 목표 조정은 생성된 지식에 기초할 수 있다. 현재 목표의 변경이 수행되지 않는 경우, 방법(3400)의 흐름은 현재 설정된 목표를 계속 수행하기 위해 사용될 수 있는 지식을 생성하도록 복귀된다.
도 35는 목표 구성 요소의 상태와 관련된 개념의 상황 스코어를 조정하기 위한 예시적인 방법의 흐름도(3500)를 나타낸다. 작업(3510)에서, 목표 구성 요소의 상태는 전형적으로 상황 정보를 통해 설정되는 상태로 결정되며, 상황 정보는 다양한 데이터 입력(예를 들어, 입력(1730))에 의해 결정될 수 있거나, 입력과 관련되고 특정 관계를 나타내는 개념의 네트워크를 통해 결정될 수 있다. 입력 데이터는 목표 구성 요소에 의해 수행되는 목표와 관련된다; 예를 들어, 특정 박막 소자의 코팅 공정을 위한 방식은, "절연 소자 증착" 목표와 관련된 입력으로 간주될 수 있다. 작업(3520)에서, 목표 구성 요소의 상태에 적용될 수 있는 개념 세트가 결정된다. 이러한 개념은 작업(3510)에서 입력된 데이터 유형의 추상화일 수 있거나, 메모리 플랫폼(예를 들어, 장기 메모리(2110) 또는 단기 메모리(2120))에 있는 기존 개념일 수 있다. 일반적으로, 서술적인 개념(예를 들어, 기능적 구성 요소가 없는 개념)에 따라 작용할 수 있는 기능적 개념은 목표 달성을 위해 더 빈번하게 사용될 수 있다. 작업(3530)에서, 목표 상태와 관련된 개념 세트의 각각의 개념에 대한 상황 스코어가 결정되며, 상황 스코어 세트는 개념 사용 또는 적용을 위한 계층을 설정할 수 있고, 이는 목표 조정 또는 하위 목표 생성/무작위화와 같은, 목표의 역학을 결정할 수 있다. 특정 개념에 대한 상황 스코어의 조정은 목표 조정의 일부로서 목표 공간 내에서의 전파 뿐만 아니라, 목표 달성을 추진시킬 수 있다.
도 36은 추론을 통해 지식을 생성하기 위한 예시적인 방법의 흐름도(3600)를 나타낸다. 작업(3610)에서, 개념이 데이터 유형과 연관되고, 개념에 대한 우선순위가 결정된다. 전형적으로, 우선순위는 개념의 사용 확률, 또는 개념의 가중치에 기초하여 결정될 수 있다. 이러한 가중치는, 개념을 사용하기 위한 용이함(예를 들어, 데이터 유형에 따라 작업하기 위한 복잡도)을 나타낼 수 있는 파라미터의 함수(예를 들어, 가중치 합 또는 기하 평균)를 통해 결정될 수 있으며, 이러한 파라미터는, 개념의 관성, 및 상태를 표현하기 위한 개념의 적합성 파라미터(예를 들어, 개념과 관련될 수 있는 다수의 인접한 개념)를 통해 식별될 수 있다. 우선순위는 명시적으로 시간 의존적인 관성 및 적합성 파라미터의 결과로서 또는 개념 전파의 결과로서, 시간 의존적일 수 있음을 이해해야 한다. 시간 의존적 우선순위는 노화 양태를 특정 개념에 도입할 수 있으므로, 특정 지식 시나리오(예를 들어, 우선순위 기반 지식 네트워크의 노드 구조)에서의 관련성을 중단시키는 개념을 통해, 지식 유연성(예를 들어, 나노 구조 소자의 제조를 위한 방식과 같은 목표를 수행하기 위해 사용되는 패러다임)을 촉진할 수 있다. 작업(3620)에서, 우선순위화된 개념 세트에 대한 의미망이 설정된다. 의미망은 다수의 서브 네트워크를 포함할 수 있으며, 다수의 네트워크 각각은 클래스의 개념들 간의 관계 세트를 특성화할 수 있음을 이해해야 한다. 예를 들어, 2-층 의미망에서, 제1 서브 네트워크는 데이터 유형으로부터 유도된 개념들 간의 관계를 나타낼 수 있는 반면에, 제2 서브 네트워크는, 데이터 유형을 변경하기 위해 사용될 수 있는 작업을 표현하는 기능적 개념들(예를 들어, 플래너 오토봇 또는 위버봇, 개념적 오토봇) 간의 관계를 포함할 수 있다. 작업(3630)에서, 우선순위 세트가 의미망을 통해 전파됨으로써, 추론을 수행하여 개념의 네트워크와 관련된 지식을 생성한다. 일 양태에서, 이러한 전파는 목표 조정을 위한 최적화 계획을 생성하거나, 특정 목표를 수행하는 시스템의 고장을 예측하기 위해 사용될 수 있다.
도 37은 자산 분배를 위한 예시적인 방법(3700)의 흐름도이다. 자산(들)은 개별 자율 도구, 자율 그룹 도구(예를 들어, 시스템(2810)), 또는 자율 집합체 도구 시스템(예를 들어, 시스템(2910))에 의해 제공될 수 있다. 자산은 대안적인 방식으로도 생성될 수 있음을 이해해야 한다. 작업(3710)에서, 자산이 수신된다. 일 양태에서, 수신된 자산은 하나 이상의 자율 도구에 의해 생성된 출력 자산(들)으로부터 선택된 자산일 수 있다. 작업(3720)에서, 수신된 자산은 분배를 위해 처리된다. 전술한 바와 같이, 자산은 전형적으로, 자산을 생성하는 데 사용된 지식과 관련된 이점을 갖는다; 따라서, 경쟁사가 자산을 리버스 엔지니어링하는 것을 방지하는 방식으로 자산이 패키징될 수 있다. 자산의 수신지에 따라, 자산과 관련된 패키징 정보가 맞춤화됨으로써, 자산을 수신하는 엔티티가 상업적 파트너인지, 또는 고객인지, 또는 자산을 제조하는 조직의 다른 지점, 부서 또는 그룹인지에 적어도 부분적으로 기초하여, 상이한 레벨의 정보를 전달할 수 있음을 이해해야 한다. 자산과 함께 패키징된 정보의 레벨은 특정 정책(예를 들어, 정책 저장소(3292)에 저장된 정책)을 따를 수 있다. 추가적으로, 데이터 자산 또는 컴퓨터 프로그램 자산의 경우, 자산에 의해 전달하는 정보의 무결성을 유지하기 위해, 이러한 자산은 패키징되는 동안 암호화할 수 있다. 더욱이, 자산을 분배하기 위한 처리의 일부는 적절한 분배 스케줄을 따르면서, 자산을 저장소(예를 들어, 자산 저장소(3283))에 유지시키는 것을 포함할 수 있다. 일 양태에서, 그러한 스케줄은, 분배될 자산을 제조 또는 생산하는 도구 시스템을 지원하는 자율 시스템(예를 들어, 시스템(2960))에 의해 최적화될 수 있다.
작업(3730)에서, 처리된 자산이 분배된다. 전형적으로, 분배는 자산 특징 및 특성 뿐만 아니라, 자산의 수신지에 따라 좌우된다. 예를 들어, 미완성 차량(예를 들어, 자산)이 상이한 조립 스테이지를 통해 수송될 수 있는 조립 라인과 같은, 자산 생산을 완료하기 위한 공장 플랜트 내에서 자산이 분배될 수 있다. 유사하게, 식품 산업에서는, 냉동 식품(예를 들어, 자산)이 식품 제조 플랜트 전체에 걸쳐서 분배된다. 대안적으로 또는 추가적으로, 산업에 따라, 비용 효율적인 제조 시장의 혜택을 얻기 위해, 미완성 자산이 해외로 분배되어 완성될 수 있다.
작업(3740)에서, 예를 들어, 자산 분배가 적용 가능한 분배 규정을 준수하도록 보장하기 위해, 또는 자산의 분배 상태에 액세스함으로써 적절한 재고 보충을 보장하기 위해, 분배되는 자산이 모니터링된다. 또한, 자산의 분배를 모니터링함으로써, 손실 및 손상을 완화시킬 수 있을 뿐만 아니라, 상업적 파트너 및 고객과의 상호 작용을 촉진시킬 수 있다.
본원에 설명된 다양한 양태 또는 특징은 표준 프로그래밍 및/또는 엔지니어링 기술을 사용하여, 방법, 장치, 또는 제조 물품으로서 구현될 수 있다. 본원에 사용된 바와 같은 "제조 물품"이라는 용어는 임의의 컴퓨터 판독 가능 장치, 캐리어, 또는 매체로부터 액세스 가능한 컴퓨터 프로그램을 포함하도록 의도된다. 예를 들어, 컴퓨터 판독 가능 매체는, 자기 저장 장치(예를 들어, 하드 디스크, 플로피 디스크, 자기 스트립 등), 광 디스크(예를 들어, 컴팩트 디스크(CD), 디지털 다용도 디스크(DVD) 등), 스마트 카드, 및 플래시 메모리 소자(예를 들어, 카드, 스틱, 키 드라이브 등)를 포함할 수 있지만, 이에 제한되지 않는다.
위에서 설명된 것은 청구 대상의 실시예를 포함한다. 물론, 청구 대상을 설명하기 위한 목적으로 구성 요소 또는 방법의 모든 도출 가능한 조합을 설명하는 것은 가능하지 않지만, 당업자라면 청구 대상의 많은 추가적인 조합 및 치환이 가능하다는 것을 인식할 수 있다. 따라서, 청구 대상은 첨부된 청구범위의 사상 및 범위 내에 속하는 그러한 모든 변경, 변형 및 변화를 포함하도록 의도된다. 또한, "포함한다(include)"는 용어가 상세한 설명 또는 청구범위에서 사용되는 한, 그러한 용어는 "포함하는(comprising)"이 청구항에서 전이어로서 사용되는 경우에 해석되는 바와 같은 "포함하는(comprising)"이란 용어와 유사한 방식으로 포괄적인 것으로 의도된다.

Claims (36)

  1. 전자 소자를 그 위에 제조하도록 하나 이상의 공정 모듈의 안과 밖으로 소재를 이동시키기 위해 하나 이상의 공정 모듈과 함께 구현하기 위한 이송 모듈로서,
    상기 소재의 이동을 위한 내부 공간을 갖는 이송 챔버로서, 상기 이송 챔버는 소재가 처리되는 하나 이상의 공정 모듈에 연결되도록 구성되는, 이송 챔버;
    상기 이송 챔버의 상기 내부 공간의 내부에 위치된 이송 기구로서, 상기 이송 기구는, 상기 내부 공간을 통하여 그리고 상기 이송 챔버에 연결된 상기 하나 이상의 공정 모듈의 안과 밖으로 선택적으로 하나 이상의 소재를 이동시키도록 구성되는, 이송 기구;
    상기 이송 챔버 내부 공간의 전용 영역 내에 위치된 측정 영역으로서, 상기 측정 영역은, 상기 소재가 공정 모듈에서 처리되기 전 또는 후 중 적어도 하나에서 상기 측정 영역에 소재를 위치시키기 위해 상기 이송 기구에 의해 액세스 가능한, 측정 영역; 및
    상기 측정 영역에 위치된 소재와 연결되도록 구성되고, 상기 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한 검사 시스템을 포함하는,
    전자 소자를 그 위에 제조하도록 하나 이상의 공정 모듈의 안과 밖으로 소재를 이동시키기 위해 하나 이상의 공정 모듈과 함께 구현하기 위한 이송 모듈.
  2. 제1항에 있어서,
    상기 이송 챔버는, 공정 순서의 복수의 공정을 통해 소재가 처리되는 복수의 공정 모듈을 호스팅하는 제조 플랫폼과 연결되도록 구성되는, 이송 모듈.
  3. 제2항에 있어서,
    상기 제조 플랫폼은 적어도 하나의 에칭 모듈 및 적어도 하나의 막 형성 모듈을 호스팅하는, 이송 모듈.
  4. 제1항에 있어서,
    상기 측정 영역에 위치된 소재를 지지하기 위한 지지 기구를 더 포함하는, 이송 모듈.
  5. 제4항에 있어서,
    상기 검사 시스템은 상기 지지 기구의 일부로서 내장되는, 이송 모듈.
  6. 제4항에 있어서,
    상기 지지 기구는, 상기 소재를 병진 이동시키는 단계 또는 상기 소재를 회전시키는 단계 중 적어도 하나를 수행하도록 구성되는, 이송 모듈.
  7. 제6항에 있어서,
    상기 소재의 상기 병진 이동은 상기 이송 챔버 내에서의 수직 이동을 포함하는, 이송 모듈.
  8. 제4항에 있어서,
    상기 지지 기구는, 상기 소재 온도를 제어하기 위한 적어도 하나의 온도 제어 요소를 포함하는, 이송 모듈.
  9. 제4항에 있어서,
    상기 지지 기구는, 적어도 하나의 자유도를 제공하기 위한 자기 부상 스테이지를 포함하는, 이송 모듈.
  10. 제1항에 있어서,
    상기 검사 시스템은 상기 이송 챔버 내부 공간의 외부에 위치되며,
    상기 검사 시스템은, 상기 소재의 특성과 관련된 데이터를 측정하기 위해 상기 내부 공간의 외부로부터 상기 측정 영역으로 검사 신호를 지향시킴으로써 상기 소재와 연결되도록 구성되는, 이송 모듈.
  11. 제10항에 있어서,
    상기 이송 챔버와 연결된 액세스 포트를 더 포함하며,
    상기 액세스 포트는, 상기 검사 시스템으로부터 상기 측정 영역으로의 상기 내부 공간으로 상기 검사 신호를 통과시키기 위해 투명한, 이송 모듈.
  12. 제11항에 있어서,
    상기 신호는, 전자기 신호, 광 신호, 입자빔, 또는 하전 입자빔, 또는 이들의 둘 이상의 조합 중 적어도 하나를 포함하는, 이송 모듈.
  13. 제11항에 있어서,
    상기 액세스 포트는, 윈도우, 개구부, 밸브, 셔터, 또는 조리개, 또는 이들의 둘 이상의 조합을 포함하는, 이송 모듈.
  14. 제11항에 있어서,
    상기 검사 시스템은 상기 이송 모듈 위에 위치되는, 이송 모듈.
  15. 제1항에 있어서,
    상기 검사 시스템은 상기 측정 영역에 인접하게 그리고 상기 이송 챔버 내부 공간에 위치되며,
    상기 검사 시스템은, 상기 소재의 특성과 관련된 데이터를 측정하기 위해 상기 측정 영역으로 검사 신호를 지향시킴으로써 상기 소재와 연결되는, 이송 모듈.
  16. 제1항에 있어서,
    상기 검사 시스템은 상기 측정 영역에 인접하게 그리고 상기 이송 챔버 내부 공간에 위치되며,
    상기 검사 시스템은, 접촉식 측정 또는 비접촉식 계측, 또는 이들의 조합 중 적어도 하나를 수행함으로써 상기 소재와 연결되는, 이송 모듈.
  17. 제1항에 있어서,
    상기 검사 시스템은 상기 측정 영역에 인접하게 그리고 상기 이송 챔버 내부 공간에 위치되며,
    상기 검사 시스템은, 상기 소재의 전면 및/또는 상기 소재의 후면 중 적어도 하나의 측정을 수행함으로써 상기 소재와 연결되는, 이송 모듈.
  18. 제1항에 있어서,
    상기 검사 시스템은 단일 광 빔을 발생시키도록 구성된 광원을 포함하는, 이송 모듈.
  19. 제18항에 있어서,
    상기 검사 시스템은 상기 소재 상의 입자를 검출 및 계수하는, 이송 모듈.
  20. 제1항에 있어서,
    상기 이송 챔버 내부 공간 및 측정 영역은, 진공 환경 또는 불활성 가스 분위기 중 적어도 하나를 포함하는 제어된 환경으로 유지되는, 이송 모듈.
  21. 전자 소자를 그 위에 제조하도록 하나 이상의 공정 모듈의 안과 밖으로 소재를 이동시키기 위해 하나 이상의 공정 모듈과 함께 구현하기 위한 이송 모듈로서,
    상기 소재의 이동을 위한 내부 공간을 갖는 이송 챔버로서, 상기 이송 챔버는 소재가 처리되는 하나 이상의 공정 모듈에 연결되도록 구성되는, 이송 챔버;
    상기 소재의 이동을 위한 내부 공간을 갖는 통과 챔버로서, 상기 통과 챔버는 상기 이송 챔버와 다른 챔버 사이에 위치되고, 상기 다른 챔버는 공정 모듈 또는 다른 이송 챔버를 포함하는, 통과 챔버;
    상기 이송 챔버의 상기 내부 공간의 내부에 위치된 이송 기구로서, 상기 이송 기구는, 상기 내부 공간을 통하여 그리고 상기 이송 챔버에 연결된 상기 통과 챔버 또는 상기 하나 이상의 공정 모듈의 안과 밖으로 선택적으로 하나 이상의 소재를 이동시키도록 구성되는, 이송 기구;
    상기 통과 챔버 내부 공간의 전용 영역 내에 위치된 측정 영역으로서, 상기 측정 영역은, 상기 소재가 공정 모듈에서 처리되기 전 또는 후 중 적어도 하나에서 상기 측정 영역에 상기 소재를 위치시키기 위해 상기 이송 기구에 의해 액세스 가능한, 측정 영역; 및
    상기 측정 영역에 위치된 상기 소재와 연결되도록 구성되고, 상기 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한 검사 시스템을 포함하는,
    전자 소자를 그 위에 제조하도록 하나 이상의 공정 모듈의 안과 밖으로 소재를 이동시키기 위해 하나 이상의 공정 모듈과 함께 구현하기 위한 이송 모듈.
  22. 제21항에 있어서,
    상기 복수의 공정 모듈은 적어도 하나의 막 형성 모듈 및 적어도 하나의 에칭 모듈을 포함하는, 제조 플랫폼.
  23. 제21항에 있어서,
    상기 검사 시스템은 상기 통과 내부 공간의 외부에 위치되며,
    상기 검사 시스템은, 상기 소재의 특성과 관련된 데이터를 측정하기 위해 상기 내부 공간의 외부로부터 상기 측정 영역으로 검사 신호를 지향시키도록 구성되는, 제조 플랫폼.
  24. 제23항에 있어서,
    상기 이송 챔버와 연결된 액세스 포트를 더 포함하며,
    상기 액세스 포트는, 상기 검사 시스템으로부터 상기 측정 영역으로의 상기 내부 공간으로 상기 검사 신호를 통과시키기 위해 투명한, 제조 플랫폼.
  25. 제21항에 있어서,
    상기 검사 시스템은,
    상기 측정 영역에 위치된 상기 소재의 표면 상에 입사되도록 전자기 신호, 광 신호, 입자빔, 또는 하전 입자빔 중 적어도 하나를 지향시키기 위한 적어도 하나의 신호 소스; 및
    상기 소재의 특성과 관련된 데이터를 측정하기 위해, 상기 소재의 표면으로부터 반사되는 전자기 신호, 광 신호, 입자빔, 또는 하전 입자빔 중 적어도 하나를 수신하도록 배치된 적어도 하나의 검출기를 포함하는, 제조 플랫폼.
  26. 제21항에 있어서,
    상기 검사 시스템은, 층 두께, 층 정합성, 층 커버리지, 층 프로파일, 에지 배치 위치, 에지 배치 오차(EPE), 임계 치수(CD), 블록 임계 치수(CD), 그리드 임계 치수(CD), 선폭 거칠기(LWR), 라인 에지 거칠기(LER), 블록 LWR, 그리드 LWR, 선택적 증착에 관한 특성, 선택적 에칭에 관한 특성, 물리적 특성, 광학적 특성, 전기적 특성, 굴절률, 저항, 전류, 전압, 온도, 질량, 속도, 가속도, 또는 상기 소재 상의 제조된 전자 소자와 관련된 이들의 조합 중 하나 이상을 포함하는 특성과 관련된 데이터를 측정하도록 작동 가능한, 제조 플랫폼.
  27. 제21항에 있어서,
    상기 검사 시스템은, 반사 측정, 간섭 측정, 산란 측정, 표면형상 측정, 타원 편광법, X선 광전자 분광법, 이온 산란 분광법, 저에너지 이온 산란(LEIS) 분광법, 오제 전자 분광법, 2차 이온 질량 분광법, 반사 흡수 IR 분광법, 전자빔 검사, 입자 검사, 입자 계수 장치, 광학 검사, 도펀트 농도 계측, 막 저항률 계측, 미량 천칭, 가속도계, 전압 프로브, 전류 프로브, 온도 프로브, 스트레인 게이지의 기술 또는 장치 중 적어도 하나를 사용하여, 상기 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한, 제조 플랫폼.
  28. 제21항에 있어서,
    상기 통과 내부 공간 및 측정 영역은, 진공 환경 또는 불활성 가스 분위기 중 적어도 하나를 포함하는 제어된 환경으로 유지되는, 제조 플랫폼.
  29. 전자 소자를 그 위에 제조하도록 하나 이상의 공정 모듈의 안과 밖으로 소재를 이동시키기 위해 하나 이상의 공정 모듈과 함께 구현하기 위한 이송 모듈로서,
    상기 소재의 이동을 위한 내부 공간을 갖는 이송 챔버로서, 상기 이송 챔버는 상기 이송 챔버의 둘레 주위에 배치된 하나 이상의 이송 포트를 포함하는, 이송 챔버;
    상기 이송 챔버의 상기 내부 공간의 내부에 위치된 이송 기구로서, 상기 이송 기구는, 해당 이송 포트에 대향하는 상기 하나 이상의 공정 모듈의 안과 밖으로 선택적으로 그리고 상기 내부 공간 내에서 수평면을 따라 상기 소재를 이동시키도록 구성되는, 이송 기구; 및
    상기 이송 챔버에 연결된 광학 검사 시스템을 포함하며,
    상기 광학 검사 시스템은, 상기 이송 챔버의 상기 둘레 내에 그리고 상기 수평면에 대향하게 배치된 센서 개구를 포함하는,
    전자 소자를 그 위에 제조하도록 하나 이상의 공정 모듈의 안과 밖으로 소재를 이동시키기 위해 하나 이상의 공정 모듈과 함께 구현하기 위한 이송 모듈.
  30. 제29항에 있어서,
    상기 센서 개구는 해당 공정 모듈에 인접하게 배치되는, 이송 모듈.
  31. 제29항에 있어서,
    상기 광학 검출 시스템은, 이미지 포착 장치, 광원, 및 메모리에 저장된 이미지를 분석하기 위한 이미지 처리 시스템을 포함하는, 이송 모듈.
  32. 제29항에 있어서,
    상기 광학 검출 시스템은 표면 분석 구성 요소를 포함하는, 이송 모듈.
  33. 제29항에 있어서,
    상기 광학 검출 시스템은 패턴 분석 구성 요소를 포함하는, 이송 모듈.
  34. 제29항에 있어서,
    상기 광학 검출 시스템은 두께 분석 구성 요소를 포함하는, 이송 모듈.
  35. 제29항에 있어서,
    상기 광학 검출 시스템은 응력 분석 구성 요소를 포함하는, 이송 모듈.
  36. 전자 소자의 제조를 위한 제조 플랫폼으로서,
    공정 순서의 복수의 공정을 통해 소재를 처리하기 위해 공통 제조 플랫폼을 통해 호스팅되는 복수의 공정 모듈;
    상기 공정 순서를 수행하도록 상기 공정 모듈의 안과 밖으로 상기 소재를 이동시키기 위해, 상기 복수의 공정 모듈 및 상기 공통 제조 플랫폼에 연결된 이송 모듈을 포함하며,
    상기 이송 모듈은,
    상기 소재의 이동을 위한 내부 공간을 갖는 이송 챔버;
    상기 이송 챔버의 상기 내부 공간의 내부에 위치된 이송 기구로서, 상기 이송 기구는, 상기 내부 공간을 통하여 그리고 상기 공정 모듈의 안과 밖으로 선택적으로 상기 소재를 이동시키도록 구성되는, 이송 기구;
    상기 소재의 이동을 위한 내부 공간을 갖는 통과 챔버로서, 상기 통과 챔버는 상기 이송 챔버와 다른 챔버 사이에 위치되고, 상기 다른 챔버는 공정 모듈 또는 다른 이송 챔버를 포함하는, 통과 챔버;
    상기 이송 챔버의 상기 내부 공간 내에 위치된 제1 측정 영역으로서, 상기 측정 영역은, 상기 소재가 공정 모듈에서 처리되기 전 또는 후 중 적어도 하나에서 상기 측정 영역에 상기 소재를 위치시키기 위해 상기 이송 기구에 의해 액세스 가능한, 제1 측정 영역;
    상기 통과 챔버의 상기 내부 공간 내에 위치된 제2 측정 영역으로서, 상기 측정 영역은, 상기 측정 영역에 상기 소재를 위치시키기 위해 상기 이송 기구에 의해 액세스 가능한, 제2 측정 영역;
    상기 제1 측정 영역에 위치된 상기 소재와 연결되도록 구성되고, 상기 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한 제1 검사 시스템; 및
    상기 제2 측정 영역에 위치된 상기 소재와 연결되도록 구성되고, 상기 소재의 특성과 관련된 데이터를 측정하도록 작동 가능한 제2 검사 시스템을 포함하는,
    전자 소자의 제조를 위한 제조 플랫폼.
KR1020207029988A 2018-03-20 2019-03-18 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법 KR102648517B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201962787608P 2019-01-02 2019-01-02
US201962787607P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962787874P 2019-01-03 2019-01-03
US62/787,874 2019-01-03
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04
PCT/US2019/022707 WO2019182952A1 (en) 2018-03-20 2019-03-18 Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Publications (2)

Publication Number Publication Date
KR20200124315A true KR20200124315A (ko) 2020-11-02
KR102648517B1 KR102648517B1 (ko) 2024-03-15

Family

ID=67987486

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207029988A KR102648517B1 (ko) 2018-03-20 2019-03-18 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법

Country Status (6)

Country Link
US (2) US20200006100A1 (ko)
JP (1) JP7402399B2 (ko)
KR (1) KR102648517B1 (ko)
CN (1) CN112106182A (ko)
TW (2) TWI828666B (ko)
WO (1) WO2019182952A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200108876A (ko) * 2018-01-26 2020-09-21 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
US11241720B2 (en) 2018-03-22 2022-02-08 Tel Manufacturing And Engineering Of America, Inc. Pressure control strategies to provide uniform treatment streams in the manufacture of microelectronic devices
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US11681929B2 (en) * 2018-10-02 2023-06-20 Honeywell International Inc. Methods and systems for predicting a remaining useful life of a component using an accelerated failure time model
US11097485B2 (en) * 2019-05-07 2021-08-24 Solar Turbines Incorporated System and method for resource estimation of additive manufacturing
DE102019121281A1 (de) * 2019-08-07 2021-02-11 Netzsch-Gerätebau GmbH Datenerfassungssystem, System und Verfahren für Echtzeit-Inline-Überwachung von industriellen Herstellungsprozessen
JP2021108367A (ja) * 2019-12-27 2021-07-29 株式会社Screenホールディングス 基板処理装置、基板処理方法、基板処理システム、及び学習用データの生成方法
US11830779B2 (en) * 2020-08-12 2023-11-28 Applied Materials, Inc. In-situ etch material selectivity detection system
JP2022036757A (ja) * 2020-08-24 2022-03-08 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
TWI757907B (zh) * 2020-10-07 2022-03-11 財團法人國家實驗研究院 一種在真空環境中叢集式即時線上製程暨分析傳輸系統
KR20220056600A (ko) * 2020-10-28 2022-05-06 삼성전자주식회사 반도체 소자의 제조 장치
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
EP4256410A1 (en) * 2020-12-03 2023-10-11 Elisa Oyj Monitoring and control of a semiconductor manufacturing process
CN112880737B (zh) * 2021-01-14 2023-05-30 四川雅吉芯电子科技有限公司 一种单晶硅外延片检测用集成系统
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters
EP4318260A4 (en) 2021-03-31 2024-05-22 Fuji Corp DATA SAVING SYSTEM
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
KR102622144B1 (ko) * 2021-09-06 2024-01-05 주식회사 한화 반도체 증착 시스템 및 이의 동작 방법
US20230185268A1 (en) * 2021-12-10 2023-06-15 Applied Materials, Inc. Eco-efficiency monitoring and exploration platform for semiconductor manufacturing
US20230420276A1 (en) * 2022-06-28 2023-12-28 Inchfab, Inc. Integrated benchtop semiconductor processing cells and semiconductor fabs formed from such cells and semiconductor tool libraries
US20230416906A1 (en) * 2022-06-28 2023-12-28 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN117974719A (zh) * 2024-03-28 2024-05-03 深圳新联胜光电科技有限公司 一种光学透镜的加工跟踪与检测方法、系统及介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) * 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
US6830942B1 (en) * 1999-04-06 2004-12-14 Lucent Technologies Inc. Method for processing silicon workpieces using hybrid optical thermometer system
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
JP4696373B2 (ja) * 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US6642066B1 (en) * 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7129694B2 (en) * 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
CN101484973A (zh) * 2006-07-03 2009-07-15 应用材料股份有限公司 用于先进前段工艺的群集设备
US7428044B2 (en) * 2006-11-16 2008-09-23 Tokyo Electron Limited Drift compensation for an optical metrology tool
US7674350B2 (en) * 2007-01-22 2010-03-09 Infineon Technologies Ag Feature dimension control in a manufacturing process
JP4884345B2 (ja) * 2007-09-28 2012-02-29 株式会社山武 画像処理装置
WO2014088918A1 (en) * 2012-12-03 2014-06-12 Applied Materials, Inc Semiconductor device processing tools and methods for patterning substrates
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
JP2019140220A (ja) * 2018-02-09 2019-08-22 東芝メモリ株式会社 半導体処理装置および半導体処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) * 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Also Published As

Publication number Publication date
TWI828666B (zh) 2024-01-11
TWI828667B (zh) 2024-01-11
US20200043764A1 (en) 2020-02-06
JP2021518672A (ja) 2021-08-02
KR102648517B1 (ko) 2024-03-15
US20200006100A1 (en) 2020-01-02
WO2019182952A1 (en) 2019-09-26
TW201946178A (zh) 2019-12-01
TW201946177A (zh) 2019-12-01
JP7402399B2 (ja) 2023-12-21
CN112106182A (zh) 2020-12-18

Similar Documents

Publication Publication Date Title
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
JP7348440B2 (ja) 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
TWI525407B (zh) 用於自主學習和自主改進半導體製造工具之方法和系統
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230089982A1 (en) Methods and mechanisms for coupling sensors to transfer chamber robot
WO2024010736A1 (en) Sustainability monitoring platform with sensor support

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant