KR20180069038A - 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들 - Google Patents

선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들 Download PDF

Info

Publication number
KR20180069038A
KR20180069038A KR1020187013726A KR20187013726A KR20180069038A KR 20180069038 A KR20180069038 A KR 20180069038A KR 1020187013726 A KR1020187013726 A KR 1020187013726A KR 20187013726 A KR20187013726 A KR 20187013726A KR 20180069038 A KR20180069038 A KR 20180069038A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
cavity
active flux
atomic layer
Prior art date
Application number
KR1020187013726A
Other languages
English (en)
Inventor
쿠르티스 레시키에스
스티븐 베르하베르베케
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180069038A publication Critical patent/KR20180069038A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

디바이스 프로세싱의 방법. 방법은 층에 공동을 제공하는 단계, 공동의 바닥 표면에 활성 플럭스를 보내는 단계, 수분-함유 환경에 공동의 노출을 수행하는 단계, 및 원자 층 증착 (ALD) 프로세스를 이용하여 공동에 충전 재료를 도입하는 단계를 포함할 수 있고, 충전 재료는 공동의 측벽에 대하여 공동의 바닥 표면상에 선택적으로 증착된다.

Description

선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들
관련 출원들
본 출원은 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들(TECHNIQUES FOR FILLING A STRUCTURE USING SELECTIVE SURFACE MODIFICATION)이라는 제목으로 2015년 11월 13일에 출원된 U.S. 가특허 출원 No. 62/255,017에 대한 우선권을 주장하고, 본 출원에 그 전체가 참조로서 통합된다.
기술분야
본 실시예들은 디바이스 구조 프로세싱에 관한 것으로, 보다 상세하게는, 디바이스 구조내 공동들을 충전하는 것에 관한 것이다.
오늘날의, 디바이스 제조 예컨대 반도체 디바이스 제조는 작은 공동들 예컨대 트렌치(trench)들, 홀들, 또는 다른 구조들의 충전을 수반할 수 있다. 이런 공동들은 금속 재료, 절연체 재료, 또는 다른 재료로 충전될 수 있다. 이런 공동들의 치수가 더 적은 사이즈들로 크기가 변경됨에 따라, 공동을 충전하는 능력은 더 어렵게 된다. 예를 들어, 소정 구조의 종횡비 (깊이/폭)가 높을 때 트렌치 또는 비아(via) 구조들의 충전은 특별히 어려울 수 있다. 일부 예제들에서, 트렌치는 1 보다 더 큰, 특별히, 2 보다 더 큰 종횡비를 가질 수 있다. 트렌치를 충전하기 위한 다양한 알려진 증착 기술들에서, 충전 재료가 트렌치로 도입될 때, 충전 재료의 증착은 트렌치의 바닥 뿐만 아니라 트렌치 측벽들을 포함하여 다수의 노출된 표면들상에서 일어날 수 있다. 이런 유형의 증착은 트렌치내 매립 홀들의 형성 및 돌출(overhang)로 귀결될 수 있고, 결과로 생긴 디바이스 구조의 바람직하지 않은 특성들로 이어진다.
이런 저런 고려사항들에 관련하여 본 개시가 제공된다.
일 실시예에서, 디바이스 프로세싱의 방법은 층에 공동을 제공하는 단계, 상기 공동의 바닥 표면에 활성 플럭스를 보내는 단계, 수분-함유 환경에 상기 공동의 노출을 수행하는 단계, 및 원자 층 증착 (ALD) 프로세스를 이용하여 상기 공동에 충전 재료를 도입하는 단계를 포함할 수 있다. 상기 충전 재료는 상기 공동의 측벽에 대하여 상기 공동의 바닥 표면상에 선택적으로 증착될 수 있다.
다른 실시예에서, 시스템은 이송 챔버(transfer chamber)를 포함할 수 있고, 상기 이송 챔버는 기판을 복수의 위치들 사이에서 이송하도록 배열된다. 상기 시스템은 활성 플럭스 챔버를 포함할 수 있고, 상기 활성 플럭스 챔버는 상기 기판을 수용하기 위해 상기 이송 챔버에 결합되고, 상기 활성 플럭스 챔버는 상기 기판에 지향성 방식(directional fashion)으로 활성 플럭스를 보낸다. 상기 시스템은 수분 챔버(moisture chamber)를 더 포함할 수 있고, 상기 수분 챔버는 상기 이송 챔버에 결합되고 상기 기판에 H2O 환경을 제공한다. 상기 시스템은 원자 층 증착 챔버(atomic layer deposition chamber)를 또한 포함할 수 있고, 상기 원자 층 증착 챔버는 상기 이송 챔버에 결합되고 제1 반응물(reactant) 및 제2 반응물을 상기 기판에 제공하되, 상기 제1 반응물 및 상기 제2 반응물은 충전 재료의 적어도 하나의 단분자층(monolayer)을 형성한다. 상기 시스템은 에칭 챔버(etch chamber)를 포함할 수 있고, 상기 에칭 챔버는 상기 이송 챔버에 결합되고 상기 충전 재료의 에칭을 위해 상기 기판에 에천트를 보낸다.
추가 실시예에서, 프로세싱 장치는 수용하는 프로세스 챔버; 활성 플럭스 소스로서, 활성 플럭스를 상기 기판에 지향성 방식으로 제공하는, 상기 활성 플럭스 소스; 및 수분 소스로서, H2O를 상기 기판에 제공하는, 상기 수분 소스; 및 원자 층 증착 소스를 포함할 수 있다. 상기 원자 층 증착 소스는 원자 층 증착 프로세스를 이용하여 상기 기판상에 충전 재료를 증착하기 위해 상기 기판에 적어도 두개의 종들을 제공할 수 있다. 상기 활성 플럭스 소스, 상기 수분 소스, 및 상기 원자 층 증착 소스는 상기 프로세스 챔버에 결합될 수 있다.
도면들 1a 내지 1d는 본 개시의 실시예들에 따른 방법에 포함된 대표적인 동작들을 예시한다;
도면들 2a 내지 2c는 본 개시의 다른 실시예들에 따른 대표적인 동작들을 도시한다;
도면들 3a 내지 3c는 본 개시의 추가 실시예들에 따른 대표적인 동작들을 도시한다;
도 4는 원자 층 증착 프로세스 전에 활성 플럭스(energetic flux)에 노출이 있는 및 활성 플럭스에 노출이 없는 기판상에 막 성장(film growth)의 결과들을 비교하는 푸리에 변환 적외선 스펙트럼들을 예시한다;
도 5는 원자 층 증착 프로세스 전에 활성 플럭스에 노출이 있는 및 활성 플럭스에 노출이 없는 원자 층 증착 프로세스를 이용한 기판상에 막 성장의 비교를 예시하는 합성 다이어그램이다.
도 6은 본 개시의 실시예들에 따른 대표적인 프로세스 플로우를 제시한다.
도 7은 본 개시의 실시예들에 따른 대표적인 시스템을 제시한다; 및
도 8은 본 개시의 실시예들에 따른 대표적인 장치를 제시한다.
도면들은 반드시 축척에 맞지는 않다. 도면들은 단지 표현들이고, 본 개시의 특정 파라미터들을 나타내도록 의도되지 않는다. 도면들은 본 개시의 대표적인 실시예들을 도시하도록 의도되어서 범위를 제한하는 것으로 간주되지 않는다. 도면들에서, 같은 넘버링(numbering)은 같은 엘리먼트들을 나타낸다.
더욱이, 어떤 엘리먼트들 중 일부 도면들에 어떤 엘리먼트들은 예시의 명확성을 위하여 생략되거나 또는 축적에 맞지 않게 예시된다. 단면도들은 예시의 명확성을 위하여 "실제" 단면도에서 다른 식으로는 가시적인 어떤 백그라운드 라인들을 생략한 "슬라이스들", 또는 "근시안적인(near-sighted)" 단면도들의 형태일 수 있다. 더욱이, 명확성을 위하여, 일부 도면 번호들은 어떤 도면들에 생략될 수 있다.
본 실시예들은 이제 일부 실시예들이 도시된 첨부 도면들을 참조하여 이후에 보다 상세하게 설명될 것 이다. 본 개시의 내용은 많은 상이한 형태들로 구현될 수도 있으며, 본 명세서에서 설명되는 실시예들에 한정되는 것으로 이해되지 않아야 한다. 본 개시가 철저하고 그리고 완벽하도록 하기 위해, 그리고 당업자들에게 내용의 범위를 충분히 전달하도록 하기 위해 이러한 실시예들이 제공된다. 도면들에서, 동일한 번호들은 도면 전체에서 동일한 엘리먼트들을 나타낸다.
본 출원에 설명된 실시예들은 기판에 공동, 예컨대 트렌치 또는 비아를 충전하기 위한 프로세싱을 포함하는 새로운 디바이스 프로세싱을 제공한다. 다양한 실시예들에서, 종횡비가 1 보다 더 큰 높은 종횡비 공동들을 포함하는 공동들에 대한 트렌치-충전 또는 비아-충전을 개선하기 위한 기술들이 제공된다. 실시예들은 이 상황에 제한되지 않는다.
도 1a 내지 1d는 본 개시의 실시예들에 따른 공동을 충전하기 위한 방법에 포함된 대표적인 동작들을 예시한다. 공동은 다양한 실시예들에서 구조 예컨대 기판 베이스(substrate base)에 또는 기판 베이스 위에 배치된 층에 제공될 수 있다. 기판 베이스는 예를 들어, 반도체, 절연체, 또는 기판의 주요 부분을 형성하는 다른 재료와 같은 재료 일 수 있다. 임의 개수의 층들이 기판 베이스 위에 배치될 수 있다.
이제 도 1a로 가서, 층 (106)으로 도시된 구조내에 배열된 공동 (100)이 도시된다. 다양한 실시예들에서, 층 (106)은 기판 베이스를 나타낼 수 있거나 또는 기판 (미도시)내에 또는 그 위에 배열된 층일 수 있다. 일부 실시예들에서, 층 (106)은 실리콘 옥사이드, 실리콘 나이트라이드, 또는 실리콘 옥시카바이드로 구성될 수 있다. 실시예들은 이 상황에 제한되지 않는다.
공동(100)은 본 개시의 다양한 실시예들에 따라 다른 구조를 가질 수 있다. 일부 실시예들에서, 공동 (100)은 비아 구조를 가질 수 있고 여기서 공동은 도시된 직교 좌표계에 따른 X-Y 평면내에 오벌(oval) 또는 원형 형상을 가진다. 이들 실시예들에서, 측벽 (104)은 공동 (100)의 측면을 정의하는 단지 하나의 측벽일 수 있다. 다른 실시예들에서, 공동은 트렌치 구조를 가지며 여기서 트렌치는 측벽 (104)으로 도시된 한 쌍의 대향하는 측벽들을 포함한다. 추가적으로, 이런 트렌치는 대향하는 종단벽(endwall)들 (미도시)을 포함 할 수 있다. 공동 (100)은 도시된 바와 같이 바닥 표면 (102)을 또한 포함할 수 있다. 일부 실시예들에서, 공동은 충전될 X-Y 평면내에 복잡한 형상, 예컨대 임의의 목표가 된 트렌치 패턴을 가질 수 있다.
다양한 실시예들에 따라, 공동 (100)의 측벽들 및 바닥 표면은 표면 종(surface specie)들 (108), 예컨대 산소로 종결 처리될 수 있다. 이하에서 상세하게 설명되는 바와 같이, 공동 (100)의 표면상에 배치된 표면 종들 (108)은 타겟 재료 예컨대 절연체 또는 금속의 증착을 가능하게 하기 위해서 증착 프로세스에 제공되는 어떤 반응성 종들과 반응하도록 구성될 수 있다.
이제 도 1b로 가서, 활성 플럭스 (112)가 공동 (100)의 바닥 표면 (102)으로 보내지는 동작이 도시된다. 다양한 실시예들에서, 활성 플럭스 (112)는 활성 플럭스 챔버에 제공될 수 있고, 여기서 활성 플럭스 (112)는 이온들, 전자들, 또는 광자(photon)들, 또는 그것의 조합일 수 있다. 활성 플럭스 (112)는 바닥 표면 (102)이 측벽들 (104)에 비교하여 우선적으로 충돌되는 공동 (100)의 바닥 표면 (102)으로의 지향성 방식으로 제공될 수 있다. 이온들이 활성 플럭스 (112)를 구성하는 실시예들에서, 이온들은 바닥 표면 (102) 쪽으로 콜리메이트 방식(collimated fashion)으로 보내질 수 있다. 특정 실시예들에서, 이온들은 평행한 궤적들을 갖는 이온들을 함유하는 이온 빔으로 보내질 수 있다. 이온들은 예를 들어, 측벽들 (104)에 평행한 궤적들을 갖도록 제공될 수 있다. 트렌치의 대향 측면들상에 측벽들 (104)이 전체적으로 서로에 평행하게 배치된 경우에, 이온들의 평행 빔은 바닥 표면 (102)에는 부딪치고 측벽들 (104)에는 부딪치지 않도록 측벽들 (104)에 평행하게 보내질 수 있다. 이런 식으로, 이온들 또는 다른 활성 플럭스는 측벽들 (104)을 변경하지 않으면서 바닥 표면 (102)을 선택적으로 변경할 수 있다. 일부 실시예들에 따라, 도 1b의 동작에서 활성 이온들은 알려진 빔라인 이온 주입기, 콤팩트 이온 빔 소스, 플라즈마 소스, 또는 콜리메이트된 이온들을 제공하는 것이 가능한 다른 알려진 소스를 이용하여 제공될 수 있다.
다양한 실시예들에 따라, 활성 플럭스의 에너지는 활성 플럭스에 노출된 공동 (100)의 표면들을 수산화물 (OH) 종결 처리의 형성이 더 쉬운 표면들이 되게 만드는 방식으로 변경하기에 적절한 에너지를 제공하도록 배열될 수 있다. 공동의 표면을 변경하기 위해 이온들을 이용하는 실시예들에서, 이온들의 이온 에너지는 충돌된 표면(들)에 과도한 손상을 부과하지 않으면서 수산화물 형성이 쉬운 충돌된 표면(들)이 되게 만드는 적절한 에너지를 제공하도록 조정될 수 있다. 일부 실시예들에서, 활성 플럭스 (112)로서 제공된 이온들의 이온 에너지는 5 eV 내지 500 eV 사이의 범위에 이를 수 있다. 일부 실시예들에서, 이온들은 Ar 이온들을 포함하는 불활성 가스 이온들로서 제공될 수 있거나, 또는 O2 이온들을 포함하는 반응성 이온들일 수 있다. 광자들이 활성 플럭스 (112)로서 사용되는 실시예들에서, 광자들은 자외선 (UV : ultraviolet) 에너지 범위에 자외선 포논(phonon)들로서 또는 진공 자외선 (VUV : vacuum ultraviolet) 에너지 범위에 진공 자외선 광자들로서 제공될 수 있다. 특정 실시예들에서, 활성 플럭스 (112)로 사용되는 광자들의 광자 에너지는 7 eV 내지 10 eV의 범위에 있을 수 있다.
본 개시의 실시예들에 따라, 공동 (100)은 활성 플럭스 (112)에 노출과 함께 수분-함유 (H2O) 환경(ambient)에 노출될 수 있다. 다양한 실시예들에서, 수분-함유 환경은 활성 플럭스 (112)의 제공에 후속하여 제공될 수 있다. 이제 도 1c로 가서, 활성 플럭스 (112)에 노출 뒤 그리고 수분-함유 환경에 노출 뒤에 공동 (100)의 시나리오가 도시된다. 이 예제에서 활성 플럭스 (112)는 도 1b에 의해 제안된 바와 같이 측벽들 (104)에 충돌하지 않으면서 바닥 표면 (102)으로 선택적으로 보내진다. 예시된 바와 같이, 바닥 표면 (102)은 이제 OH 그룹들로 종결 처리 될 수 있지만, 그러나 측벽들 (104)은 OH 그룹들로 종결 처리 되지 않는다. 이 차이는 H2O와 함께 활성 플럭스 (112)를 이용하여 트렌지 바닥들의 변경의 결과로 귀결될 수 있다. 측벽들 (104)은 수분-함유 환경에 공동의 노출 동안에 H2O에 노출되지만, 활성 플럭스 (112)에 의해 변경되지 않은 측벽들 (104)은 측벽들의 표면상에 OH 반응 산물을 형성하기 위해 H2O와 반응하지 않을 수 있다.
바닥 표면 (102)에서 OH-종결 처리된 표면의 선택적 형성 후에, 본 개시의 실시예들에 따라, 공동 (100)은 증착 프로세스, 예컨대 원자 층 증착 (ALD : atomic layer deposition)에 노출될 수 있다. ALD는 일반적으로 소정 재료의 단분자층을 증착하기 위해 두개 이상의 반응물들에 대한 순차적인 노출을 포함한다. 다양한 실시예들에서, ALD 프로세스는 재료 예컨대 옥사이드, 나이트라이드 또는 금속, 예컨대 Ta를 선택적으로 증착하도록 수행될 수 있다. 일부 실시예들에서, 옥사이드는 고 유전 상수 재료일 수 있고, 여기서 고 유전 상수 재료들의 예들은 Al2O3, HfO2, Ta2O5 및 유전 상수가 SiO2의 유전 상수보다 더 큰 다른 재료들을 포함한다. 실시예들은 이 상황에 제한되지 않는다.
본 개시의 실시예들에 따라, ALD 프로세스를 이용하는 충전 재료의 증착은 측벽들 (104)에 대하여 바닥 표면 (102)상에 선택적으로 촉진될 수 있다. 특별히, 바닥 표면 (102)의 OH-종결 처리는 어떤 재료들을 예로 들자면 알루미늄-함유 반응물, 하프늄-함유 반응물, 또는 탄탈륨-함유 반응물의 증착을 촉진할 수 있다. 상이한 예제들에서, 이 OH-종결 처리는 따라서 측벽들 (104)과는 대조적으로 바닥 표면 (102)상에 Al2O3, HfO2, Ta2O5, 또는 탄탈륨 금속의 선택적 성장으로 귀결될 수 있다. 다양한 실시예들에서, 주어진 ALD 프로세스는 도 1d에 도시된 충전 재료 (120)에 의해 제안된 소정 재료의 바텀-업(bottom-up) 충전을 생성하는 순환 방식으로 수행될 수 있다. 다시 말해서, 측벽들 (104)로부터의 성장은 일반적으로 억제될 수 있지만 한편 바닥 표면 (102)에 평행한 층들의 성장은 증강된다. 이 성장은 훨씬 높은-종횡비 트렌치들 또는 비아들에 대하여 핀치-오프(pinch-off)를 회피하는데 도움이 될 수 있다.
추가 설명으로서, 도 2a 내지 도 2c는 본 실시예들에 따른 선택적 ALD를 위한 시퀀스를 예시한다. 평면 기판 구성에 대하여 예시되지만, 도면들 2a 내지 2c의 프로세스는 도면들 1a 내지 1c에 도시된 앞서 언급한 시퀀스에 사용될 수 있다. 도 2a에서, 유전체 기판 (200)이 제공된다. 유전체 기판 (200)은 일부 예제들에서 공동 (100)의 표면들을 나타낼 수 있다. 다시 말해서, 유전체 기판 (200)의 평면 표면은 공동 (100)의 표면들과 동일한 재료를 제공할 수 있다. 도 2b에서, 유전체 기판 (200)의 부분(202)은 부분 (206)상에 작용기들 (204)을 생성하지 않으면서 부분 (202) 상에 작용기들 (204)을 생성하기 위해 선택적으로 변경된다. 이런 식으로, ALD 프로세스가 작용기들 (204)의 존재에 의해 증강되는 부분 (202)상에서 선택적 ALD가 촉진될 수 있다. 도 2c에 도시된, ALD에 의해 부분 (202) 위에 층 (208)이 이어 증착될 수 있지만, 그러나 어떠한 층도 부분 (206) 위에 성장되지 않는다.
이제 도 3a 내지 도 3c로 가서, 본 개시의 일 실시예에 따른 도면들 2a 내지 2c의 프로세스의 특정 구현예가 도시된다. 이 예제에서, 도 3a에서 실리콘 옥시카바이드 기판 (300), 예컨대 BDIIx가 제공된다. 도 3b에서, 실리콘 옥시카바이드 기판 (300)의 부분 (304)을 마스크하기 위해 마스크 (302)가 제공된다. 동시에, 활성 플럭스 (308)가 실리콘 옥시카바이드 기판 (300)의 마스크되지 않은 부분 (306)으로 보내진다. 다양한 실시예들에서, 활성 플럭스 (308)를 생성하는 활성 플럭스 챔버는 자외선 챔버일 수 있고, 여기서 자외선 챔버는 자외선 방사원을 포함한다. 일부 실시예들에서, 자외선 방사원은 예를 들어 150 nm 와 200 nm 사이의 파장을 갖는 방사선을 방출할 수 있다. 이 특정 예제에서, 활성 플럭스는 172 nm 자외선 방사선 (NBUV)을 구성할 수 있다. HfO2 ALD 프로세스에 노출 전에, 부분 (304) 및 부분 (306)은 수분-함유 환경에 또한 노출된다. 결과적으로, 후속 ALD 프로세스에 실리콘 옥시카바이드 기판 (300)의 전체를 노출시킴으로써 HfO2 층 (310)의 선택적 성장이 발생한다. 도시된 바와 같이, HfO2 층 (310)은 부분 (304) 위에서가 아니라 단지 마스크되지 않은 부분 (306) 위에서만 성장한다.
도 4 는 ALD 프로세스가 수행되기 전에 활성 플럭스에 노출이 있는 및 활성 플럭스에 노출이 없는 영역들에서 실리콘 옥시카바이드 기판 (300)상에 막 성장의 결과들을 비교하는 푸리에 변환 적외선 스펙트럼들을 예시한다. 도시된 바와 같이, 마스크되지 않은 부분 (306)에서 취해진 스펙트럼 (402)은 막 형성의 특성, 3400 파수(wavenumber)들의 범위에서 흡수 피크를 보인다. 부분 (304)에서 취해진 스펙트럼 (404)은 막 형성을 나타내는 어떠한 피크도 나타내지 않는다.
본 개시의 추가 실시예들에 따라, 목표가 된 표면상에 소정 재료의 선택적 증착은 ALD 및 에칭의 조합을 수행함으로써 촉진될 수 있다. 일부 실시예들에서, ALD 및 에칭, 예컨대 HF 에칭은 순환 방식으로 수행될 수 있다. 실시예들은 이 상황에 제한되지 않는다. 도 5 는 원자 층 증착을 수행하기 전에 UV 광자 플럭스에 노출이 있는 및 UV 광자 플럭스에 노출이 없는 기판의 영역들을 비교하여, 원자 층 증착 프로세스를 이용한 기판 상에 막 성장의 비교를 예시하는 합성 다이어그램이다. 특별히, 실리콘 옥시카바이드상에 HfO2의 선택적 ALD 증착의 예제가 도시된다. 도 5의 그래프는 수행된 ALD 사이클의 수의 함수로서 기판 샘플상에 증착된 하프늄 옥사이드의 양을 예시한다. 하프늄 옥사이드의 양은 소정의 샘플을 측정하기 위해 사용되는 X-선 광전자 분광학 (XPS) 신호의 세기에 의해 표시된다. 커브 (502)는 ALD 사이클의 수의 함수로서 도시된 ALD 전에 UV 플럭스 및 수분에 노출된 기판 부분상에 증착된 하프늄 옥사이드의 양을 나타낸다. 커브 (504)는 ALD 전에 UV 플럭스에 노출되지 않으면서 수분에 노출된 기판 부분상에 증착된 하프늄 옥사이드를 나타낸다. 이 실험에서, 에칭 프로세스가 수행되기 전에 20 ALD 사이클의 최초 시리즈가 수행된다. 예시된 바와 같이, 하프늄 옥사이드의 양은 두가지 경우들에서 ALD 사이클의 수에 따라 증가하지만, 그러나 UV-노출된 기판 부분에서 증착율은 노출되지 않은 기판 부분에서의 증착율에 아마도 세배 내지 네배이다.
20 ALD 사이클 후에, 기판의 노출되지 않은 영역 및 UV 플럭스에 대상이 된 노출 영역은 에칭 대상이 되고, 여기서 에칭은 하프늄 옥사이드 재료의 타겟 양을 제거한다. 두개의 샘플들에서 에칭후에 남은 하프늄 옥사이드의 개별 양이 지점 (506) 및 지점 (508)에 의해 예시된다. 도시된 바와 같이, 에칭 후에 노출되지 않은 부분상에는 어떠한 하프늄 옥사이드도 남아 있지 않은 반면, 노출된 부분상에는 하프늄 옥사이드 층이 남아 있다. 이어서, 추가의 20 ALD 사이클의 시리즈가 수행되고, 제2 에칭이 이어진다. 이 프로세스의 마지막에, 노출되지 않은 부분상에는 어떠한 하프늄 옥사이드도 남아 있지 않은 반면, 노출된 부분상에는 하프늄 옥사이드가 남아 있다. 이 결과는 프로세싱의 다양한 스테이지들에서 UV-노출된 샘플들 및 노출되지 않은 샘플들의 단면 전자 현미경 사진들을 보여주는 삽도들에서 확인된다. 상단 오른쪽 삽도에 예시된 바와 같이, 이 예제에서 40 ALD 사이클 후에 대략 3 nm 하프늄 옥사이드 층이 증착된다.
평면 기판들상에서 수행된 도 5의 상기의 예제는 예시이고 바텀-업 트렌치-충전 또는 비아-충전 프로세스를 증강시키기 위해 적용될 수 있다. 예를 들어, 공동의 바텀-업 충전은 수분 노출과 함께 활성 플럭스에 바닥 표면의 선택적 노출, 공동으로 충전 재료의 후속 ALD, 및 해당 ALD 프로세스 후에 충전 재료의 에칭을 수행함으로써 증강될 수 있다. 이 방식에서, ALD의 시퀀스 후에 일어나는 트렌치의 측벽상에 임의의 원치않는 증착이 제거될 수 있다. 이 제거는 개선된 바텀-업 트렌치-충전 프로세스를 가능하게 할 수 있고 보이드 형성 또는 다른 원치않는 마이크로구조를 야기하는 성장의 유형을 피할 수 있다.
예를 들어, 예시 목적을 위하여, 하나의 시나리오에서 20 ALD 사이클이 수행된 후에 전체적으로 도 1d에 도시된 바텀-업 방식으로 충전 재료의 4 nm 두께 층이 트렌치에 증착될 수 있다. 이어서, 0.5 nm의 충전 재료를 제거하기 위한 에칭이 수행될 수 있다. 이 에칭은 트렌치내 충전 재료의 표면 위에 노출된 측벽들로부터 임의의 남아있는 충전 재료를 제거하는데 효율적일 수 있다. 달리 말해서, 에칭 프로세스 후에 충전 재료의 표면 위 측벽들의 노출된 영역들상에는 어떠한 충전 재료도 존재하지 않을 수 있다. 에칭은 또한 0.5 nm 만큼 충전 재료를 리세스(recess) 할 수 있다. 이어서, 바텀-업 트렌치-충전 프로세스를 계속하기 위해 추가 ALD 프로세스가 수행될 수 있다. 이 시퀀스는 트렌치가 완전히 충전되거나 또는 타겟 레벨까지 충전될 때까지 필요한 만큼 반복될 수 있다.
도 6은 본 개시의 실시예들에 따른 대표적인 프로세스 플로우(600)를 제시한다. 블럭 (602)에서, 층에 공동(cavity)을 제공하는 동작이 수행된다. 블럭 (604)에서, 활성 플럭스가 공동의 바닥 표면으로 보내진다. 다양한 실시예들에서, 활성 이온 플럭스는 타겟 이온 에너지, 예컨대 500 eV 또는 그 미만의 이온 에너지를 갖는 이온들을 포함할 수 있다.
블럭 (606)에서, 공동의 수분-함유 환경에 노출이 수행된다. 다양한 실시예들에 따라, 수분-함유 환경에 노출은 블럭 (604) 후에 수행될 수 있다.
블럭 (608)에서, 충전 재료가 ALD 프로세스를 이용하여 공동에 도입되고, 여기서 충전 재료는 공동의 측벽에 대하여 공동의 바닥 표면상에 선택적으로 증착된다. 다양한 실시예들에서, 충전 재료는 옥사이드 예컨대 고 유전 상수 재료, 또는 금속을 구성할 수 있다.
블럭 (610)에서, 에칭 프로세스가 수행된다. 에칭 프로세스는 미리 결정된 충전 재료의 양을 제거하도록 배열될 수 있다. 결정 블럭 (612)에서, 충전 프로세스가 완료된지 여부에 관한 결정이 이루어진다. 만약에 그렇다면, 플로우는 끝난다. 만약에 그렇지 않으면, 플로우는 블럭 (608)로 회귀한다.
도 7은 본 개시의 실시예들에 따른 시스템 (700)으로 도시된 대표적인 시스템의 상부 평면도 (X-Y 평면)를 제시한다. 시스템 (700)은 본 출원에 개시된 실시예들에 따른 충전 프로세스들을 수행하기 위해 사용될 수 있다. 시스템 (700)은 다양한 프로세싱 챔버들간에 그리고 다양한 프로세싱 챔버에 기판 (720)을 이송하기 위한 이송 챔버(704) 및 로드락(loadlock)(702)를 포함하는 클러스터 기구(cluster tool)로 구성될 수 있다. 이송 챔버 (704) 및 프로세싱 챔버들은 이하에 설명되는 이송 챔버 (704) 및 다른 프로세싱 챔버들을 진공 상태들하에, 또는 제어되는 환경 조건들하에 유지하기 위해 배기 장치 예컨대 알려진 펌핑 시스템들 (미도시)에 결합될 수 있다. 따라서, 기판 (720)은 환경에 노출 없이 다양한 프로세싱 챔버들과 이송 챔버 (704) 사이에서 이송될 수 있다. 시스템 (700)은 이송 챔버 (704)에 결합된 플라즈마 잠입 챔버(plasma immersion chamber) (706)를 포함할 수 있고, 여기서 기판 (720)내 공동들로 평행한 방식으로 보내지는 이온들에 기판 (720)이 노출된다. 시스템 (700)은 이송 챔버 (704)에 결합되고, H2O에 제어되는 노출을 제공하는 수분 챔버(moisture chamber) (708)를 더 포함할 수 있다. 시스템 (700)은 예를 들어, Al2O3, HfO2, Ta2O5, 또는 탄탈륨 금속과 같은 소정 재료계에 대한 원자 층 증착 프로세스에 기판(720)을 노출시키기 위해 알려진 장치에 따라 배열되고 이송 챔버 (704)에 결합된 ALD 챔버 (710)로 도시된 원자 층 증착 챔버(atomic layer deposition chamber)를 또한 포함할 수 있다. ALD 챔버 (710)는 예를 들어, 원자 층 증착 프로세스를 수행하기 위해 ALD 챔버 (710)로 제어 가능하게 보내질 두개 이상의 증기 종(vapor specie)의 소스들에 결합될 수 있다. 시스템 (700)은 이송 챔버 (704)에 결합된 에칭 챔버 (712)를 또한 포함할 수 있고, 여기서 기판 (720)은 공동의 충전 동안에 미리 결정된 재료의 양을 제거하기 위해 에천트 예컨대 HF 또는 다른 에천트에 에칭 챔버 (712)내에서 노출될 수 있다. 시스템 (700)은 이송 챔버 (704)에 결합되고 미리 결정된 파장 범위에 자외선 방사선을 기판 (720)에 보내는 UV 챔버 (714)를 추가적으로 포함할 수 있다. 예를 들어, 기판 (720)을 플라즈마 잠입 챔버 (706)에서 프로세싱하는 대신에, 기판 (720)은 UV 챔버 (714)로 이송될 수 있고, 여기서 자외선 방사선이 도 1b에 개괄적으로 도시된 것 처럼 기판 (720)에 공동의 바닥으로 제어되는 방식으로 보내질 수 있다. 기판들 (720)은 따라서 외부 환경에 노출되지 않고서 시스템 (700)의 다양한 프로세스 챔버들 사이에서 이송될 수 있다. 특정 실시예들에서, UV 챔버 (714)는 172 nm의 범위에 피크 에너지, 및 대략 7 eV 내지 10 eV 사이의 에너지 범위를 갖는 방사선을 생성하는 활성 램프(energetic lamp)들을 포함할 수 있다.
시스템 (700)은 시스템 (700)의 상이한 프로세싱 챔버들 간에 기판 (720)의 이송 및 프로세싱을 조정하고 지시하는 제어기 (730)를 더 포함할 수 있다. 예를 들어, 제어기 (730)는 플라즈마 잠입 챔버 (706), 수분 챔버 (708), ALD 챔버 (710), 및 에칭 챔버 (712)를 포함하는 시스템 (700)의 복수의 프로세싱 챔버들 간에 기판의 프로세싱을 제어하기 위해서 로직(logic), 메모리, 및 유저 인터페이스를 포함하는 소프트웨어 및 하드웨어의 임의의 조합을 포함할 수 있다. 일 예로서, 제어기 (730)는 공동을 충전하기 위한 레서피에 따라 순환적 프로세스로 기판 (720)이 시스템 (700)의 다양한 프로세스 챔버들 사이에서 이송되도록 지시할 수 있다. 순환적 프로세스는 기판 (720)을 소정의 프로세싱 챔버(들) 안으로 그리고 챔버(들) 밖으로 여러번 이송하는 것을 수반할 수있고, 공동 충전 프로세스를 완료하기 위해 기판 (720)을 상이한 프로세싱 챔버들 사이에서 여러번 이송하는 것을 수반할 수 있다. 프로세싱 레서피의 일 특정 예제에서, 기판 (720)은 기판 (720)내 공동들의 바닥 표면을 변경하기 위해서 활성 광자들에 대한 제1 노출을 위해 UV 챔버 (714)로 이송될 수 있다. 기판 (720)은 이어서 제2 노출을 위해 이송 챔버 (704)를 통하여 수분 챔버 (708)로 이송될 수 있고, 여기서 공동들의 바닥 표면은 도 1c에 대하여 상기에서 설명된 것 처럼 OH-종결 처리된다(OH-terminated). 이어서, 기판 (720)은 최초 ALD 프로세싱 시퀀스를 위해 ALD 챔버 (710)로 이송될 수 있고, 여기서 기판 (720)은 제1 횟수의 ALD 사이클에 노출된다. 제1 횟수의 ALD 사이클은 공동들내 미리 결정된 두께까지 충전 재료를 증착하도록 배열된 미리 결정된 횟수의 ALD 사이클일 수 있다. 최초 ALD 프로세싱 시퀀스 후에, 충전 재료를 미리 결정된 양까지 에칭하기 위해 기판 (720)은 에칭 챔버 (712)로 이송될 수 있다. 충전 재료의 이 에칭은 도 5에 대하여 상기에서 논의된 바와 같이 충전 재료의 성장율(growth rate)이 훨씬 더 작을 수 있는 충전 재료가 UV 복사선에 직접 노출되지 않은 원치 않는 표면으로부터 완전히 제거되는 결과를 얻을 수 있다. 기판 (720)은 그런 다음 추가 횟수의 ALD 사이클을 위해 ALD 챔버 (710)로 다시 이송될 수 있다. 예를 들어, 제2 ALD 프로세싱 시퀀스가 수행될 수 있고, 여기서 기판 (720)은 공동들내 충전 재료의 두께를 증가시키기 위해 제2 횟수의 ALD 사이클에 노출된다. 이 제2 ALD 프로세싱 시퀀스 다음에 제2 에칭 프로세스를 위해 기판 (720)을 에칭 챔버 (712)로 다시 이송할 수 있다. ALD 챔버 (710)와 에칭 챔버 (712) 간에 순환은 공동의 바텀 업 충전을 최적화하기 위해 미리 결정된 레서피에 따라 수행될 수 있다. 기판 (720) 프로세싱의 전체에서, 로드락 (702)으로 진입한 후에, 기판 (720)은 시스템 (700) 외부 환경에 노출되지 않고 프로세싱될 수 있다.
시스템 (700)은 본 개시의 실시예들에 따라 공동 충전 프로세스를 수행하기 위해 클러스터 기구 구성을 포함하는 하나의 접근법을 제공할 수 있지만, 다른 실시예들에서, 공동 충전 프로세스들은 상이한 장치를 이용하여 수행될 수 있다. 예를 들어, 일부 실시예들에서, 공동 충전 프로세스를 수행하기 위한 프로세싱 장치는 더 작은 수의 프로세스 챔버들, 예컨대 단지 하나의 프로세스 챔버를 포함할 수 있다. 일 실시예에서, 도 8에 도시된 바와 같이, 장치 (800)는 기판, 예컨대 기판 (720)을 수용하기 위한 프로세스 챔버 (802)를 포함할 수 있다. 장치 (800)는 지향성 방식으로 기판에 활성 플럭스를 제공하는 활성 플럭스 소스 (804), 뿐만 아니라 수분 소스 (806)를 더 포함할 수 있고, 여기서 수분 소스 (806)는 기판 (720)에 H2O를 제공한다. 장치 (800)는 또한 원자 층 증착 소스 (808)를 포함할 수 있고, 여기서 원자 층 증착 소스 (808)는 원자 층 증착 프로세스를 이용하여 기판 (720)상에 충전 재료를 증착하기 위해 기판에 적어도 두개의 종들을 제공한다. 원자 층 증착 소스는, 특별히, 다수의 가스 소스들 또는 증기 소스들을 포함할 수 있고, 여기서 소정의 가스 소스 또는 증기 소스는 ALD 프로세스의 하나의 컴포넌트를 제공한다. 일부 예제들에서, 활성 플럭스 소스 (804), 수분 소스 (806), 및 원자 층 증착 소스 (808)는, 전부 챔버안에서 수행될 상이한 프로세싱을 위해 프로세스 챔버 (802)에 결합될 수 있다.
본 실시예들은 단지 타겟 표면상에, 예컨대 트렌치의 바닥 상에서의 성장을 쉽게 함으로써 매립된 보이드(buried void)들을 갖지 않는 고 종횡비를 갖는 트렌치들 및 좁은 트렌치들을 충전하는 장점을 제공한다. 추가하여, 공동들 예컨대 트렌치들이 바닥으로부터 위로 충전될 수 있기 때문에 본 실시예들은 보다 적은 치수로의 추가 유리한 확장성(scalability)을 제공한다.
본 개시는 본 출원에 설명된 특정 실시예들에 의한 범위에 제한되지 않아야 한다. 사실, 본 출원에 설명된 것들에 추가하여 본 개시의 다른 다양한 실시예들 및 본 개시에 대한 변형예들이 첨부 도면들 및 앞에서의 설명으로부터 당해 기술 분야의 통상의 기술자들에게 명확할 것이다. 따라서, 이런 다른 실시예들 및 변형예들은 본 개시의 범위내에 해당하는 것으로 의도된다. 더욱이, 본 개시는 특정한 목적을 위한 특정한 환경에서 특정한 구현예의 상황하에서 본 출원에서 설명되었다. 당해 기술분야의 통상의 기술자들은 유용성이 그에 한정되지 한고, 본 개시가 임의의 수의 목적들을 위한 임의의 수의 환경들 내에서 유익하게 구현될 수 있다는 것을 인식할 것이다. 따라서, 이하에 개시되는 청구항들은 본 출원에 설명된 바와 같은 본 개시의 전체 범위와 사상의 관점에서 이해되어야 한다.

Claims (15)

  1. 디바이스 프로세싱(device processing)의 방법에 있어서,
    층에 공동(cavity)을 제공하는 단계;
    상기 공동의 바닥 표면에 활성 플럭스(energetic flux)를 보내는 단계;
    수분-함유 환경(moisture-containing ambient)에 상기 공동의 노출을 수행하는 단계; 및
    원자 층 증착 (ALD : atomic layer deposition) 프로세스를 이용하여 상기 공동에 충전 재료를 도입하는 단계로서, 상기 충전 재료는 상기 공동의 측벽에 대하여 상기 공동의 바닥 표면상에 선택적으로 증착되는, 방법.
  2. 청구항 1에 있어서, 상기 활성 플럭스는 이온들을 포함하되, 상기 이온들은 평행한 궤적들을 갖는, 방법.
  3. 청구항 2에 있어서, 상기 이온들은 상기 공동의 측벽에 평행하게 배향된 궤적들을 포함하는, 방법.
  4. 청구항 2에 있어서, 상기 이온들은 500eV 또는 그 미만의 이온 에너지를 포함하는, 방법.
  5. 청구항 1에 있어서, 상기 바닥 표면은 상기 노출 후에 OH-종결 처리된(terminated) 표면을 형성하고, 상기 측벽은 상기 노출 후에 OH-종결 처리된 표면을 형성하지 않는, 방법.
  6. 청구항 1에 있어서, 상기 충전 재료는 고 유전 상수 재료인, 방법.
  7. 청구항 1에 있어서, 상기 충전 재료는 금속인, 방법.
  8. 청구항 1에 있어서, 상기 공동은 실리콘 옥사이드, 실리콘 나이트라이드, 또는 실리콘 옥시카바이드를 포함하는 재료에 배치되는, 방법.
  9. 청구항 1에 있어서, 상기 활성 플럭스는 진공 자외선 광자(vacuum ultraviolet photon)들 또는 전자들을 포함하는, 방법.
  10. 청구항 1에 있어서, 상기 ALD 프로세스는 미리 결정된 횟수의 ALD 사이클에 대하여 수행되고, 상기 방법은 상기 미리 결정된 횟수의 ALD 사이클 후에 에칭 프로세스를 수행하는 단계를 더 포함하되, 상기 에칭 프로세스는 미리 결정된 충전 재료의 양을 제거하는 데 효율적인, 방법.
  11. 시스템에 있어서,
    이송 챔버(transfer chamber)로서, 복수의 위치들 사이에서 기판을 이송하도록 배열된, 상기 이송 챔버;
    활성 플럭스 챔버(energetic flux chamber)로서, 상기 기판을 수용하기 위해 상기 이송 챔버에 결합되고, 상기 기판에 지향성 방식(directional fashion)으로 활성 플럭스를 보내는, 상기 활성 플럭스 챔버;
    수분 챔버(moisture chamber)로서, 상기 이송 챔버에 결합되고 상기 기판에 H2O 환경을 제공하는, 상기 수분 챔버;
    원자 층 증착 챔버(atomic layer deposition chamber)로서, 상기 원자 층 증착 챔버는 상기 이송 챔버에 결합되고, 제1 반응물(reactant) 및 제2 반응물을 상기 기판에 제공하되, 상기 제1 반응물 및 상기 제2 반응물은 충전 재료의 적어도 하나의 단분자층(monolayer)을 형성하는, 상기 원자 층 증착 챔버; 및
    에칭 챔버(etch chamber)로서, 상기 이송 챔버에 결합되고 상기 충전 재료의 에칭을 위해 상기 기판에 에천트를 보내는, 상기 에칭 챔버를 포함하는, 시스템.
  12. 청구항 13에 있어서, 상기 활성 플럭스 챔버는 플라즈마 잠입 챔버(plasma immersion chamber)인, 시스템.
  13. 청구항 13에 있어서, 상기 활성 플럭스 챔버는 자외선 챔버이고, 상기 자외선 챔버는 150 nm와 200 nm 사이의 파장을 갖는 방사선을 방출하는 자외선 방사원(ultraviolet radiation source)을 포함하는, 시스템.
  14. 청구항 13에 있어서, 상기 기판은 환경에 노출 없이 상기 활성 플럭스 챔버, 상기 수분 챔버, 상기 원자 층 증착 챔버, 및 상기 에칭 챔버 사이에서 이송 가능한, 시스템.
  15. 프로세싱 장치에 있어서,
    기판을 수용하는 프로세스 챔버;
    활성 플럭스 소스로서, 활성 플럭스를 상기 기판에 지향성 방식으로 제공하는, 상기 활성 플럭스 소스;
    수분 소스로서, H2O를 상기 기판에 제공하는, 상기 수분 소스; 및
    원자 층 증착 소스로서, 상기 원자 층 증착 소스는 원자 층 증착 프로세스를 이용하여 상기 기판상에 충전 재료를 증착하기 위해 상기 기판에 적어도 두개의 종들을 제공하되, 상기 활성 플럭스 소스, 상기 수분 소스, 및 상기 원자 층 증착 소스는 상기 프로세스 챔버에 결합된, 상기 원자 층 증착 소스를 포함하는, 프로세싱 장치.
KR1020187013726A 2015-11-13 2016-11-10 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들 KR20180069038A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562255017P 2015-11-13 2015-11-13
US62/255,017 2015-11-13
PCT/US2016/061245 WO2017083469A1 (en) 2015-11-13 2016-11-10 Techniques for filling a structure using selective surface modification

Publications (1)

Publication Number Publication Date
KR20180069038A true KR20180069038A (ko) 2018-06-22

Family

ID=58690322

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187013726A KR20180069038A (ko) 2015-11-13 2016-11-10 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들

Country Status (6)

Country Link
US (2) US9935005B2 (ko)
JP (2) JP6938491B2 (ko)
KR (1) KR20180069038A (ko)
CN (1) CN108352300B (ko)
TW (1) TWI705478B (ko)
WO (1) WO2017083469A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102362A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10916420B2 (en) 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
US10707100B2 (en) 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US11239090B2 (en) 2018-07-25 2022-02-01 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
CN110777361B (zh) 2018-07-26 2023-08-01 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
US20200095674A1 (en) * 2018-09-21 2020-03-26 Applied Materials, Inc. Gap-Fill With Aluminum-Containing Films
JP6783888B2 (ja) * 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP2022063748A (ja) * 2020-10-12 2022-04-22 東京エレクトロン株式会社 埋め込み方法及び成膜装置
TW202237882A (zh) * 2021-03-02 2022-10-01 荷蘭商Asm Ip私人控股有限公司 用於形成包含釩及氧的層之方法及系統

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US651382A (en) * 1898-10-14 1900-06-12 Simon E Pettee Mail-canceling machine.
US5342792A (en) * 1986-03-07 1994-08-30 Canon Kabushiki Kaisha Method of manufacturing semiconductor memory element
JPH0233153A (ja) * 1988-07-22 1990-02-02 Toshiba Corp 半導体装置の製造方法
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6228720B1 (en) * 1999-02-23 2001-05-08 Matsushita Electric Industrial Co., Ltd. Method for making insulated-gate semiconductor element
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP3477462B2 (ja) * 2001-08-21 2003-12-10 松下電器産業株式会社 半導体装置の製造方法
US6531382B1 (en) * 2002-05-08 2003-03-11 Taiwan Semiconductor Manufacturing Company Use of a capping layer to reduce particle evolution during sputter pre-clean procedures
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7842605B1 (en) * 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
DE102004005702A1 (de) * 2004-02-05 2005-09-01 Siltronic Ag Halbleiterscheibe, Vorrichtung und Verfahren zur Herstellung der Halbleiterscheibe
CN101061253B (zh) * 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
JP2007019191A (ja) * 2005-07-06 2007-01-25 Fujitsu Ltd 半導体装置とその製造方法
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI366876B (en) * 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
CN101466864A (zh) * 2006-06-08 2009-06-24 东京毅力科创株式会社 成膜装置、成膜方法、计算机程序和存储介质
US7625820B1 (en) * 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
JP2008141125A (ja) * 2006-12-05 2008-06-19 Sekisui Chem Co Ltd 半導体装置及びその製造方法
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP4720808B2 (ja) * 2007-09-21 2011-07-13 セイコーエプソン株式会社 接着シート、接合方法および接合体
US7964504B1 (en) * 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US7981763B1 (en) * 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
CN103515207B (zh) * 2012-06-19 2016-08-10 中芯国际集成电路制造(上海)有限公司 氧化层、hkmg结构中界面层、mos晶体管形成方法及mos晶体管
US20140374907A1 (en) * 2012-06-21 2014-12-25 Applied Materials, Inc. Ultra-thin copper seed layer for electroplating into small features
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US9171960B2 (en) * 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
JP5931780B2 (ja) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 選択エピタキシャル成長法および成膜装置
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
CN105047600B (zh) * 2014-04-24 2019-01-18 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200102362A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치

Also Published As

Publication number Publication date
JP7168741B2 (ja) 2022-11-09
CN108352300A (zh) 2018-07-31
US20180218943A1 (en) 2018-08-02
CN108352300B (zh) 2022-03-29
JP2021192446A (ja) 2021-12-16
US20170140983A1 (en) 2017-05-18
WO2017083469A1 (en) 2017-05-18
JP6938491B2 (ja) 2021-09-22
TWI705478B (zh) 2020-09-21
US10559496B2 (en) 2020-02-11
US9935005B2 (en) 2018-04-03
TW201727701A (zh) 2017-08-01
JP2019501518A (ja) 2019-01-17

Similar Documents

Publication Publication Date Title
KR20180069038A (ko) 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들
JP7293211B2 (ja) 高エネルギー原子層エッチング
TWI657482B (zh) 用於多方向裝置加工的方向處理
JP6629312B2 (ja) 選択的堆積のための方法及び装置
KR20190095142A (ko) 실리콘 산화물막에 대한 증착후 처리 방법
KR102629835B1 (ko) 기판 처리 장치
JP2017022368A (ja) GaN及びその他のIII−V材料の原子層エッチング
KR20200019983A (ko) 에칭 방법 및 에칭 장치
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
KR20160087348A (ko) 원자 스케일 프로세스들: ald (atomic layer deposition) 및 ale (atomic layer etch) 의 통합
US9640385B2 (en) Gate electrode material residual removal process
TW201639000A (zh) 利用掩模及方向性電漿處理之選擇性沉積
CN111630664A (zh) 用于形成鳍式场效晶体管的单等离子体室中的原子层沉积及蚀刻
JP5558480B2 (ja) P3iチャンバにおける共形ドープの改善
CN112424914A (zh) 电子激励原子层蚀刻
CN105810582B (zh) 蚀刻方法
KR20220025057A (ko) 유전체 재료를 경화시키기 위한 방법들 및 장치
CN105810579B (zh) 蚀刻方法
US20230298869A1 (en) Subtractive copper etch
TW201816888A (zh) 單層膜介導的精密材料蝕刻
KR20210146792A (ko) 보잉을 억제하기 위한 보호 측벽층을 형성하는 방법 및 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application