TW201727701A - 使用選擇性表面改性填充結構的技術 - Google Patents

使用選擇性表面改性填充結構的技術 Download PDF

Info

Publication number
TW201727701A
TW201727701A TW105136985A TW105136985A TW201727701A TW 201727701 A TW201727701 A TW 201727701A TW 105136985 A TW105136985 A TW 105136985A TW 105136985 A TW105136985 A TW 105136985A TW 201727701 A TW201727701 A TW 201727701A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
energy flux
source
ald
Prior art date
Application number
TW105136985A
Other languages
English (en)
Other versions
TWI705478B (zh
Inventor
寇迪斯 勒施基斯
史帝文 維哈佛貝可
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201727701A publication Critical patent/TW201727701A/zh
Application granted granted Critical
Publication of TWI705478B publication Critical patent/TWI705478B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

裝置處理的方法。方法可包括在層中提供孔穴、將能量通量引導至孔穴的底表面、使孔穴接觸含水氣大氣,及利用原子層沉積(ALD)製程,將填充材料引入孔穴,其中填充材料相對孔穴的側壁選擇性沉積在孔穴的底表面。

Description

使用選擇性表面改性填充結構的技術
本發明實施例係關於處理裝置結構,更特別係關於填充裝置結構的孔穴。
現今,諸如半導體裝置製造的裝置製造需要填充小孔穴,例如溝槽、孔洞或其他結構。孔穴可填充金屬材料、絕緣材料或其他材料。隨著孔穴尺度縮小成更小尺寸,填充孔穴的能力變得更具挑戰性。例如,當特定結構的深寬比(深度/寬度)很高時,溝槽或通孔結構填充尤其困難。在一些實例中,溝槽的深寬比大於1,特別係大於2。在各種已知填充溝槽的沉積技術中,當填充材料引入溝槽時,填充材料可能沉積在多個露出表面,包括溝槽底部和溝槽側壁。此類沉積會造成突出及在溝槽內形成埋孔,導致所得裝置結構有不良性質。
基於上述和其他考量,乃提供本發明。
在一實施例中,裝置處理的方法包括在層中提供孔穴、將能量通量引導至孔穴的底表面、使孔穴接觸含水氣大氣,及利用原子層沉積(ALD)製程,將填充材料引入孔穴。填充材料可相對孔穴的側壁選擇性沉積在孔穴的底表面。
在另一實施例中,系統包括移送腔室,其中移送腔室配置以在複數個位置間傳送基板。系統可包括能量通量腔室,其中能量通量腔室耦接至移送腔室,以接收基板,其中能量通量腔室以方向性方式將能量通量引導至基板。系統可進一步包括水氣腔室,水氣腔室耦接至移送腔室及提供H2 O(水)大氣至基板。系統亦可包括原子層沉積腔室,原子層沉積腔室耦接至移送腔室及提供第一反應物與第二反應物至基板,第一反應物與第二反應物形成至少一單層填充材料。系統可包括蝕刻腔室,蝕刻腔室耦接至移送腔室及引導蝕刻劑至基板來蝕刻填充材料。
在又一實施例中,處理設備包括處理腔室,用於容納基板;能量通量源,能量通量源以方向性方式提供能量通量至基板;水氣源,水氣源提供H2 O至基板;及原子層沉積源。原子層沉積源可提供至少二物種至基板,以利用原子層沉積製程,於基板上沉積填充材料。能量通量源、水氣源和原子層沉積源可耦接至處理腔室。
現將參照附圖更完整詳述本發明實施例於後,其中圖示一些實施例。本發明標的可以許多不同形式體現,故不應解釋成限定於所述實施例。該等實施例乃提供本文更徹底完整的理解,並向熟諳此技術者充分表達標的範圍。圖中相同的元件符號係指相同的元件。
所述實施例提供新穎的裝置處理,包括用於填充孔穴的處理,例如基板中的溝槽或通孔。在不同實施例中,技術提供以改善孔穴用溝槽填充或通孔填充,包括高深寬比孔穴,其中深寬比大於1。實施例不限於此內文。
1A 1D 圖示根據本發明實施例,填充孔穴方法涉及的示例性操作。在不同實施例中,孔穴可提供於結構中,例如基板基底或置於基板基底上的層。基板基底例如為材料,例如半導體、絕緣體或形成基板主要部分的其他材料。基板基底上可設置任何層數。
現參照 1A 1A 圖示孔穴100配置在結構內,例如層106。在不同實施例中,層106可代表基板基底或置於基板(未圖示)內或上的層。在一些實施例中,層106可由氧化矽、氮化矽或碳氧化矽組成。實施例不限於此內文。
根據本發明的不同實施例,孔穴100可具不同結構。在一些實施例中,孔穴100具有通孔結構,其中根據所示笛卡兒座標系統,孔穴在X-Y平面內呈橢圓或圓形。在該等實施例中,側壁104僅為界定孔穴100側面的一個側壁。在其他實施例中,孔穴具有溝槽結構,其中溝槽包括一對相對側壁,圖示為側壁104。此外,溝槽可包括相對端壁(未圖示)。如圖所示,孔穴100亦可包括底表面102。在一些實施例中,孔穴在X-Y平面內具有複雜形狀,例如任何待填充目標溝槽圖案。
根據不同實施例,孔穴100的側壁和底表面可由表面物種108端接,例如氧。如後所詳述,置於孔穴100表面的表面物種108可配置成與沉積製程提供的某些反應物種反應,以促進目標材料沉積,例如絕緣體或金屬。
現參照 1B 1B 圖示操作,其中能量通量112引導至孔穴100的底表面102。在不同實施例中,能量通量112可在能量通量腔室中提供,其中能量通量112可為離子、電子或光子或上述組合物。能量通量112可以方向性方式提供至孔穴100的底表面102,其中相較於側壁104,底表面102優先受到衝擊。在離子構成能量通量112的實施例中,離子可以準直方式引導朝向底表面102。在特定實施例中,離子可引導成含有平行軌道離子的離子束。例如,離子可提供以具有平行側壁104的軌道。在溝槽相對側的側壁104設置大致互相平行的情況下,平行離子束可引導為平行側壁104,以撞擊底表面102,且不撞擊側壁104。依此,離子或其他能量通量可選擇性改變底表面102,而不改變側壁104。根據一些實施例,在 1B 的操作中,可利用已知束線離子佈植機、小型離子束源、電漿源或其他已知能提供準直離子的源來提供能量通量。
根據不同實施例,能量通量的能量可配置以提供適當能量來改變接觸能量通量的孔穴100的表面,使表面更易形成氫氧化物(OH)封端。在使用離子來改變孔穴表面的實施例中,離子的離子能量可修改以提供適當能量,使受衝擊表面易形成氫氧化物,同時不會過度損壞受衝擊表面。在一些實施例中,提供當作能量通量112的離子的離子能量可為5電子伏特(eV)至500 eV。在一些實施例中,離子可提供為鈍氣離子(包括Ar(氬)離子),或為反應離子(包括O2 (氧)離子)。在光子用作能量通量112的實施例中,光子可提供為紫外線(UV)能量範圍的紫外線聲子或真空紫外線(VUV)能量範圍的真空紫外線光子。在特定實施例中,用作能量通量112的光子的光子能量為7 eV至10 eV。
根據本發明實施例,孔穴100可接觸含水氣(H2 O)大氣並聯合接觸能量通量112。在不同實施例中,可在提供能量通量112後,提供含水氣大氣。現參照現參照 1C 1C 圖示孔穴100接觸能量通量112後及接觸含水氣大氣後的情境。在此實例中,能量通量112選擇性引導至底表面102,同時如 1B 所示,不衝擊側壁104。如所示,底表面102現由OH基封端,側壁104則未被OH基封端。此差異係因聯合使用能量通量112與H2 O改變溝槽底部所致。雖然在孔穴接觸含水氣大氣期間,側壁104接觸H2 O,但未遭能量通量112改變的側壁104不會與H2 O反應而於表面形成OH反應產物。
於底表面102選擇性形成OH封端表面後,根據本發明實施例,孔穴100可接觸沉積製程,例如原子層沉積(ALD)。ALD一般涉及相繼接觸二或更多反應物,以沉積特定單層材料。在不同實施例中,ALD製程可進行以選擇性沉積材料,例如氧化物、氮化物或金屬,例如鉭(Ta)。在一些實施例中,氧化物為高介電常數材料,其中高介電常數材料實例包括氧化鋁(Al2 O3 )、氧化鉿(HfO2 )、氧化鉭(Ta2 O5 )和介電常數大於二氧化矽(SiO2 )介電常數的其他材料。實施例不限於此內文。
根據本發明實施例,利用ALD製程可相對側壁104選擇性在底表面102促進填充材料沉積。特別地,底表面102的OH封端可促進含鋁反應物、含鉿反應物或含鉭反應物等特定材料沉積。在不同實例中,OH封端可相應使Al2 O3 、HfO2 、Ta2 O5 或鉭金屬相對側壁104選擇性在底表面102成長。在不同實施例中,特定ALD製程可以循環方式進行,以產生由下而上填充特定材料,例如 1D 所示填充材料120。換言之,大致可抑制從側壁104成長,同時加強層平行底表面102成長。此成長有助於避免夾止(pinch off),即使係高深寬比溝槽或通孔。
為進一步解釋, 2A 2C 圖示根據本發明實施例的選擇性ALD順序。雖然圖示為平面基板構造,但 2A 2C 的製程可用於上述 1A 1C 所示順序。在 2A 中,提供介電基板200。在一些實例中,介電基板200可代表孔穴100的表面。換言之,介電基板200的平坦表面可代表和孔穴100表面一樣的材料。在 2B 中,選擇性改變介電基板200的部分202,以於部分202上產生官能基204,同時不在部分206上產生官能基204。依此,可於部分202上促進選擇性ALD,其中ALD製程因存有官能基204而加強。如 2C 所示,隨後利用ALD,在部分202上沉積層208,同時部分206上沒有層成長。
現參照 3A 3C 3A 3C 圖示根據本發明實施例, 2A 2C 製程的特定實施方式。在此實例中,在 3A 中,提供碳氧化矽基板300,例如BDIIx。在 3B 中,提供遮罩302,以遮蔽碳氧化矽基板300的部分304。同時,能量通量308引導至碳氧化矽基板300的未遮蔽部分306。在不同實施例中,產生能量通量308的能量通量腔室可為紫外線腔室,其中紫外線腔室包含紫外線輻射源。在一些實施例中,紫外線輻射源例如可發射波長150奈米(nm)至200 nm的輻射。在此特定實例中,能量通量構成172 nm紫外線輻射(NBUV)。接觸HfO2 ALD製程前,部分304和部分306亦接觸含水氣大氣。如此,藉由讓整個碳氧化矽基板300接觸後續ALD製程,將使HfO2 層310選擇性成長。如圖所示,HfO2 層310只在未遮蔽部分306上成長、而不在部分304上。
4 圖示傅立葉轉換紅外線光譜,光譜包含在ALD製程進行前接觸及不接觸能量通量情況下,碳氧化矽基板300的區域上的膜成長結果。如圖所示,取自未遮蔽部分306的光譜402顯示3400波數範圍的吸收峰,此乃膜形成特徵。取自部分304的光譜404則無顯示代表膜形成的峰。
根據本發明附加實施例,結合進行ALD及蝕刻,可促進在目標表面上選擇性沉積特定材料。在一些實施例中,ALD及蝕刻(例如HF(氫氟酸)蝕刻)可以循環方式進行。實施例不限於此內文。 5 係利用原子層沉積製程在基板上的膜成長比較複合圖,並比較在進行原子層沉積前接觸及不接觸UV光子通量情況下的基板區域。特別圖示在碳氧化矽上選擇性ALD沉積HfO2 的實例。 5 圖示沉積於基板樣品的氧化鉿量隨進行ALD循環次數變化。氧化鉿量以用於測量特定樣品的X-射線光電能譜(XPS)的訊號強度表示。曲線502代表沉積於ALD前接觸UV通量與水氣的基板部分的氧化鉿量,並圖示為隨ALD循環次數變化。曲線504代表沉積於ALD前接觸水氣、但不接觸UV通量的基板部分的氧化鉿。在此實驗中,進行蝕刻製程前,進行一連串最初20次ALD循環。如所示,在兩個例子中,氧化鉿量隨ALD循環次數增加,UV接觸基板部分的沉積速率大概係未接觸基板部分的沉積速率的三至四倍。
20次ALD循環後,基板經受UV通量的接觸區域和未接觸區域經蝕刻處理,其中蝕刻移除目標量的氧化鉿材料。蝕刻後,二樣品殘留的氧化鉿量分別繪示於點506和點508。如圖所示,蝕刻後,無氧化鉿留在未接觸部分,而氧化鉿層仍留在接觸部分。隨後,進行一連串20次以上的ALD循環,然後第二次蝕刻。此製程結束時,無氧化鉿留在未接觸部分,而氧化鉿仍留在接觸部分。此結果可由插圖證實,插圖顯示UV接觸樣品和未接觸樣品在不同處理階段的截面電子顯微照片。如插圖的右上角所示,在此實例中,40次ALD循環後沉積約3 nm氧化鉿層。
以上對平面基板進行的第5圖實例僅為示例說明,此可應用於加強由下而上溝槽填充或通孔填充製程。例如,藉由使底表面選擇性接觸能量通量並聯合接觸水氣,隨後ALD填充材料至孔穴內,及在ALD製程後蝕刻填充材料,可加強由下而上填充孔穴。依此,可移除一連串ALD後發生在溝槽側壁的任何不當沉積。此移除有助於改善由下而上溝槽填充製程,避免導致孔隙形成或其他不當為結構的成長類型。
例如,為加以說明,在進行20次ALD循環後的情境下,如 1D 所示,4 nm厚填充材料層可大致以由下而上的方式沉積於溝槽。隨後,進行蝕刻,以移除0.5 nm的填充材料。蝕刻能有效移除溝槽中的填充材料表面上面露出側壁的任何殘留填充材料。換言之,蝕刻處理後,無填充材料存於填充材料表面上面的側壁露出區域。蝕刻亦可使填充材料凹陷0.5 nm。隨後,進行附加ALD製程,以繼續由下而上溝槽填充製程。可依需求反覆進行此順序,直到溝槽完全填充或填入目標量。
6 圖示根據本發明實施例的示例性製程流程600。在方塊602中,進行在層中提供孔穴的操作。在方塊604中,將能量通量引導至孔穴的底表面。在不同實施例中,能量離子通量可包括具目標離子能量的離子,例如離子能量為500 eV或以下。
在方塊606中,使孔穴接觸含水氣大氣。根據不同實施例,接觸含水氣大氣可在方塊604後施行。
在方塊608中,利用ALD製程,將填充材料引入孔穴,其中填充材料相對孔穴的側壁選擇性沉積在孔穴的底表面。在不同實施例中,填充材料可構成氧化物(例如高介電常數材料)或金屬。
在方塊610中,進行蝕刻製程。蝕刻製程可配置以移除預定填充材料量。在決策方塊612中,決定填充製程是否完成。若是,則流程結束。若否,則流程返回方塊608。
7 圖示根據本發明實施例的示例性系統上視圖(X-Y平面),如所示系統700。根據所述實施例,系統700可用於進行填充製程。系統700可配置成叢集工具,包括裝載閘室702和移送腔室704,以將基板720傳入及在不同處理腔室間往返。移送腔室704和處理腔室可耦接至排氣設備,例如已知泵抽系統(未圖示),使移送腔室704和下述其他處理腔室維持呈真空條件或控制大氣條件。因此,基板720可在不接觸大氣下在不同處理腔室與移送腔室704間傳送。系統700可包括電漿浸沒腔室706,電漿浸沒腔室耦接至移送腔室704,其中基板720接觸以平行方式引導至基板720的孔穴內的離子。系統700可進一步包括水氣腔室708,水氣腔室耦接至移送腔室704及提供控制接觸H2 O。系統700亦可包括原子層沉積腔室,如所示ALD腔室710,ALD腔室耦接至移送腔室704,且依據已知設備配置使基板720接觸用於特定材料系統的原子層沉積製程,例如Al2 O3 、HfO2 、Ta2 O5 或鉭金屬。ALD腔室710例如耦接至待控制引導至ALD腔室710的二或更多蒸汽物種源,以進行原子層沉積製程。系統700亦可包括蝕刻腔室712,蝕刻腔室耦接至移送腔室704,其中基板720可在蝕刻腔室712內接觸蝕刻劑,例如HF或其他蝕刻劑,以於填充孔穴期間移除預定材料量。系統700另可包括UV腔室714,UV腔室耦接至移送腔室704及將預定波長範圍的紫外線輻射引導至基板720。例如,不在電漿浸沒腔室706中處理基板720,而是將基板720傳送到UV腔室714,其中紫外線輻射可以控制方式引導至基板720的孔穴底部,此大致如第1B圖所示。故基板720可在不接觸外側大氣下在系統700的不同處理腔室間傳送。在特定實施例中,UV腔室714可包括能量燈具來產生峰值能量172 nm與能量範圍約7 eV至10 eV的輻射。
系統700可進一步包括控制器730,以在系統700的不同處理腔室間引導並協調傳送及處理基板720。例如,控制器730可包括任何軟體與硬體組合物,包括邏輯、記憶體和使用者介面,以控制在系統700的複數個處理腔室間處理基板,包括電漿浸沒腔室706、水氣腔室708、ALD腔室710和蝕刻腔室712。在一實例中,控制器730可根據填充孔穴配方,以循環製程引導待於系統700的不同處理腔室間傳送的基板720。循環製程需將基板720多次傳送進出特定處理腔室,及需在不同處理腔室間傳送基板720多次,以完成孔穴填充製程。在一特定處理配方實例中,基板720傳送到UV腔室714,以進行第一次接觸能量光子而改變基板720的孔穴底表面。基板720隨後經由移送腔室704傳送到水氣腔室708進行第二次接觸,其中孔穴底表面變成OH封端,此如以上 1C 所述。隨後,基板720傳送到ALD腔室710,以進行最初ALD處理順序,其中基板720接觸第一ALD循環次數。第一ALD循環次數可為預定ALD循環次數,用以沉積填充材料至孔穴內達預定厚度。在最初ALD處理順序後,基板720傳送到蝕刻腔室712,以蝕刻預定填充材料量。蝕刻填充材料將造成填充材料整個自未直接接觸UV輻射的不當表面移除,其中填充材料的成長速率小得多,此如以上 5 所述。基板720接著傳送回ALD腔室710進行額外的ALD循環次數。例如,可進行第二ALD處理順序,其中基板720接觸第二ALD循環次數,以增加孔穴內的填充材料厚度。第二ALD處理順序後為將基板720傳送回蝕刻腔室712,以進行第二蝕刻製程。可依據預定配方,在ALD腔室710與蝕刻腔室712間進行循環,以最佳化由下而上填充孔穴。在基板720的整體處理中,進入裝載閘室702後,可在不接觸系統700外側大氣下處理基板720。
雖然根據本發明實施例,系統700提供方式涉及叢集工具構造來進行孔穴填充製程,但在其他實施例中,孔穴填充製程可利用不同設備進行。例如,在一些實施例中,用於進行孔穴填充製程的處理設備可包括較少個處理腔室,例如僅一個處理腔室。在一實施例中,如 8 所示,設備800包括處理腔室802,用以容納基板,例如基板720。設備800可進一步包括能量通量源804並以方向性方式提供能量通量至基板,及包括水氣源806,其中水氣源806提供H2 O至基板720。設備800亦可包括原子層沉積源808,其中原子層沉積源808提供至少二物種至基板,以利用原子層沉積製程,於基板720上沉積填充材料。原子層沉積源特別可包括多個氣源或蒸汽源,其中特定氣源或蒸汽源提供ALD製程的組分。在一些實例中,能量通量源804、水氣源806和原子層沉積源808可全耦接至處理腔室802,以進行不同處理。
本發明實施例藉由只在目標表面促成成長,例如溝槽底部,而有利於在無埋孔情況下填充窄溝槽與高深寬比溝槽。此外,本發明實施例提供可擴展為更小尺度的另一優點,因為諸如溝槽的孔穴可由下而上填充。
本發明不限於所述特定實施例界定範圍。實際上,除了本文所述者,一般技術人士將可從前文敘述和附圖明白本發明的其他各種實施例和修改例。因此,其他實施例和修改例擬落在本發明範圍內。另外,本發明已就特定目的描述在特定環境中的特定實施方式。一般技術人士將理解本發明用處不限於此,本發明當可有益地就任一種目的在任一種環境中實施。故以下提出的申請專利範圍係以本發明所述最大幅度和精神解釋。
100‧‧‧孔穴
102‧‧‧底表面
104‧‧‧側壁
106‧‧‧層
108‧‧‧表面物種
112‧‧‧能量通量
120‧‧‧填充材料
200‧‧‧基板
202、206‧‧‧部分
204‧‧‧官能基
208‧‧‧層
300‧‧‧基板
302‧‧‧遮罩
304、306‧‧‧部分
308‧‧‧能量通量
310‧‧‧HfO2
402、404‧‧‧光譜
502、504‧‧‧曲線
506、508‧‧‧點
600‧‧‧流程
602、604、606、608、610、612‧‧‧方塊
700‧‧‧系統
702‧‧‧裝載閘室
704‧‧‧移送腔室
706‧‧‧電漿浸沒腔室
708‧‧‧水氣腔室
710‧‧‧ALD腔室
712‧‧‧蝕刻腔室
714‧‧‧UV腔室
720‧‧‧基板
730‧‧‧控制器
800‧‧‧設備
802‧‧‧處理腔室
804‧‧‧能量通量源
806‧‧‧水氣源
808‧‧‧原子層沉積源
1A 1D 圖示根據本發明實施例方法涉及的示例性操作;
2A 2C 圖示根據本發明其他實施例的示例性操作;
3A 3C 圖示根據本發明附加實施例的示例性操作;
4 圖示傅立葉轉換紅外線光譜,光譜包含在原子層沉積製程前接觸及不接觸能量通量情況下,基板上的膜成長結果;
5 係在進行原子層沉積前接觸及不接觸能量通量情況下,利用原子層沉積製程在基板上的膜成長比較複合圖;
6 圖示根據本發明實施例的示例性製程流程;
7 圖示根據本發明實施例的示例性系統;及
8 圖示根據本發明實施例的示例性設備。
圖式未必按比例繪製。圖式僅為示意說明,而無意描繪本發明的具體參數。圖式擬圖示本發明的示例性實施例,故不宜視為限定範圍。在圖中,相同的元件符號代表相同的元件。
另外,為清楚說明,部分圖中的某些元件可省略或未按比例圖示。為清楚說明,截面圖可為「切片」或「近視」截面圖形式,省略了「真實」截面圖可見的某些背景線。另外,為清楚起見,某些圖式可省略一些元件符號。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
600‧‧‧流程
602、604、606、608、610、612‧‧‧方塊

Claims (15)

  1. 一種裝置處理的方法,包含下列步驟: 在一層中提供一孔穴;將一能量通量引導至該孔穴的一底表面;使該孔穴接觸一含水氣大氣;及利用一原子層沉積(ALD)製程,將一填充材料引入該孔穴,其中該填充材料相對該孔穴的一側壁選擇性沉積在該孔穴的該底表面。
  2. 如請求項1所述之方法,其中該能量通量包含多個離子,該等離子具有多個平行軌道。
  3. 如請求項2所述之方法,其中該等離子包含定向平行該孔穴的該側壁的多個軌道。
  4. 如請求項2所述之方法,其中該等離子包含500 eV或以下的一離子能量。
  5. 如請求項1所述之方法,其中該底表面在該接觸步驟後形成一OH封端表面,其中該側壁在該接觸步驟後不形成一OH封端表面。
  6. 如請求項1所述之方法,其中該填充材料係一高介電常數材料。
  7. 如請求項1所述之方法,其中該填充材料係一金屬。
  8. 如請求項1所述之方法,其中該孔穴置於包含氧化矽、氮化矽或碳氧化矽的一材料中。
  9. 如請求項1所述之方法,其中該能量通量包含多個真空紫外線光子或電子。
  10. 如請求項1所述之方法,其中該ALD製程進行一預定次數ALD循環,該方法進一步包含在該預定次數ALD循環後進行一蝕刻製程,該蝕刻製程能有效移除一預定量填充材料。
  11. 一種系統,包含: 一移送腔室,該移送腔室配置以在複數個位置間傳送一基板;一能量通量腔室,該能量通量腔室耦接至該移送腔室,以接收該基板,該能量通量腔室以一方向性方式將一能量通量引導至該基板;一水氣腔室,該水氣腔室耦接至該移送腔室及提供一H2 O大氣至該基板;一原子層沉積腔室,該原子層沉積腔室耦接至該移送腔室及提供一第一反應物與一第二反應物至該基板,該第一反應物與該第二反應物形成至少一單層填充材料;及一蝕刻腔室,該蝕刻腔室耦接至該移送腔室及引導一蝕刻劑至該基板來蝕刻該填充材料。
  12. 如請求項13所述之系統,其中該能量通量腔室係一電漿浸沒腔室。
  13. 如請求項13所述之系統,其中該能量通量腔室係一紫外線腔室,該紫外線腔室包含一紫外線輻射源來發射一波長150 nm至200 nm的輻射。
  14. 如請求項13所述之系統,其中未接觸大氣時,該基板可在該能量通量腔室、該水氣腔室、該原子層沉積腔室和該蝕刻腔室間傳送。
  15. 一種處理設備,包含: 一處理腔室,用於容納一基板;一能量通量源,該能量通量源以一方向性方式提供一能量通量至該基板;一水氣源,該水氣源提供H2 O至該基板;及一原子層沉積源,該原子層沉積源提供至少二物種至該基板,以利用一原子層沉積製程,於該基板上沉積一填充材料,其中該能量通量源、該水氣源和該原子層沉積源耦接至該處理腔室。
TW105136985A 2015-11-13 2016-11-14 使用選擇性表面改性填充結構的技術 TWI705478B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562255017P 2015-11-13 2015-11-13
US62/255,017 2015-11-13

Publications (2)

Publication Number Publication Date
TW201727701A true TW201727701A (zh) 2017-08-01
TWI705478B TWI705478B (zh) 2020-09-21

Family

ID=58690322

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136985A TWI705478B (zh) 2015-11-13 2016-11-14 使用選擇性表面改性填充結構的技術

Country Status (6)

Country Link
US (2) US9935005B2 (zh)
JP (2) JP6938491B2 (zh)
KR (1) KR20180069038A (zh)
CN (1) CN108352300B (zh)
TW (1) TWI705478B (zh)
WO (1) WO2017083469A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10707100B2 (en) 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US10916420B2 (en) 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
CN110783187B (zh) 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
CN110777361B (zh) 2018-07-26 2023-08-01 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
WO2020061417A1 (en) * 2018-09-21 2020-03-26 Applied Materials, Inc. Gap-fill with aluminum-containing films
TW202104632A (zh) * 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP6783888B2 (ja) * 2019-03-15 2020-11-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及び記録媒体
JP2022063748A (ja) * 2020-10-12 2022-04-22 東京エレクトロン株式会社 埋め込み方法及び成膜装置
KR20220124630A (ko) * 2021-03-02 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 바나듐 및 산소를 포함한 층을 형성하기 위한 방법 및 시스템

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US651382A (en) * 1898-10-14 1900-06-12 Simon E Pettee Mail-canceling machine.
US5342792A (en) * 1986-03-07 1994-08-30 Canon Kabushiki Kaisha Method of manufacturing semiconductor memory element
JPH0233153A (ja) * 1988-07-22 1990-02-02 Toshiba Corp 半導体装置の製造方法
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6228720B1 (en) * 1999-02-23 2001-05-08 Matsushita Electric Industrial Co., Ltd. Method for making insulated-gate semiconductor element
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP3477462B2 (ja) * 2001-08-21 2003-12-10 松下電器産業株式会社 半導体装置の製造方法
US6531382B1 (en) * 2002-05-08 2003-03-11 Taiwan Semiconductor Manufacturing Company Use of a capping layer to reduce particle evolution during sputter pre-clean procedures
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7842605B1 (en) * 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
CN100541736C (zh) * 2003-11-11 2009-09-16 东京毅力科创株式会社 基板处理方法
DE102004005702A1 (de) * 2004-02-05 2005-09-01 Siltronic Ag Halbleiterscheibe, Vorrichtung und Verfahren zur Herstellung der Halbleiterscheibe
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2007019191A (ja) * 2005-07-06 2007-01-25 Fujitsu Ltd 半導体装置とその製造方法
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
CN101466864A (zh) * 2006-06-08 2009-06-24 东京毅力科创株式会社 成膜装置、成膜方法、计算机程序和存储介质
US7625820B1 (en) * 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
WO2008005773A2 (en) * 2006-07-03 2008-01-10 Applied Materials, Inc. Cluster tool for advanced front-end processing
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
JP2008141125A (ja) * 2006-12-05 2008-06-19 Sekisui Chem Co Ltd 半導体装置及びその製造方法
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP4720808B2 (ja) * 2007-09-21 2011-07-13 セイコーエプソン株式会社 接着シート、接合方法および接合体
US7964504B1 (en) * 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US7981763B1 (en) * 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
KR20100093349A (ko) * 2009-02-16 2010-08-25 삼성전자주식회사 기상 박막 형성 방법 및 반도체 집적 회로 장치의 제조 방법
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
CN103515207B (zh) * 2012-06-19 2016-08-10 中芯国际集成电路制造(上海)有限公司 氧化层、hkmg结构中界面层、mos晶体管形成方法及mos晶体管
US20140374907A1 (en) * 2012-06-21 2014-12-25 Applied Materials, Inc. Ultra-thin copper seed layer for electroplating into small features
US9040465B2 (en) * 2012-11-19 2015-05-26 Intermolecular, Inc. Dielectric doping using high productivity combinatorial methods
US9171960B2 (en) * 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
JP5931780B2 (ja) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 選択エピタキシャル成長法および成膜装置
US9217201B2 (en) * 2013-03-15 2015-12-22 Applied Materials, Inc. Methods for forming layers on semiconductor substrates
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
CN105047600B (zh) * 2014-04-24 2019-01-18 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Also Published As

Publication number Publication date
WO2017083469A1 (en) 2017-05-18
CN108352300A (zh) 2018-07-31
JP7168741B2 (ja) 2022-11-09
US9935005B2 (en) 2018-04-03
US10559496B2 (en) 2020-02-11
CN108352300B (zh) 2022-03-29
US20180218943A1 (en) 2018-08-02
KR20180069038A (ko) 2018-06-22
US20170140983A1 (en) 2017-05-18
TWI705478B (zh) 2020-09-21
JP2021192446A (ja) 2021-12-16
JP2019501518A (ja) 2019-01-17
JP6938491B2 (ja) 2021-09-22

Similar Documents

Publication Publication Date Title
JP7168741B2 (ja) 選択的表面改質を利用する構造の充填技術
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
JP7293211B2 (ja) 高エネルギー原子層エッチング
TWI657499B (zh) 蝕刻方法
KR102629835B1 (ko) 기판 처리 장치
TW201719844A (zh) 用於預清洗導電互連結構之方法
KR20190073463A (ko) 탄소계 막들을 위한 자기 제한 순환 에칭 방법
WO2017199958A1 (ja) エッチング方法
JP2015050358A (ja) 半導体装置の製造方法
JP2019197903A (ja) 処理装置
CN107579001A (zh) 半导体器件的形成方法
JP6017170B2 (ja) 堆積物除去方法及びガス処理装置
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
US20230298869A1 (en) Subtractive copper etch
Hwang et al. Effect of O2 on etch characteristics of Co2MnSi thin films in CH4/O2/Ar gas mixture
TW201816888A (zh) 單層膜介導的精密材料蝕刻
CN117894748A (zh) 一种半导体结构及半导体工艺方法
US8846528B2 (en) Method of modifying a low k dielectric layer having etched features and the resulting product

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees