JP7293211B2 - 高エネルギー原子層エッチング - Google Patents

高エネルギー原子層エッチング Download PDF

Info

Publication number
JP7293211B2
JP7293211B2 JP2020519333A JP2020519333A JP7293211B2 JP 7293211 B2 JP7293211 B2 JP 7293211B2 JP 2020519333 A JP2020519333 A JP 2020519333A JP 2020519333 A JP2020519333 A JP 2020519333A JP 7293211 B2 JP7293211 B2 JP 7293211B2
Authority
JP
Japan
Prior art keywords
substrate
bias
plasma
pulsing
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020519333A
Other languages
English (en)
Other versions
JP2020536393A (ja
JP2020536393A5 (ja
Inventor
ヤン・ウェンビン
タン・サマンサ
ムケルジー・タマル
カナリク・ケレン・ジェイコブス
パン・ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020536393A publication Critical patent/JP2020536393A/ja
Publication of JP2020536393A5 publication Critical patent/JP2020536393A5/ja
Priority to JP2023093589A priority Critical patent/JP2023113837A/ja
Application granted granted Critical
Publication of JP7293211B2 publication Critical patent/JP7293211B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

(関連出願の相互参照)
本出願は、事実上、全体が参照によりすべて本明細書に組み入れられる、2017年10月6日に提出された、「PULSING ATOMIC LAYER ETCHING(原子層エッチングのパルス化)」と題する米国仮特許出願62/569,443号明細書と、2017年12月15日に提出された、「HIGH ENERGY ATOMIC LAYER ETCHING(高エネルギー原子層エッチング)」と題する米国仮特許出願第62/599,613号明細書の両方の優先権を主張する、2018年10月1日に提出された、「HIGH ENERGY ATOMIC LAYER ETCHING(高エネルギー原子層エッチング)」と題する米国非仮特許出願第16/148,939号明細書の利益を主張する。
半導体製作処理は、さまざまな材料をエッチングするステップを含む。3次元構造が10nm未満のノードに向けて縮小するにつれ、従来のエッチング処理は、前例のない難題に直面する。たとえば、ピッチローディング(pitch loading)は、アスペクト比が高まることによりエッチング速度が影響受けるときに問題になる。エッチング前面への中性物質およびイオンの輸送、各エッチング前面での表面反応速度、およびエッチング前面からのエッチング生成物除去に関連する難題は、素子が縮小するにつれ顕著になる。
本明細書では、高エネルギー原子層エッチングを遂行するための方法および装置を提供する。一様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質するステップと、改質された表面に対して、改質された表面をエネルギー粒子に暴露して、下にある改質されていない表面に対して、改質された表面を優先的に取り除くステップであって、エネルギー粒子は、下にある改質されていない表面の平均表面結合エネルギーに打ち勝つのに十分なイオンエネルギーを有するステップとを含む。
さまざまな実施形態では、エネルギー粒子のイオンエネルギーは、下にある改質されていない表面の結合を切断するのに十分である。約1%~約10%の間のデューティサイクルを有する時間的に分離した照射量でエネルギー粒子を配送してよい。
さまざまな実施形態では、改質された表面をエネルギー粒子に暴露する間、基板を保持する基板支持物にバイアス電圧を印加する。
いくつかの実施形態では、エネルギー粒子は、ある量の改質された表面を除去し、除去される、改質された表面の量は、次式により与えられ、
Figure 0007293211000001
式中、Yは、エネルギー粒子のイオン収量であり、Fは、エネルギー粒子のフラックスであり、tは、エネルギー粒子に暴露する継続時間であり、dは、エッチングすべき材料の表面密度である。
さまざまな実施形態では、エネルギー粒子は、下にある改質されていない材料を著しくスパッタすることはない。たとえば、改質された表面を自己制御的手法で除去するのに十分な継続時間の間、改質された表面をエネルギー粒子に暴露してよい。
別の様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面をエネルギー粒子に暴露する間、バイアスを印加して、改質された表面を除去するステップであって、その結果、バイアスに印加する電力は、少なくとも150eVであるステップとを含む。
さまざまな実施形態では、バイスに印加する電力は、少なくとも500eVである。
別の様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面に、ある照射量のエネルギー粒子を配送して、改質された表面を除去するステップであって、その結果、下にある改質されていない表面の表面結合エネルギー未満のバイアス電圧を使用して配送するとき、照射量は、改質された表面を除去するのに不十分であるステップとを含む。
別の様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面をエネルギー粒子に暴露して、イオン衝撃により、改質された表面および下にある改質されていない表面を除去するのに十分な継続時間より長い継続時間の間、下にある改質されていない表面に対して、改質された表面の少なくとも80%を優先的に除去するステップとを含む。
別の様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面を、100%未満のデューティサイクルを有する、パルスの形のエネルギー粒子に暴露するステップとを含む。
別の様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面を、減衰した照射量のエネルギー粒子に暴露するステップであって、その結果、減衰なしの照射量は、改質された表面に連続的に配送されるとき、エッチングすべき材料の表面結合エネルギーよりも大きなエネルギーを有するステップとを含む。
さまざまな実施形態では、活性種のイオンフラックスを変えることにより照射量を減衰させる。
いくつかの実施形態では、改質された表面を活性種に暴露している継続時間を変えることにより照射量を減衰させる。
さまざまな実施形態では、減衰した照射量は、改質された表面の少なくとも一部を除去するために、改質された表面に至る活性種の、2つ以上の時間的に分離したパルスを含む。
いくつかの実施形態では、改質された表面に至る活性種でのイオン加速度を変えることにより照射量を減衰させる。
さまざまな実施形態では、改質された表面に活性種を特定の方向で配送するために、基板を保持する基板支持物に印加するバイアス電圧を変えることにより照射量を減衰させる。
別の様態は、基板を処理する方法を伴い、方法は、エッチングすべき材料を含む基板を提供するステップと、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面を時間的に分離した、パルスの形のエネルギー粒子に暴露するステップと、時間的に分離したパルスの間にイオンエネルギーおよび照射量を変調するステップとを含む。いくつかの実施形態では、イオンエネルギーおよび照射量を変調するステップは、イオンエネルギーを増大させるステップと、イオンエネルギーの増大を、低減した照射量で補償するステップとを含む。
別の様態は、基板を処理する方法を伴い、方法は、基板を改質ガスに暴露して、基板の表面を改質して、改質された表面を形成するステップと、基板の改質された表面を除去ガスに暴露するステップと、改質された表面を除去ガスに暴露する間、活性化源から生成された複数の時間的に分離したエネルギーのパルスを提供して、改質された表面の少なくとも一部を基板から除去するステップとを含む。
いくつかの実施形態では、方法はまた、基板を改質ガスに暴露するステップと改質された表面を除去ガスに暴露するステップとを2つ以上のサイクルで繰り返すステップを含み、その結果、各サイクルで、改質された表面を除去ガスに暴露する間、複数の時間的に分離したエネルギーのパルスを提供する。
さまざまな実施形態では、複数の時間的に分離したエネルギーのパルスは、サイクルあたり少なくとも100の時間的に分離したエネルギーのパルスを含む。
さまざまな実施形態では、時間的に分離したエネルギーのパルスは、改質された表面を除去するのに十分であり、改質された表面を物理的にスパッタするのに不十分である。
さまざまな実施形態では、提供するエネルギーは、改質された表面を除去するのに十分な除去ガスに暴露する間に基板に印加する最小電圧と、改質された表面をスパッタするのに不十分な除去ガスに暴露する間に基板に印加する最大電圧とからなるバイアス窓により規定される。
さまざまな実施形態では、複数の時間的に分離したエネルギーのパルスを、約10Hz~約200Hzの間でパルス化する。
さまざまな実施形態では、複数の時間的に分離したエネルギーのパルスを、約1%~約10%の間のデューティサイクルでパルス化する。
いくつかの実施形態では、活性化源は、2つ以上の供給源を含む。
いくつかの実施形態では、活性化源は、無線周波数プラズマ、基板に印加するバイアス、紫外線放射、光子、およびそれらの組合せからなるグループから選択される。
いくつかの実施形態では、活性化源は、基板にバイアスをかけるために印加する電圧を含む。バイアス電圧は、少なくとも約500V~約1500Vの間であってよい。0Vと約500V~約1500Vの間のバイアス電圧との間でバイアスをパルス化してよい。
いくつかの実施形態では、約100V~約300Vの間の低バイアス電圧と約500V~約1500Vの間の高バイアス電圧との間でバイアスをパルス化する。
いくつかの実施形態では、約10Hz~約200Hzの間のパルス化周波数を使用してバイアスをパルス化する。
いくつかの実施形態では、約1%~約20%の間のデューティサイクルを使用してバイアスをパルス化する。
いくつかの実施形態では、活性化源は、無線周波数プラズマを含む。
いくつかの実施形態では、電力を印加し、かつプラズマ電力が0Wのオフ状態とプラズマ電力が約50W~約900Wの間のオン状態との間でパルス化された無線周波数プラズマ電力を印加することにより、無線周波数プラズマを発生させる。
いくつかの実施形態では、電力および無線周波数プラズマ電力を印加することによりを発生させた無線周波数プラズマを、低プラズマ電力と高プラズマ電力の間でパルス化し、低プラズマ電力は、約10W~約100Wの間であり、高プラズマ電力は、約900W~約1500Wの間である。
いくつかの実施形態では、約10Hz~約200Hzの間のパルス化周波数を使用して無線周波数プラズマをパルス化する。
いくつかの実施形態では、無線周波数プラズマパルス化のデューティサイクルは、約1%~約20%の間である。
その結果、いくつかの実施形態では、活性化源は無線周波数プラズマ、および基板に印加するバイアスを含む。0Vと約500V~約1500Vの間のバイアス電圧との間でバイアスをパルス化してよい。約100V~約300Vの間の低バイアス電圧と約500V~約1500Vの間の高バイアス電圧との間でバイアスをパルス化してよい。電力を印加し、かつプラズマ電力が0Wのオフ状態とプラズマ電力が約50W~約900Wの間のオン状態との間でパルス化された無線周波数プラズマ電力を印加することにより、無線周波数プラズマを発生させてよい。低プラズマ電力と高プラズマ電力の間で無線周波数プラズマをパルス化してよく、低プラズマ電力は、約10W~約100Wの間であり、高プラズマ電力は、約900W~約1500Wの間である。
さまざまな実施形態では、基板は、1つまたは複数の狭い特徴、および1つまたは複数の広い特徴を含む。
いくつかの実施形態では、約0℃~約120℃の間の基板温度で基板を処理する。
いくつかの実施形態では、基板を改質ガスに暴露する間、約5mトール~約1トールの間のチャンバ圧力を有する処理チャンバ内で基板を処理する。
いくつかの実施形態では、除去ガスに基板を暴露する間、約5mトール~約200mトールの間のチャンバ圧力を有する処理チャンバ内で基板を処理する。
別の様態は、基板を処理する方法を伴い、方法は、基板を改質ガスに暴露して、基板の表面を改質して、改質された表面を形成するステップと、基板の改質された表面を除去ガスに暴露するステップと、改質された表面を除去ガスに暴露する間、2つ以上の時間的に分離したパルスの形でプラズマを定期的に点火して、改質された表面の少なくとも一部を基板から除去するステップとを含む。
方法はまた、基板を改質ガスに暴露するステップと改質された表面を除去ガスに暴露するステップとを2つ以上のサイクルで繰り返すステップを含み、その結果、各サイクルで、改質された表面を除去ガスに暴露する間、2つ以上の時間的に分離したプラズマのパルスを提供する。
いくつかの実施形態では、複数の時間的に分離したプラズマのパルスは、サイクルあたり少なくとも100のエネルギーのパルスを備える。
方法はまた、改質された表面を除去ガスに暴露する間、パルスの形でバイアスを印加するステップを含んでよい。いくつかの実施形態では、方法はまた、基板を改質ガスに暴露するステップと改質された表面を除去ガスに暴露するステップとを2つ以上のサイクルで繰り返すステップを含み、その結果、各サイクルで、改質された表面を除去ガスに暴露する間、2つ以上の時間的に分離したプラズマおよびバイアスのパルスを提供する。
いくつかの実施形態では、複数の時間的に分離したプラズマおよびバイアスのパルスは、サイクルあたり少なくとも100のパルスを備え、サイクルは、基板を改質ガスに暴露するステップと、改質された表面を除去ガスに暴露するステップとを含む。
いくつかの実施形態では、プラズマおよびバイアスを同じ周波数でパルス化する。
いくつかの実施形態では、同じデューティサイクルを使用してプラズマおよびバイアスをパルス化する。
別の様態は、基板を処理する方法を伴い、方法は、基板を改質ガスに暴露して、基板の表面を改質して、改質された表面を形成するステップと、基板の改質された表面を除去ガスに暴露するステップと、改質された表面を暴露する間にプラズマを点火するステップと、改質された表面を除去ガスに暴露する間、基板に2つ以上の時間的に分離したパルスの形でバイアスを定期的に印加して、改質された表面を基板から除去するステップとを含む。
方法はまた、改質された表面を除去ガスに暴露する間、プラズマをパルスの形で点火するステップを含んでよい。
いくつかの実施形態では、方法は、基板を改質ガスに暴露するステップと改質された表面を除去ガスに暴露するステップとを2つ以上のサイクルで繰り返すステップを含み、その結果、各サイクルで、改質された表面を除去ガスに暴露する間、2つ以上の時間的に分離したバイアス電力のパルスを提供する。
いくつかの実施形態では、複数の時間的に分離したバイアス電力のパルスは、サイクルあたり少なくとも100のパルスを備え、サイクルは、基板を改質ガスに暴露するステップと、改質された表面を除去ガスに暴露するステップとを含む。
別の様態は、基板を処理するための装置を伴い、装置は、シャワーヘッド、および材料を有する基板を保持するための基板支持物を含む処理チャンバと、プラズマ発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを含み、その結果、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェアと少なくとも動作可能に接続され、メモリは、改質ガスを処理チャンバに導入させ、除去ガスを処理チャンバに導入させ、除去ガスの導入中に活性化源をパルス化させるための機械可読命令を記憶する。
いくつかの実施形態では、メモリは、除去ガスの導入中に活性化源のパルス周波数を約10Hz~約200Hzの間にさせるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、除去ガスの導入中に活性化源のデューティサイクルを約1%~約10%の間にさせるための機械可読命令をさらに記憶する。
いくつかの実施形態では、活性化源は、プラズマ電力を使用して処理チャンバ内で発生させたプラズマであり、メモリは、プラズマ電力が0Wのオフ状態とプラズマ電力が約50W~約900Wの間のオン状態との間で活性化源をパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、活性化源は、処理チャンバ内で発生させたプラズマであり、メモリは、低プラズマ電力と高プラズマ電力の間で活性化源をパルス化させるための機械可読命令をさらに備え、低プラズマ電力は、約10W~約100Wの間であり、高プラズマ電力は、約900W~約1500Wの間である。
その結果、いくつかの実施形態では、メモリは、パルスの形で基板支持物にバイアスを印加させるための機械可読命令をさらに記憶する。たとえば、メモリはまた、0Vと約500V~約1500Vの間のバイアス電圧との間でバイアスをパルス化させるための機械可読命令を記憶してよい。いくつかの実施形態では、メモリは、活性化源と同じパルス化周波数でバイアスをパルス化させるための機械可読命令をさらに記憶する。いくつかの実施形態では、メモリは、活性化源と同じパルス化デューティサイクルでバイアスをパルス化させるための機械可読命令をさらに記憶する。
別の様態は、基板を処理するための装置を伴うことがあり、装置は、シャワーヘッド、および材料を有する基板を保持するための基板支持物を含む処理チャンバと、プラズマ発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを含み、その結果、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェアと少なくとも動作可能に接続され、メモリは、処理チャンバに改質ガスを導入させ、処理チャンバに除去ガスを導入させ、除去ガスの導入中に2つ以上の時間的に分離したパルスの形で処理チャンバ内に無線周波数プラズマ電力を発生させるための機械可読命令を記憶する。
いくつかの実施形態では、メモリは、除去ガスの導入中に無線周波数プラズマ電力のパルス周波数を約10Hz~約200Hzの間にさせるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、除去ガスの導入中に無線周波数プラズマ電力のデューティサイクルを約1%~約10%の間にさせるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、プラズマ電力が0Wのオフ状態とプラズマ電力が約50W~約900Wの間のオン状態との間で無線周波数プラズマ電力をパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、低プラズマ電力と高プラズマ電力の間で無線周波数プラズマ電力をパルス化させるための機械可読命令をさらに記憶し、低プラズマ電力は、約10W~約100Wの間であり、高プラズマ電力は、約900W~約1500Wの間である。
いくつかの実施形態では、メモリは、バイアスを基板支持物にパルスの形で印加させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、0Vと約500V~約1500Vの間のバイアス電圧との間でバイアスをパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、約100V~約300Vの間の低バイアス電圧と約500V~約1500Vの間の高バイアス電圧との間でバイアスをパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、無線周波数プラズマ電力と同じパルス化周波数でバイアスをパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、無線周波数プラズマ電力と同じパルス化デューティサイクルでバイアスをパルス化させるための機械可読命令をさらに記憶する。
別の様態は、基板を処理するための装置を伴い、装置は、シャワーヘッド、および材料を有する基板を保持するための基板支持物を含む処理チャンバと、プラズマ発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを含み、その結果、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェアと少なくとも動作可能に接続され、メモリは、処理チャンバに改質ガスを導入させ、処理チャンバに除去ガスを導入させ、除去ガスの導入中に2つ以上の時間的に分離した、基板へのパルスの形で基板支持物にバイアス電力を印加させるための機械可読命令を記憶する。
いくつかの実施形態では、メモリは、除去ガスの導入中にバイアス電力のパルス周波数を約10Hz~約200Hzの間にさせるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、除去ガスの導入中にバイアス電力のデューティサイクルを約1%~約10%の間にさせるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、バイアス電力が0Vのオフ状態とバイアス電力が約500V~約1500Vの間のオン状態との間でバイアス電力をパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、低バイアス電力と高バイアス電力の間でバイアス電力をパルス化させるための機械可読命令をさらに記憶し、低バイアス電力は、約100V~約300Vの間であり、高バイアス電力は、約500V~約1500Vの間である。
いくつかの実施形態では、メモリは、除去ガスの導入中にパルスの形でプラズマ電力を印加することによりプラズマを点火させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、0Wと約50W~約900Wの間のプラズマ電圧との間でプラズマ電力をパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、バイアス電力と同じパルス化周波数でプラズマ電力をパルス化させるための機械可読命令をさらに記憶する。
いくつかの実施形態では、メモリは、バイアス電力と同じパルス化デューティサイクルでプラズマ電力をパルス化させるための機械可読命令をさらに記憶する。
これらおよび他の様態について、図面と併せて以下でさらに記述する。
原子層エッチングを受けている基板の例示的概略図を示す。
FinFET半導体素子の3次元概略図を示す。
開示するある種の実施形態による方法のための動作を描く処理の流れ図である。 開示するある種の実施形態による方法のための動作を描く処理の流れ図である。
開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。 開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。 開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。 開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。 開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。 開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。 開示するある種の実施形態に従って遂行する動作の例を描くタイミング概略図である。
例示的な低エネルギーおよび高エネルギーのALE技法のタイミング概略図である。
ALEで、改質された層を低イオンエネルギーおよび高イオンエネルギーで除去する例示的概略図である。 ALEで、改質された層を低イオンエネルギーおよび高イオンエネルギーで除去する例示的概略図である。
開示する実施形態を遂行するための例示的処理チャンバの概略図である。
開示する実施形態を遂行するための例示的処理装置の概略図である。
パルス化ALEで使用するバイアス電力の関数として描く、シリコン・オン・インシュレータの、サイクルあたりのエッチングのグラフであり、この場合、バイアス電力は、開示するある種の実施形態に従って行われた実験用にパルス化されている。
パルス化ALEで使用するバイアス電力の関数として描く、アモルファスシリコンおよび酸化ケイ素の、サイクルあたりのエッチングのグラフであり、この場合、バイアス電力は、開示するある種の実施形態に従って行われた実験用にパルス化されている。
パルス化ALEで使用するバイアス電力の関数として描く、エッチング選択性のグラフであり、バイアス電力は、図8Aに関して行われた実験用にパルス化されている。
パルス化ALEで使用するバイアス電力の関数として描く、アモルファスシリコンおよび酸化ケイ素の、サイクルあたりのエッチングのグラフであり、この場合、バイアス電力は、開示するある種の実施形態に従って行われた実験用にパルス化されている。
パルス化ALEで使用するバイアス電力の関数として描く、エッチング選択性のグラフであり、この場合、バイアス電力は、図9Aに関して行われた実験用にパルス化されている。
開示するある種の実施形態に従って行われた実験について、異なるバイアス電力のパルス化ALEで使用するトレンチ臨界寸法の関数として描く、ピッチローディングのグラフである。 開示するある種の実施形態に従って行われた実験について、異なるバイアス電力のパルス化ALEで使用するトレンチ臨界寸法の関数として描く、ピッチローディングのグラフである。
パルス化ALE対パルス化なしのALEで使用する材料の、サイクルあたりのエッチングを描くグラフである。
式5Bで提供する関数に基づく、サイクルあたりの例示的エッチングモデルのグラフである。
低エネルギーALEのある例での、アルゴンバイアスの関数として描く、サイクルあたりのエッチングのグラフである。
完全に除去するためのアルゴン暴露「オン」時間の関数として描く、イオンエネルギーのある例である。
パルス化ALEについて、アルゴン暴露のさまざまな「オン」時間のバイアスの関数として描く、ALEのサイクルあたりの正規化エッチングのある例である。
模擬実験データに関する、アルゴン暴露の「オン」時間に対する、サイクルあたりの例示的エッチングのグラフである。
シリコンおよびSiClに関する、さまざまなアルゴンバイアス電圧に対する例示的エッチング速度のグラフである。
ある実験で50Vのアルゴンバイアスに暴露した基板の例示的図である。
ある実験で200Vのアルゴンバイアスに暴露した基板の例示的図である。
以下の記述では、提示する実施形態を十分に理解することができるようにするために、数多くの具体的な詳細について示す。開示する実施形態は、これらの具体的な詳細の一部またはすべてなしに実施されてよい。他の実例では、開示する実施形態を不必要に不明瞭にしないために、周知の処理動作について詳細に記述しなかった。具体的実施形態と関連づけて、開示する実施形態について記述するが、その一方で、開示する実施形態を限定することを意図するものではないことを理解されよう。
半導体処理は、多くの場合さまざまなエッチング動作を伴う。3D構造を処理し、形成するための一例の技術は、方向性エッチングおよび側壁不動態化を生成する反応性イオンエッチング(reactive ion etch、RIE)を伴う。一般に、RIEは、フッ素含有化合物、塩素、臭化水素から生成されたハロゲン含有種などの反応種、ならびに方向性エッチングで使用するための水素および/またはアルゴンなどのイオン、および側壁表面を不動態化するためのさまざまな種を生成する。しかしながら、エッチング深さが増大するにつれ、一部は特徴の最下部に種が配送されるときに特徴孔の側壁に種が当たるために、異なるアスペクト比の特徴に対して深さローディング(depth loading)が発生する。深さローディングはまた、さまざまな、隙間のある(iso)領域(たとえば、広い特徴開口部を有する特徴)および高密度領域(たとえば、狭い特徴開口部を有する特徴)に起因して発生する。「狭い」開口部を有する特徴は、相対語で「広い」特徴よりも小さな開口部直径または線幅を有する特徴として規定されてよい。広い特徴は、狭い特徴の臨界寸法よりも少なくとも1.5倍、または少なくとも2倍、または少なくとも5倍、または少なくとも10倍以上の開口部直径または臨界寸法を有してよい。「狭い」特徴の例は、約1nm~約10nmの間の開口部直径を有する特徴を含む。「広い」特徴の例は、数100ナノメートル~約1ミクロンのオーダーで開口部直径を有する特徴を含む。
エッチング処理は、多くの場合、エッチングすべき材料をエッチングガスの組合せに暴露して、材料を除去するステップを伴う。しかしながら、そのような除去は、自己制御的であるわけではなく、いくつかの事例では、望む以上にエッチングすることも、望ましくない特徴輪郭をもたらすこともある。特徴のサイズが縮小するにつれ、原子層エッチング(Atomic Layer Etch、ALE)などの原子スケールの処理の必要性が高まっている。ALEは、膜厚に数字上の小さな変化をもたらす、名目上は自己制御的ステップからなるサイクルで、順次の自己制御反応を使用して材料の薄層を除去する技法である。この処理は、平滑性および等角性により、さらにまた、あるALEの場合には方向性により、特徴づけられる。
ALEは、原子スケールの深さ方向分解能および制御を用いる、材料の超薄層のブランケット除去またはパターン精細度エッチング(pattern-definition etching)用に先進の半導体製造(たとえば、約10nm未満の技術ノード)で使用することができる。一般に、任意の適切な技法を使用してALEを遂行してよい。原子層エッチング技法の例については、例示的原子層エッチングおよびエッチング技法を記述する目的で参照により本明細書に組み入れられる、2014年11月11日に付与された米国特許第8,883,028号明細書、および2014年8月19日に付与された米国特許第8,808,561号明細書で記述されている。さまざまな実施形態では、プラズマを用いてALEを遂行してよい、またはALEを熱的に遂行してよい。
ALEをサイクルで遂行してよい。「ALEサイクル」の概念は、本明細書のさまざまな実施形態の議論に関連する。一般に、ALEサイクルは、単分子層のエッチングなど、エッチング処理を1回遂行するために使用する動作からなる最小の1組である。1サイクルの結果は、基板表面上の膜層の少なくとも一部をエッチングすることである。典型的には、ALEサイクルは、反応層を形成するための改質動作、続いてこの改質された層だけを除去またはエッチングするための除去動作を含む。サイクルは、反応物または副産物のうち一方を掃いて取り除くなどの、ある種の補助動作を含んでよい。一般に、サイクルは、固有の動作シーケンスの1つのインスタンスを包含する。ある例として、ALEサイクルは、(i)反応物ガスの配送、(ii)チャンバからの反応物ガスのパージング、(iii)除去ガスおよび任意選択のプラズマの配送、ならびに(iv)チャンバのパージングの動作を含んでよい。いくつかの実施形態では、エッチングを非等角的に遂行してよい。図1は、ALEサイクルの2つの例示的概略図を示す。図171a~図171eは、一般的なALEサイクルを示す。171aで、基板を提供する。171bで、基板の表面を改質する。171cで、次のステップの準備をする。171dで、改変された層をエッチングしている。171eで、改質された層を除去する。同様に、図172a~図172eは、シリコン膜をエッチングするためのALEサイクルのある例を示す。172aで、多くのシリコン原子を含むシリコン基板を提供する。172bで、基板の表面を改質する反応物ガスの塩素を基板に導入する。172bの概略図は、ある例として基板の表面の上で一部の塩素が吸収されることを示す。図1では塩素を描くが、任意の塩素含有化合物または適切な反応物を使用してよい。172cで、チャンバから反応物ガス塩素をパージする。172dで、Ar+プラズマ種および矢印により示すように、方向性プラズマを伴う除去ガスアルゴンを導入し、イオン衝撃を遂行して、基板の改質された表面を除去する。基板がスパッタするのを防止する処理条件で、連続して低エネルギーALEでイオン衝撃を遂行する。たとえば、この動作中、基板にバイスを印加して、基板に向けてイオンを引き寄せる。この除去動作の間、電力は連続して加えられるので、バイアス電力は、典型的にはスパッタリングを防止する電力に設定される。したがって、バイアスに印加する電力は、典型的には約100V未満のオーダーである。バイアス電力は、エッチングすべき材料に依存するので、たとえば、ゲルマニウムについては、バイアス電力は、約20V~約35Vの間であってよく、一方では、シリコンについては、バイアス電力は、約35V~約65Vの間であってよい。これらの例では、ゲルマニウムは、35Vよりも大きなバイアス電力でスパッタし、シリコンは、65Vよりも大きなバイアス電力でスパッタする。したがって、バイアス電力は、典型的にはスパッタリングを防止し、さらにまた基板および周囲の材料への損傷を防止するために、低く保たれる。この例の「バイアス窓」での最小バイアス電力は、改質された材料を除去するのに十分なエネルギーを基板に提供するために必要な最小バイアス電力である。したがって、連続して加えられるバイアス電力に関して、ゲルマニウムでは20V未満の、シリコンでは35V未満のバイアス電力では、改質された表面は、基板から除去されるのに十分なエネルギーを有しない。172eで、チャンバをパージし、副産物を除去する。
あるサイクルは、材料の約0.1nm~約50nmを、または材料の約0.1nm~約20nmの間で、または材料の約0.1nm~約2nmの間で、または材料の約0.1nm~約5nmの間で、または材料の約0.2nm~約50nmの間で、または材料の約0.2nm~約5nmの間だけで部分的にエッチングしてよい。あるサイクルでエッチングする材料の量は、自己制御的手法でエッチングする目的に依存することがある。いくつかの実施形態では、ALEのサイクルは、材料の単分子層未満を除去してよい。
チャンバ圧力、基板温度、プラズマの電力、周波数、およびタイプ、ならびにバイアス電力などのALE処理条件は、エッチングすべき材料、エッチングすべき材料を改質するために使用するガスの組成、エッチングすべき材料の下にある材料、ならびに改質された材料を除去するために使用する除去ガスの組成に依存する。しかしながら、これらの要因の組合せは、さまざまな材料をエッチングするためにALEを遂行するのを難題にしている。
スパッタリングおよび基板への損傷を防止するためにバイアス電力が典型的には低く保たれるので、さまざまな材料に対してスパッタリングなしに自己制御的手法でALEを遂行することは、難題であり、多くの場合ある種の処理条件により制限される。
特徴サイズの特徴幅が10nm未満に縮小するにつれ、特徴の間での、1nmの臨界寸法変動は、特徴の間でアスペクト比の大きなゆらぎを引き起こし、連続バイアス(低エネルギーALE)を用いる従来のALEにより行われるエッチング処理で、深さローディング効果が観察される。エッチング処理中、より深いトレンチ内での、ALEのサイクルあたりの材料のエッチング量は、より浅いトレンチ内での、ALEのサイクルあたりの材料のエッチング量よりも少ない。いくつかの事例では、サイクルあたりのエッチング量は、単一の特徴の内部でさえ、特徴開口部近くの最上部よりも特徴の最下部で、より少ない可能性がある。特定の理論に制限することなく、極端な3D構造でALEの自己制御的特徴を満足させるために、プラズマから供給されるイオンエネルギーを変える必要があると考えられる。しかしながら、さまざまなアスペクト比の特徴を有する基板に対して、ALEを使用して、大きな特徴開口部を有する基板をエッチングするのに十分であるようにイオンエネルギーを選択した場合、より狭い特徴開口部を有する特徴は、低減されたエネルギーおよびフラックスを有するイオンを受け取り、それにより、より小さな特徴のエッチングは不十分である。しかしながら、狭い特徴開口部を有する特徴を効果的にエッチングするために、より大きなイオンエネルギーを選択した場合、より大きな特徴は、はるかにより高いイオンエネルギーを受け、それにより、はるかにより高いイオンエネルギーは、より大きな特徴の表面をスパッタし、ALEの自己制御的側面は排除される。3D構造で明らかになったこの深さローディング効果は、エッチング速度が特徴の幾何形状に依存することを示唆している。
エッチング中に幾何形状依存性が存在することがあるのには、理由がいくつかある。存在することがある一例の難題は、陽イオンが生成されるため、狭い特徴開口部に起因して高アスペクト比の特徴の特徴開口部またはその近くで、そのように正に帯電したイオンの蓄積がいくらか存在する場合に発生する帯電効果であり、それにより、特徴開口部が特徴の最下部よりも正に帯電する特徴の深さの範囲内で帯電差をもたらし、その結果、改質された表面を除去するために使用する正に帯電した入射イオンと正に帯電したイオンとの間で反発現象をもたらし、その結果、特徴の最下部で、改質された表面の除去が不均一になる。
ますます多くの新しい材料が集積回路処理の中に導入され、処理パラメータ(ガス圧力、ウエハ温度、プラズマ電力、イオンエネルギーなど)の組み合わせが多数あることを仮定すると、高アスペクト比での帯電効果を回避しながら、所与の材料に対して、スパッタすることはないが、層ごとに自己制御的方法でエッチングするALE処理を達成することは難題である。
本明細書では、高エネルギーを使用して、制御された原子層エッチングを遂行する方法を提供する。開示する実施形態は、エッチングすべき材料の表面を改質ガスに暴露して、表面を改質し、改質された表面を形成するステップと、改質された表面をエネルギー粒子に暴露して、下にある改質されていない表面に対して、改質された表面を取り除くステップであって、エネルギー粒子は、下にある改質されていない表面の平均表面結合エネルギーに打ち勝つのに十分なイオンエネルギーを有するステップとを伴う。
たとえば、基板は、平均表面結合エネルギーを有する、エッチングすべき材料を含んでよい。エッチングすべき材料は、さまざまな材料層を含む。原子層エッチングの自己制御的側面を仮定すると、改質ガスに暴露する間、改質ガスは、暴露された表面を改質するが、下にある材料を改質しないように、エッチングすべき材料の表面を改質する。改質された材料をイオン、電子、中性子、光子、または他の種などのエネルギー粒子に暴露するとき、エネルギー粒子は、改質された表面を除去し、下にある改質されていない表面を残し、ALEの自己制御様態を維持する。エネルギー粒子は、高エネルギーを伴って配送され、高エネルギーは、エッチングすべき材料の下にある改質されていない表面の平均表面結合エネルギーに打ち勝つのに十分なイオンエネルギーであってよい。すなわち、いくつかの実施形態では、エネルギー粒子は、多くのエネルギー粒子をすべて同時になど、多量の照射量で配送される場合、下にある材料の結合を切断し、それにより、改質された表面の下にある材料をスパッタし、ALEの自己制御的側面を最小にする。対照的に、開示する実施形態は、少ない照射量を配送することにより高エネルギーを補償するようにエネルギー粒子を配送するための照射量で、エネルギー粒子のエネルギーを変調するステップを伴う。低エネルギーALEでは、高照射量を配送することにより低エネルギーを補償する、すなわち、エネルギー粒子は、低エネルギーで配送されるが、高照射量で連続的に配送され、下にある改質されていない表面に影響を及ぼすことなく、改質された表面だけを依然として除去して、ALEの自己制御的側面だけは維持することができる。しかしながら、高エネルギーALEでは、低照射量を配送することにより高エネルギーを補償する、すなわち、エネルギー粒子は、低エネルギーALEで使用するエネルギーよりもはるかに大きい(かつ低エネルギーALEと同じ照射量で配送された場合、改質された表面よりも多く除去する)高エネルギーを伴うが、エッチングが依然として自己制御的であるような低照射量で配送される。
さまざまな実施形態は、エッチングすべき材料の表面を改質するステップと、改質された表面を、除去ガスを活性化することにより生成された、減衰した照射量の活性種に暴露して、改質された表面の一部またはすべてを除去するステップとを伴う。さまざまな方法を使用して、減衰した照射量を達成することができる。減衰した照射量の活性種を提供する1つの方法は、高/低処理の間だけではなくオン/オフ処理の間にも、プラズマ電力、バイアス電圧、ガス流などをパルス化するステップを伴ってよい、時間的に分離したパルスの形で、同期した手法または非同期の手法で活性種を配送することである。減衰した照射量は、改質された表面に連続的に配送されたとき、エッチングすべき材料のスパッタしきい値エネルギーよりも大きなエネルギーを有する、効果が低減される照射量を配送することとして規定される。いくつかの事例では、スパッタしきい値は、エッチングすべき材料の表面結合エネルギーである。
減衰した照射量を配送するための別の方法は、活性種のイオンフラックスを変えることによりものであり、一方、減衰なしの照射量は、表面結合エネルギーよりも大きなエネルギーを有する。減衰した照射量を配送するさらに別の例は、減衰した照射量の活性種に、改質された表面を暴露する継続時間を変えることによる。継続時間は、改質された表面を除去するのに不十分である可能性がある。たとえば、減衰した照射量の、所与の継続時間の単一パルスは、改質された表面を除去するのに不十分なことがあるが、減衰した照射量で時間をかけて配送される、同じ継続時間の2つ以上のパルスは、改質された表面を除去するのに十分なことがある。別の例は、活性種で生成されたイオンの加速度を変えることにより、改質された表面に、減衰した照射量を配送するステップを伴う。別の例は、改質された表面に活性種を特定の方向で配送するために、基板を保持する基板支持物に印加するバイアス電圧を変えることにより、減衰した照射量を配送するステップを伴う。いくつかの実施形態では、減衰した照射量は、下にある改質されていない材料をスパッタすることなく、改質された表面を除去するのに十分である。
ALEを遂行するこれまでの技法は、ALEの除去動作中に基板を保持する基板支持物に印加するバイス電圧の「ALE窓」を得て、改質された表面分子を基板から除去するのに十分なエネルギーを、改質された表面に提供するが(ALE窓の下限)、超過する場合には改質された表面の下にある材料および/または表面の物理的スパッタリングをもたらす、改質された表面のしきい値エネルギー未満を提供する(ALE窓の上限)ことに基づいていた。表面上の材料のスパッタリングを防止して、ALEによる除去を確実にするために、低バイアス電圧および低プラズマ電力を提供することに焦点を当てたそのような技法は、自己制御的であり、したがって、層ごとの処理で制御することができる。
対照的に、開示する実施形態は、高プラズマ電力、高バイアス電圧、または両方を動作させるステップを伴う。本明細書では、基板支持物にバイアスを印加するとき、基板支持物に設定する電圧を記述するために「バイアス電力」および「バイアス電圧」という用語を交換可能に使用することを理解されたい。しきい値バイアス電力またはしきい値バイアス電圧は、基板支持物上にある基板の表面上の材料をスパッタする前に基板支持物に印加するバイアスの最大電圧を指す。したがって、しきい値バイアス電力は、エッチングすべき材料、プラズマを発生させるために使用するガス、プラズマを点火するためのプラズマ電力、およびプラズマ周波数に一部は依存する。本明細書で記述するようなバイアス電力またはバイアス電圧は、「V」または「Vb」という単位で示される電圧で測定され、この場合、bはバイアス(bias)を指す。本明細書で記述するとき、電子ボルト(eV)は、1ボルトの電位差によって加速することにより1つの電子が獲得するエネルギーの量である。電子ボルトは、1ボルトの電位差によって加速することにより1つの電子が獲得するエネルギーの量を指す。開示する実施形態は、1%~10%の間のデューティサイクルなどの、低デューティサイクルのパルスで動作することができる。開示する実施形態は、活性化した除去ガスに加える高エネルギーが反発効果に打ち勝つのに十分であるので、帯電の問題に打ち勝つ。低エネルギーALEでは、より高いイアス電力を使用して反発効果に打ち勝とうとしようとすることを想定することができるが、より高いバイアス電力は、低エネルギーALEでは、暴露時間が長いために基板をスパッタする、または基板を損傷する結果となり、それにより、ALEの自己制御的特徴を失う。対照的に、高エネルギーでパルス化ALEを使用することにより、スパッタリングなしにALEの自己制御的特性を維持しながら、反発効果に打ち勝つ。
以下でさらに記述する図13に示すように、典型的には、短いデューティサイクルを用いる場合、改質された表面の除去が不完全であることが予期される。
しかしながら、開示する実施形態は、高エネルギーを使用してALEを遂行するための1つの技法として、短いデューティサイクルを使用するステップを伴う。特定の理論に制限することなく、ALEの除去動作に関連する時間依存性が存在すると考えられる。
この例は、シリコン表面が塩素プラズマにより改質されること、および改質された表面が、アルゴンプラズマを使用して除去することができるSiClを有することを伴うとする。この例は、一例としてだけ提供されること、しかし以下の表現は、一般に低エネルギーALEと高エネルギーALEの両方を含むALE用の、(塩素の代わりに)任意の適切なプラズマおよび(アルゴンプラズマの代わりに)高エネルギー種によりエッチングするための任意の適切な材料に関連することを理解されよう。
0は、基板上の表面サイトの総数を表すとする。表面サイトの総数は、次式により与えられ、
0=N+S 式1
式中、Nは、未反応サイト(基板上にSiClが残っているサイト)の総数を表し、Sは、反応したサイト(Siの下層だけが残っているサイト)の総数を表す。
基板の上で塩素を脱着するための反応は、次式により与えられる。
Si-SiCl(s)+Ar+イオン→Si(s)+SiCl(g) 式2
この化学反応式は、イオン当たりの反応の形でイオンアシスト脱着を描き、逆反応を仮定しておらず、速度効率Y=収量である。
Fは、イオン/m2・秒で与えられるイオンのフラックスであるとする。
したがって、式の速度は、次式により与えられる。
Figure 0007293211000002
[S]の微分は、kが[S]およびtに従属すると仮定して、時間tで以下の表現により与えられる。
Figure 0007293211000003
反応した表面部分は、次式のように、θにより与えられる。
Figure 0007293211000004
式5Aは、式5Bとして書き直される。式5Bでは、θ(t)は、除去量を時間の関数として表し、式中、Y(ε)は、生成物を除去するためのイオン収量であり(0eVで0.1イオン)であり、それによれば、
Figure 0007293211000005
であり、dは、1/cm2の単位で表した表面密度であり、Fは、いくつかの装置では、50eVで約1E16/cm2秒であってよいイオンフラックスであり、tは、2秒動作の10%デューティサイクルで0.2秒照射量などの、アルゴンイオンの「オン」時間である。
後に以下で記述する図12Bは、シリコンのALEに対して式5Aのこの逆相関関数を使用する、サイクルあたりのエッチングのある例を示す。特定の理論に制限されることなく、より高いエネルギーで運動量移行がより効率的であることに起因して、より高いエネルギーでより少ないイオンを必要とすると考えられる。ある例を図4Iおよび図4Jに提供する。図4Iでは、改質された表面に加えられる50eVの低イオンエネルギーは、13000m/秒の速度で配送されるアルゴンを使用して、改質された表面を除去し、この場合、Y~0.1SiClx/イオンである。それに対して、図4Jでは、改質された表面に加えられる300eVの高イオンエネルギーは、33000m/秒の速度で配送されるアルゴンを使用して表面を除去し、この場合、Y~0.5SiClx/イオンである。したがって、低イオンエネルギーを使用して取り除くために10個のイオンを必要とした表面では、高イオンエネルギーを使用して同じ表面を除去するために2個のイオンだけが必要である。
特定の理論に制限することなく、スパッタリングは、イオンエネルギーと共に増大するが、一方では、SiCl表面は、下にあるSi表面よりも速くエッチングし、したがって、エッチング量への寄与は、反応層を除去するまで小さい。暴露時間が非常に短い場合、除去時間の大分部の間、反応層は存在する。たとえば、図15は、エッチング速度対アルゴンバイアスのある例を示し、それによれば、SiClは、すべてのエネルギーでSi(1502)よりも速くエッチングする(1501)。
図16Aは、1.5秒/サイクルで50Vのバイアスを用いる低エネルギーALEのある例を示し、この例は、サイクルあたり、より平滑な表面、より長い暴露時間窓、およびより高い分解能をもたらし、その結果、下層への損傷がより少なくなる可能性がある。灰色部分は、基板上のTEOSを表す。緑色部分は、下にある材料を提示する。図16Bは、高エネルギーを使用するパルス化ALEに暴露した同じ基板構造のある例を示し、この例は、類似する相乗効果を使用してより高いスループットを、500eVで2°とは対照的に50eVで8°により与えられる、より狭いイオン角度分布関数(ion angular distribution function、IADF)に起因して、改善されたアスペクト比依存性エッチング(aspect ratio dependent etching、ARDE)を、より少ない帯電効果を、ならびにより少ない再堆積およびイオン散乱をもたらす。CCP反応器で使用するために有用なことがある短いパルスの形で高エネルギーALEを達成することができる。高エネルギーALEは、より大きなイオンエネルギー窓を可能にする。
開示する実施形態は、金属、金属含有材料、誘電体材料、半導体材料、絶縁材料などを含むさまざまな材料をエッチングするのに適している。限定しない例は、シリコン、酸化ケイ素、窒化ケイ素、タングステン、炭素、ゲルマニウム、金属酸化物、および(窒化チタン、窒化アルミニウムなどのような)金属窒化物を含む。本明細書で提供する例は、シリコンのエッチングを対象とするが、開示する実施形態を使用して、さまざまな材料をエッチングすることができ、改質ガス化学物質、除去ガス化学物質、および処理条件は、エッチングすべき材料に依存することを理解されよう。
本明細書の方法および装置は、高エネルギー原子層エッチングを遂行することを対象とする。低照射量で高エネルギーを補償することにより、高エネルギー原子層エッチング(高エネルギーALE)を使用することができる。対照的に、低エネルギーで遂行する従来のALE(低エネルギーALE)は、高照射量の低エネルギーを伴う。照射量は、ALEの除去動作中に使用するイオン数として規定される。
いくつかの実施形態では、高エネルギーALEは、本明細書でパルス化原子層エッチングと呼ぶエッチング処理を使用して遂行することができる。いくつかの事例では、「高エネルギーALE」および「パルス化ALE」という用語を交換可能に使用する。単一の高エネルギーALEサイクルは、少なくとも2つの動作を、すなわち、1)連続プラズマまたはパルス化プラズマでの表面改質、および2)バイアス電力、もしくは無線周波数プラズマ電力、もしくは両方などの1つもしくは複数のパルス化エネルギー源、または格子エネルギーを使用して、改質された表面を除去する、改質された表面の除去を含む。単一の高エネルギーALEサイクルでの表面改質動作および/または除去動作のいずれかで、複数のパルスを使用してよい。たとえば、いくつかの実施形態では、除去中に、100以上のパルスのバイアス電力パルス化を遂行してよい。除去中に、バイアス、または電力、または両方をパルス化してよく、いくつかの事例では、光子エネルギーなどの他のエネルギーを使用してよい。パルスは、バイアス電力パルス化とプラズマ電力パルス化の両方を使用するとき、同期していても、非同期であってもよい。パルス化するための周波数は、同じであっても、異なってもよい。オン/オフのパルス化、または低電力と高電力の間のパルス化、または低電圧と高電圧の間のパルス化、パルスの周波数、パルスのデューティサイクル、およびパルスの継続時間を含むパルス化条件を、改質化学物質、除去ガス化学物質、エッチングすべき材料、基板特徴輪郭、および開示する実施形態の用途に応じて注意深く調整してよい。
開示する実施形態を、低エネルギーALE処理よりも約10倍~約20倍の間だけ高いイオンエネルギーを使用して、高エネルギーALE支配期間に遂行することができる。いくつかの実施形態は、誘導結合プラズマの電力と、約1%~約10%の間の非常に低いデューティサイクルで基板に電圧を加えることにより印加される基板へのバイアスとのパルス化を同期させることにより遂行される。新しい処理支配期間により、約30:1よりも大きいなどの高アスペクト比を伴う、極端に狭い特徴をエッチングすることができるようになり、ローディング効果は低く、横方向のエッチングは低減される、または排除される。
パルス化ALEは、改質と除去の両方の間にパルス化を遂行するように、パルス化プラズマとイオンバイアスパルス化の両方を伴ってよい。
表面改質中のパルス化は、効果的なプラズマ時間を制御することができ、改質を遂行するために使用する装置またはツールに合わせて調整することができる。除去中のパルス化を使用して、高エネルギーを使用して、改質された表面を除去することができる。いくつかの実施形態では、除去中のパルス化は、RFプラズマのパルス化とバイアス電力のパルス化の両方を含んでよく、パルス化は、RFプラズマ電力パルス化およびバイアス電力パルス化が同じパルス化周波数で同じデューティサイクルを使用してパルス化されるように同期してよい。
パルス化エネルギーを使用するパルス化ALEは、自己制御相乗作用窓を拡張するための方法を提供する。相乗作用は、表面改質動作と除去動作の両方の相互作用に起因して、好ましいエッチングが行われることを意味する。より高いバイアス/エネルギー支配期間まで拡張された相乗作用窓は、極端に狭い3D構造に関連したイオン散乱に起因する、エッチング前面に到達するイオンエネルギー/フラックスの損失を補償することができる。したがって、高エネルギーALEは、広範囲の臨界寸法およびアスペクト比に対して、自己制御反応でサイクルあたり同じエッチングを可能にする動作支配期間を提供する。
高エネルギーALEは、原子層エッチングの相乗作用挙動のための自己制御エネルギー窓を拡張する。連続イオン衝撃では、たとえばシリコンのスパッタしきい値電圧は、100Vよりも大きくてよい。すなわち、100Vよりも大きなバイアス電力で、シリコン表面はスパッタする。パルス化モードは、所与の周波数およびデューティサイクルでプラズマおよびイオンを発生させる。低デューティサイクルで電力/バイアスパルス化を用いると、しきい値スパッタバイアスをより高いバイアス電力に増大させることができる。したがって、バイアスパルス化は、低エネルギーALEと比較して、少なくとも1桁の大きさだけ自己制御エネルギー窓の範囲および大きさを増大させる。
特定の理論に制限することなく、パルス化に伴うイオンフルエンス低減に起因してパルス化原子層エッチングが達成されると考えられる。フルエンス=フラックス×時間であると仮定すると、フルエンスはイオンの照射量を表す。最も簡単な推定として、デューティサイクル、およびステップ時間の比により照射量の低減を計算することができる。たとえば、10%のデューティサイクルでは、それにより照射量時間は、パルス化に伴い5秒ではなく2秒であり、その場合、フルエンスの実効的低減量は、94%である。したがって、この例では、ウエハは、低エネルギーALEで使用する当初のイオン照射量の0.06倍に暴露される。サイクルあたりにエッチングされる量は、イオン照射量およびイオンエネルギーに依存する。一般に、照射量を低減する結果、エッチング量はより少なくなる。特定の理論に制限することなく、より高いイオンエネルギーにすることにより、より低い照射量を補償することができると考えられる。
反応性イオンエッチングと比較して、高エネルギーALEは、2つの自己制御ステップを採用して、反応物の移送および表面反応を独立に制御する。バイアスパルス化モードは、ALEの自己制御窓を再規定して、バイアス電力またはRF電力がトレンチサイズおよびアスペクト比と無関係な、ALEにより3D構造をエッチングするための支配期間を提供する。提供する実施形態は、ブランケットとパターン形成された基板の両方でエッチングするのに適している。いくつかの実施形態では、高エネルギーALEのバイアス窓は、鋭くない最大値を有してよく、その結果、スパッタリングを引き起こすことなく高エネルギーALEで使用する最大バイアス電圧は、単一の設定値ではなく、ある範囲の値にある。
開示する実施形態は、エッチングが実質的に自己制御的になる電圧の範囲と呼ばれるALEエネルギー窓を拡張する。いくつかの実施形態では、ALEエネルギー窓は、サイクルあたりのエッチング対電圧のグラフを評価することにより決定され、いくつかの実施形態では、サイクルあたりのエッチングのどこが平たん域であるかを識別することを伴い、エネルギー窓は、平たん域の値の±約10%として計算される。いくつかの実施形態では、これは、正の傾きが0の傾き(最小値)になり、かつ0の傾きが正の傾き(最大値)になる変曲点を決定することにより遂行することができる。いくつかの実施形態では、ALEエネルギー窓は、基板をスパッタすることなく、材料の改質された表面を除去するために基板に印加することができる電圧の範囲である。電圧の範囲は、改質された表面上に十分なエネルギーを提供して、改質された材料を除去するために必要な最小電圧である最小電圧、および除去ガスが基板をスパッタする前に基板が耐えることができる最大電圧である最大電圧を含む。
低エネルギーALEでは、スパッタリングにより引き起こされる基板への損傷を防止するために、より低くなる傾向がある、バイアス窓のバイアス電圧の範囲は、典型的にはより狭くなる。デューティサイクルを変え、したがって、除去ガスに印加するエネルギーの継続時間を変更することにより、デューティサイクルが低減し、その結果、エネルギーに暴露する継続時間が低減するにつれ、低エネルギーALE中に使用するバイアス電圧よりも基板に印加することができるバイアス電圧が最大10倍~20倍まで大きくすることができるようにバイアス窓の範囲および振幅は増大する。除去中に除去ガスに対して、より大きなエネルギーを使用することにより、損傷がより大きくなり、したがって、バイアス電圧またはRFプラズマ電力を低減することによってエネルギーの量を低減することにより、損傷を低減しようと試みることが一般に期待されてよい。しかしながら、特定の理論に制限することなく、改質化学物質、除去ガス化学物質、および基板材料化学物質の組ごとに時間をかけて費やしたエネルギー量を使用して、改質された材料を除去するためのバイアス窓を提供することが考えられる。低エネルギーALEでは、バイアス電力に対する一定の制限によりスパッタリングが防止されることが観察される。しかしながら、高エネルギーALEでは、バイアス電力は、バイアスが時間をかけてパルの形で加えられるので、低エネルギーALEで使用するバイアス電力よりも最大10倍~20倍大きくすることができる。いくつかの実施形態では、高エネルギーALEをパルスの形で遂行しながら、他の技法を使用して、ALE中に高エネルギーを加えてよいことに留意されたい。高エネルギーALEで使用するバイアス電力で、低エネルギーALEは、スパッタリングをもたらすが、その一方で、高エネルギーALEは、時間をかけて高バイアス電力を分離し、それにより、基板に対するどんなスパッタリングも防止する。改質化学物質、除去ガス化学物質、およびエッチングすべき材料に依存するとき、印加するエネルギーと時間(たとえば、デューティサイクル)とフラックスの組合せを修正して、開示するある種の実施形態を使用してバイアス窓を最大化することができる。
開示する実施形態は、詳細にはFinFETの用途で特徴をエッチングするのに適していることがある。図2は、例示的FinFET構造(フィン形状の電界効果トランジスタ)200を示す。基板202は、半導体基板であってよい。この構造では、表面214aおよび204aは、ソース領域に対応し、一方では、214bおよび204bは、トレイン領域に対応する。ライナ212は、酸化ケイ素などの絶縁材料230から基板202の半導体材料を分離する。薄いゲート誘電体層206bおよび206aは、絶縁材料230の全面にわたって堆積させられてよく、スペーサ210、ゲート電極208、およびゲート電極障壁208aを含むゲートから絶縁材料230を分離してよい。ゲートの最上部の全面にわたって電気接点250を形成する。開示するある種の実施形態を使用して、基板202内に形成されたトレンチに関して最小深さローディングを伴うフィン/浅いトレンチ分離を画定することができる。追加で、開示するある種の実施形態は、ゲート電極堆積のためのダミーゲート除去に適していることがある。
図3Aおよび図3Bは、開示するある種の実施形態に従って遂行される方法の動作を描く処理の流れ図である。図3Aおよび図3Bの動作を、約1mトール~約100トールの間の、たとえば約50mトールなどの約1mトール~約1トールの間のチャンバ圧力で遂行してよい。図3Aおよび図3Bの動作を、約0℃~約120°の間の基板温度で遂行してよい。
以下の議論では、図3Aの動作302、304、306、310、および312は、図3Bの動作302、304、306、310、および312に対応してよい、および/またはそれらと同じであってよいことが理解されよう。動作302で、処理反応チャンバに基板を提供する。基板は、誘電体、基板の上に堆積した伝導性材料、または半導体材料などの材料からなる1つまたは複数の層を有するウエハを含むシリコンウエハ、たとえば200mmウエハ、300mmウエハ、または450mmウエハであってよい。パターン形成された基板は、狭い、および/または凹角の開口部、特徴内部の狭窄部、ならびに高アスペクト比のうち1つまたは複数により特徴づけられてよい、ビアまたは接触孔などの「特徴」を有してよい。上述の層の1つまたは複数の中に特徴を形成してよい。特徴の一例は、半導体基板内の孔もしくはビア、または基板上の層である。別の例は、基板または層内のトレンチである。さまざまな実施形態では、特徴は、障壁層または接着層などの下層を有してよい。下層の限定しない例は、誘電体層および伝導層、たとえば、酸化ケイ素、窒化ケイ素、炭化ケイ素、金属酸化物、金属窒化物、金属炭化物、および金属の層を含む。
いくつかの実施形態では、基板には特徴がまったくなく、基板の表面は、材料のブランケット層である。いくつかの実施形態では、基板は、さまざまなサイズの特徴を含む。さまざまな実施形態では、開示する実施形態を遂行することにより製作される基板のタイプは、開示する実施形態を遂行する前の基板上の特徴のアスペクト比に依存することがある。いくつかの実施形態では、動作301で提供される基板上の特徴は、少なくとも約2:1、少なくとも約3:1、少なくとも約4:1、少なくとも約6:1、少なくとも約10:1、少なくとも約30:1、またはそれよりも高いアスペクト比を有してよい。特徴はまた、開口部に近い寸法を、たとえば、約5nm~500nmの間の、たとえば約25nm~約300nmの間の開口部直径または線幅を有することがある。開示する方法を、約20nm未満の開口部を有する特徴を伴う基板上で遂行してよい。
ビア、トレンチ、または他の凹状特徴を、充填されていない特徴または特徴と呼ぶことがある。さまざまな実施形態によれは、特徴輪郭は、徐々に狭くなることがある、および/または特徴開口部に張り出し部を含むことがある。凹角の輪郭は、特徴の最下部、閉じた端部、または内部から特徴開口部に至るまで狭くなる輪郭である。凹角の輪郭は、パターン形成中の非対称エッチング動力学により、および/または拡散障壁の堆積などの先行する膜堆積での、非等角な膜段差被覆性によるオーバーハンギングにより、生成されることがある。さまざまな例では、特徴は、特徴の最上部にある開口部で、特徴の中央部および/または最下部の幅よりも小さな幅を有することがある。
動作304で、少なくとも基板の表面で改質するのに十分な継続時間の間、基板を改質ガスに暴露する。動作304でチャンバの中にエッチングガスを導入する。本明細書で記述するように、チャンバの中に材料を導入する動作でプラズマを使用する原子層エッチングを伴ういくつかの実施形態では、ウエハの基板を処理する前に、チャンバの中に化学物質を導入することにより、反応器またはチャンバを安定化させてよい。チャンバを安定化させるステップは、安定化の後に続く動作で使用する化学物質と同じ流量、圧力、温度、および他の条件を使用してよい。いくつかの実施形態では、チャンバを安定化させるステップは、異なるパラメータを伴ってよい。いくつかの実施形態では、動作304の間、N2、Ar、Ne、Heなどのキャリアガス、およびそれらの組合せを連続して流す。いくつかの実施形態では、除去中にだけキャリアガスを使用する。以下で記述するように、いくつかの動作でキャリアガスをパージガスとして使用してよい。
改質動作は、後続の除去動作で、改質されていない材料よりも容易に除去される厚さを伴う薄い反応性表層を形成する。改質動作では、チャンバの中に塩素を導入することにより基板を塩素化してよい。開示する実施形態では、塩素を例示的エッチング液種として使用するが、いくつかの実施形態では、チャンバの中に異なるエッチングガスを導入することを理解されよう。エッチングすべき基板のタイプおよび化学的性質に応じてエッチングガスを選択してよい。いくつかの実施形態では、プラズマを点火してよく、塩素は、エッチング処理の間、基板と反応する。いくつかの実施形態では、塩素は、基板と反応してよい、または基板の表面の上に吸収されてよい。さまざまな実施形態では、塩素は、ガス状の形態でチャンバの中に導入され、任意選択で、上記で記述したキャリアガスのいずれかであってよいキャリアガスを伴ってよい。塩素プラズマから生成される種は、基板を収容する処理チャンバ内でプラズマを形成することにより直接生成することができる、または基板を収容していない処理チャンバ内で遠隔に生成することができ、基板を収容する処理チャンバの中に供給することができる。いくつかの実施形態では、プラズマを使用せず、チャンバの中に塩素を熱的に導入してよい。
さまざまな実施形態では、プラズマは、誘導結合プラズマであっても、容量結合プラズマであってもよい。誘導結合プラズマを約50W~約2000Wの間のプラズマに設定してよい。いくつかの実施形態では、約0V~約500Vの間でバイアスを印加してよい。
さまざまな実施形態では、プラズマを点火して、基板表面の改質を促進する。いくつかの実施形態では、遠隔プラズマチャンバ内で改質ガスを点火して、プラズマ種を発生させ、次いで、基板を収容する処理チャンバにプラズマ種を配送する。いくつかの実施形態では、処理チャンバ内部で改質ガスを点火する。
さまざまな実施形態では、動作304の間にプラズマをパルス化してよい。プラズマ電力が約50W~約2000Wの間にあるオン状態とプラズマ電力が0Wのオフ状態との間でプラズマをパルス化してよい。いくつかの実施形態では、プラズマ電力が約10W~約100Wの間にある低い状態とプラズマ電力が約900Wから約1500Wの間にある高い状態との間でプラズマをパルス化してよい。
約10Hz~約200Hzの間のパルス化周波数でパルス化を遂行してよい。改質ガスのプラズマパルス化のデューティサイクルは、約1%~約20%の間であってよい。パルス化は、それぞれ継続時間T続いてよい周期の繰返しを伴ってよいことを理解されよう。継続時間Tは、所与の周期の間に、パルスオン時間の継続時間(プラズマがオン状態にある継続時間)およびオフ時間の継続時間(プラズマがオフ状態にある継続時間)を含む。パルス周波数は、1/Tと理解される。たとえば、パルス化周期T=100μsでは、周波数は、1/T=1/100μs、すなわち10kHzである。デューティサイクルまたはデューティ比は、デューティサイクルまたはデューティ比がパルスオン時間/Tになるように、エネルギー源がオン状態にある、周期T内の割合またはパーセンテージである。たとえば、パルス化周期T=100μsでは、(ある周期内でエネルギー源がオン状態にある継続時間が70μsになるように)パルスオン時間が70μsであり、かつ(ある周期内でエネルギー源がオフ状態にある継続時間が30μsになるように)パルスオフ時間が30μsである場合、デューティサイクルは70%である。
図4Hは、3%、10%、40%、および100%(100%は、十分な相乗作用を伴うが、連続する低エネルギーでALEが遂行される)を含む、ALEサイクルで使用してよい、さまざまなデューティサイクルの例を提供する。Arがオンになったときの、対応する「オン」時間を、図4Hの概略図で提供する。
いくつかの実施形態では、プラズマをパルス化して、より高いエネルギーを改質ガスに配送できるようにする。いくつかの実施形態では、プラズマをパルス化して、プラズマを発生させるために使用する装置が、装置の限界に対処するある種の条件で動作できるようにしてよい。たとえば、連続する短い継続時間の間にプラズマ電力を配送することができない装置では、全プラズマオン時間が、連続する短い継続時間と同じになるように、連続する短い継続時間内に配送される照射量を、より長い期間にわたり複数のパルスに分離することにより、基板表面上の活性サイトの大部分またはすべてを改質するのに十分なプラズマ電力の配送が容易になる。たとえば、塩素がシリコン表面を連続して改質するために必要な時間の最小量は400msであるが、装置はそのような連続する短い継続時間の間に塩素ガスを配送し、プラズマ電力を加えることができない場合、連続する塩素ガスの流れ、ならびに100msのプラズマ電力のパルスとプラズマ電力なしの400msとからなる4サイクル使用して、400msの継続時間を2秒にわたって加えることができる。
動作306で、任意選択で処理チャンバをパージして、基板表面を改質しなかった過剰な改質ガス分子を除去する。パージ動作で、表面に結合していない活性塩素種を処理チャンバから除去してよい。これは、吸収された層を除去することなく処理チャンバをパージおよび/または排気して、活性種を除去することにより行うことができる。塩素プラズマ内で発生した種は、任意選択でチャンバのパージングおよび/または排気と組み合わせて、単にプラズマを停止し、残りの種の崩壊を可能にすることにより除去することができる。N2、Ar、Ne、He、およびそれらの組合せなどの任意の不活性ガスを使用して、パージングを行うことができる。
動作308aで、活性化ガスを基板に配送し、活性化源を使用して、活性化ガスから活性種を発生させ、活性種を使用して、改質された表面を除去する。動作308aで、RFプラズマ電力、バイアス電力、光子、または基板をエッチングする他のエネルギー源などのエネルギー源をパルス化することにより加えてよい高エネルギー照射量の活性種に基板を暴露する。いくつかの実施形態では、動作308aの間、1つのALEサイクルで2つ以上の高エネルギー照射量を提供する。高エネルギー照射量は、改質された表面をスパッタするためのしきい値エネルギーよりも大きなエネルギーを伴って、単一のエネルギー照射量を使用して、改質された表面を除去するのに不十分な継続期間の間、提供される。活性化ガスは、アルゴン、ヘリウム、ネオン、クリプトン、キセノン、もしくはそれらの組合せなどの不活性ガスまたは希ガスであってよい。いくつかの実施形態では、単一の高エネルギー照射量のエネルギーは、スパッタしきい値エネルギーよりも少なくとも2倍~少なくとも15倍大きい。たとえば、いくつかの実施形態では、開示する実施形態を使用してシリコンをエッチングするために、高エネルギー照射量は、65Vの例示的しきい値スパッタバイアス電力と比較して、少なくとも150eVの、または少なくとも500eVの、または少なくとも1000eVの、または100eV~約1500eVの間のバイアス電力で提供される。
いくつかの実施形態では、1つまたは複数のエネルギー源はパルス化され、一方では、1つまたは複数のエネルギー源は連続して放出される。たとえば、いくつかの実施形態では、バイアスがパルス化される間、RFプラズマ電力は連続している。いくつかの実施形態では、RFプラズマ電力はパルス化され、バイアス電力はパルス化される。いくつかの実施形態では、RFプラズマ電力はパルス化され、バイアス電力は連続している。さまざまな実施形態では、電力をパルス化する場合、オン状態とオフ状態の間で、または低い状態と高い状態の間でパルス化を遂行してよい。
周波数およびデューティサイクルを含む、プラズマ電力およびバイアス電力のパルス化条件は、エッチングすべき材料に依存する。改質ガスとして塩素を使用してシリコンをエッチングするために、以下の範囲を使用してよい。RFプラズマ電力については、オン状態とオフ状態の間でパルス化するとき、オン状態の間の電力は、約50W~約900Wの間であってよい。RFプラズマ電力については、低電力と高電力の間でパルス化するとき、高電力の間の電力は、約900W~約1500Wの間であってよく、低電力の間の電力は、約10W~約100Wの間であってよい。バイアス電力については、オン状態とオフ状態の間でパルス化するとき、バイアス電力は、低エネルギーALEのバイアス電力よりも約10倍~約20倍高くてよい。シリコンのエッチングについては、オン状態のバイアス電力は、約1%~約10%の間のデューティサイクルに対して、約100V~約1500Vの間であってよい。バイアス電力については、高電力と低電力の間でパルス化するとき、高電力のバイアス電力は、約1%~約10%間のデューティサイクルに対して、約500V~約1500Vの間であってよく、低電力は、約1%~約10%の間のデューティサイクルに対して、約100V~約300Vの間であってよい。いくつかの実施形態では、バイス電力およびRFプラズマ電力を1つのALEサイクルの間に少なくとも100回パルス化する。
一例では、シリコンをエッチングするために、以下の処理条件を使用してよい。
表1.シリコンの高エネルギーALE用の例示的処理条件
Figure 0007293211000006
いくつかの実施形態では、RFプラズマ電力は連続しており、一方では、バイアス電力は、オン状態とオフ状態の間でパルス化される。いくつかの実施形態では、RFプラズマ電力は連続しており、一方では、バイアス電力は、高電力と低電力の間でパルス化される。いくつかの実施形態では、RFプラズマ電力は、オン状態とオフ状態の間でパルス化され、一方では、バイアス電力は、オン状態とオフ状態の間でパルス化される。いくつかの実施形態では、RFプラズマ電力は、高電力と低電力の間でパルス化され、一方では、バイアス電力は、オン状態とオフ状態の間でパルス化される。いくつかの実施形態では、RFプラズマ電力は、オン状態とオフ状態の間でパルス化され、一方では、バイアス電力は、高電力と低電力の間でパルス化される。いくつかの実施形態では、RFプラズマ電力は、高電力と低電力の間でパルス化され、一方では、バイアス電力は、高電力と低電力の間でパルス化される。いくつかの実施形態では、高バイアス電力は、最大で、スパッタリングなしに、改質された表面を除去するのに十分なエネルギーを提供するための最大バイアス電力であってよく、低バイアス電力は、所与のRFプラズマ電力およびデューティサイクルで、改質された表面を除去するのに十分なエネルギーを提供するための最小バイアス電力と同じくらい低くてよい。
いくつかの実施形態では、RF電力およびバイアス電力のパルス化は、同期してよく、その結果、RF電力は、バイアス電力がオンのときにオンであり、バイアス電力がオフのときにオフである、またはRF電力は、バイアス電力が高いときにオンであり、バイアス電力が低いときにオフである、またはRF電力は、バイアス電力がオンのときに高く、バイアス電力がオフのときに低い、またはRF電力は、バイアス電力が高いときに高く、バイアス電力が低いときに低い。
いくつかの実施形態では、RF電力およびバイアス電力のパルス化は、非同期であってよく、その結果、RF電力は、バイアス電力がオンのときにオフであり、バイアス電力がオフのときにオンである、またはRF電力は、バイアス電力が高いときにオフであり、バイアス電力が低いときにオンである、またはRF電力は、バイアス電力がオンのときに低く、バイアス電力がオンのときに高い、またはRF電力は、バイアス電力が高いときに低く、バイアス電力が低いときに高い。いくつかの実施形態では、RF電力をパルス化する周波数およびバイアス電力をパルス化する周波数は同じである。いくつかの実施形態では、RF電力をパルス化する周波数およびバイアス電力をパルス化する周波数は異なる。
約200Hzなどの約10Hz~約200Hzの間のパルス化周波数でパルス化を遂行してよい。活性化ガスのプラズマパルス化のデューティサイクルは、約1%~約10%の間であってよい。さまざまな実施形態では、デューティサイクルを低減することにより、バイアス窓の範囲および大きさが増大し、その結果、より低いデューティサイクルは、より広いバイアス窓および許容範囲の、基板に印加するより大きなバイアス電力をもたらす。
図3Bでは、動作308bで、改質された表面を高エネルギーのエネルギー粒子に暴露して、改質された表面をエッチングする。エネルギー粒子は、エッチングすべき材料の下にある改質されていない表面の平均表面結合エネルギーよりも大きなイオンエネルギーを有してよい。動作308aについて上記で記述したように、高エネルギーのエネルギー粒子をパルスの形で基板に配送してよい。いくつかの実施形態では、エネルギー粒子は、下にある改質されていない材料を著しくスパッタすることはない。たとえば、エネルギー粒子により除去中にスパッタされる、下にある改質されていない材料の量は、1サイクルでエネルギー粒子により除去される材料の総量の約10%未満である。
さまざまな実施形態では、動作308bは、低イオンエネルギーに暴露された場合に改質される表面の少なくとも80%を除去するのに不十分な継続時間の間、改質された表面を暴露するステップを伴う。
動作310で、任意選択でチャンバをパージして、過剰な活性化ガス、および動作308aまたは308bの除去動作から得られる反応副産物を除去する。
動作312で、任意選択で動作304~310を周期的に繰り返す。さまざまな実施形態では、改質動作および除去動作を、約1サイクル~約200サイクル、または約1サイクル~約150サイクル、または約1サイクル~約70サイクル、または約1サイクル~約40サイクル、または約1サイクル~約30サイクル、または約1サイクル~約20サイクルなど、周期的に繰り返してよい。所望の量の膜をエッチングするために、任意の適切な回数のALEサイクルを含んでよい。いくつかの実施形態では、ALEを周期的に遂行して、基板上の層の表面の約1Å~約50Åをエッチングする。いくつかの実施形態では、ALEのサイクルは、基板上の層の表面の約2Å~約50Åをエッチングする。
さまざまなタイミング概略図の例を図4A~図4Gに描く。これらの図では、RFプラズマは、表面改質中にオフであるとして描かれているが、一方では、さまざまな実施形態では、プラズマは、表面改質中にオンになる。図4A~図4Gの例のすべてでは、改質ガスは、表面改質の間にオンで一定しており、パージ段階および除去の間にオフになり、除去ガスは、除去の間にオンで一定しており、パージ段階および表面改質の間にオフになる。図に描かれていないが、エッチングサイクル中にキャリアガスを連続して流してよいことを理解されよう。いくつかの実施形態では、パージ段階のガスは、除去ガスと同じであり、したがって、パージ段階の間に除去ガスをオンにしてよく、一方では、プラズマもバイアス電力も配送されない(図示せず)。
図4Aは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作中にオンとオフの間でパルス化され、一方では、RFプラズマは、除去動作中に一定である。1つのALEサイクル内の除去中にバイアス電力について4つのオンパルスだけを描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。
図4Bは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作の間に低電力と高電力の間でパルス化され、一方では、RFプラズマは、除去動作の間に一定である。1つのALEサイクル内の除去中にバイアス電力について4つの高電力パルスだけを描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。
図4Cは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作中にオンとオフの間でパルス化され、一方では、RFプラズマは、同じく除去動作の間にオンとオフの間でパルス化される。1つのALEサイクル内の除去中に4つのオンパルスだけを描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。この例では、RFパルス化およびバイアス電力パルス化は、同じ周波数およびデューティサイクルで同期している。
図4Dは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作中に高電力と低電力の間でパルス化され、一方では、RFプラズマは、同じく除去動作中にオンとオフの間でパルス化される。1つのALEサイクル内の除去中に4つのパルスだけを描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。この例では、RFパルス化およびバイアス電力パルス化は、同じ周波数およびデューティサイクルで同期している。
図4Eは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作中にオンとオフの間でパルス化され、一方では、RFプラズマは、同じく除去動作中に高電力と低電力の間でパルス化される。1つのALEサイクル内の除去中に4つのパルスだけを描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。この例では、RFパルス化およびバイアス電力パルス化は、同じ周波数およびデューティサイクルで同期している。
図4Fは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作中に高電力と低電力の間でパルス化され、一方では、RFプラズマは、同じく除去動作中に高電力と低電力の間でパルス化される。1つのALEサイクル内の除去中に4つのパルスだけを描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。この例では、RFパルス化およびバイアス電力パルス化は、同じ周波数およびデューティサイクルで同期している。
図4Gは、表面改質、パージ、除去、およびパージからなる2つのエッチングサイクルを示し、この場合、バイアス電力は、除去動作中にオンとオフの間でパルス化され、一方では、RFプラズマは、同じく除去動作の間にオンとオフの間でパルス化される。1つのALEサイクル内の除去中に4つのバイアス電力パルスだけ(および3つのRFプラズマパルスだけ)を描くが、一方では、複数のパルスを、1%~10%の間などのさまざまなデューティサイクルで使用してよい。この例では、RFパルス化およびバイアス電力パルス化は非同期であり、その結果、バイアス電力は、RFプラズマがオフのときにオンであり、RFプラズマがオンのときにオフであるが、同じ周波数を伴う。
図4A~図4Gは、さまざまなパルス化ALE実施形態でのパルスタイミングの例だけを提供する。開示するある種のパルス化ALE実施形態で、多くの変形形態を使用してよいことを理解されよう。
本明細書では、エッチング選択性を達成するための実施形態を提供する。たとえば、エッチング選択性は、エッチングすべき材料、使用する除去ガスおよび改質ガス、ならびにオン状態中にパルスで使用するバイアス電力に依存し、その結果、より低いバイアス電力で、2つの材料の間でより高い選択性が観察されることがあり、一方では、より高いバイアス電力で、2つの材料の間でより低い選択性が観察されることがある。開示する実施形態は、自己制御パルス化ALEを動作させるためのバイアス窓を拡張するので、これにより、パルス化ALE中にさまざまなバイアス電力を使用して一方の材料のエッチング選択性を別の材料のエッチング選択性と比較して調整して、所望のエッチング特性、およびいくつかの実施形態では特徴輪郭を得ることができるようになる。
装置
次に、ある種の実施形態では原子層エッチング(ALE)に適していることがある誘導結合プラズマ(inductively coupled plasma、ICP)反応器について記述する。そのようなICP反応器はまた、事実上、全体が参照により本明細書に組み入れられる、「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING(複数のパターンを形成するためのAHMギャップ充填を伴う像反転)」と題する、2013年12月10日に提出された米国特許出願公開第2014/0170853号明細書で記述されている。本明細書でICP反応器について記述するが、いくつかの実施形態では、容量結合プラズマ反応器もまた使用してよいことを理解されたい。いくつかの実施形態では、電子サイクロトロン共鳴プラズマを使用してよい。
図5は、本明細書のある種の実施形態を実装するのに適している誘導結合プラズマエッチング装置500の横断面図を概略的に示し、その実施形態のある例は、カリフォルニア州フリーモントのLam Research Corp.(ラムリサーチ株式会社)が製造するKiyo(登録商標)反応器である。誘導結合プラズマ装置500は、チャンバ壁501および窓511により構造上画定される総合処理チャンバ501を含む。ステンレス鋼またはアルミニウムからチャンバ壁501を製作してよい。石英または他の誘電体材料から窓511を製作してよい。任意選択の内部プラズマグリッド550は、総合処理チャンバ501を上部サブチャンバ502および下部サブ処理チャンバ503に分割する。大部分の実施形態では、プラズマグリッド550は、除去されることがあり、それにより、サブチャンバ502および503からなるチャンバ空間が利用される。チャック517は、下部サブチャンバ503内部で最下部内面近くに位置決めされる。チャック517は、エッチング処理および堆積処理が遂行される半導体ウエハ519を受け取り、保持するように構成される。チャック517は、ウエハ519が存在するときにウエハ519を支持するための静電チャックとすることができる。いくつかの実施形態では、縁部リング(図示せず)は、チャック517を取り囲み、ウエハ519がチャック517の上に存在するとき、ウエハ519の最上部表面と共にほぼ平面状の上面を有する。チャック517はまた、ウエハのチャッキングおよびデチャッキング用の静電電極を含む。この目的のために、フィルタおよびDCクランプ電源(図示せず)を提供してよい。さらにまた、チャック517から離してウエハ519を持ち上げるための他の制御システムを提供することができる。チャック517は、RF電源523を使用して電気的に充電することができる。RF電源523は、接続527を通して整合回路521に接続される。バイアス電力をチャック517に加えて、基板にバイアスをかけてよい。さまざまな実施形態では、バイアス電力を、0V(バイアスなし)~約2000Vの間、または0V~1800Vの間、または0V~1500Vの間、または500V~約1500Vの間の値に設定してよい。整合回路521は、接続525を通してチャック517に接続される。このようにして、RF電源523は、チャック517に接続される。
プラズマを発生させるための要素は、窓511の上方に位置決めされたコイル533を含む。いくつかの実施形態では、開示する実施形態でコイルを使用しない。コイル533は、導電性材料から製作され、少なくとも完全な1巻きを含む。図5に示すコイル533の例は、3巻きを含む。コイル533の横断面を記号付きで示し、「X」を有するコイルは、回転してページの中に伸長し、「●」を有するコイルは、回転してページから外に伸長する。プラズマを発生させるための要素はまた、コイル533にRF電力を供給するように構成されたRF電源541を含む。一般に、RF電源541は、接続545を通して整合回路539に接続される。整合回路539は、接続543を通してコイル533に接続されている。このようにして、RF電源541は、コイル533に接続される。RF電源541は、改質動作中に1%~約20%の間のデューティサイクルを使用して10Hz~200Hzの間の周波数でパルス化されるように、および/またはALEサイクルの除去動作中に1%~約20%の間のデューティサイクルを使用して10Hz~200Hzの間の周波数でパルス化されるように構成されてよい。任意選択のファラデー遮蔽549を、コイル533と窓511の間に位置決めする。ファラデー遮蔽549は、コイル533に対して空間的に離した関係で維持される。ファラデー遮蔽549は、窓511の真上に配置される。コイル533、ファラデー遮蔽549、および窓511は、それぞれ互いに実質的に平行になるように構成される。ファラデー遮蔽は、金属または他の種がプラズマチャンバ501の誘電体窓上に堆積するのを防止してよい。
処理ガス(たとえば、塩素、アルゴン、酸素など)を、上部チャンバ502内に位置決めされた主ガス流注入口560を通して、および/または1つまたは複数の側面ガス流注入口570を通して、処理チャンバ501の中に流してよい。同様に、明示的に示されていないが、類似のガス流注入口を使用して、容量結合プラズマ処理チャンバに処理ガスを供給してよい。真空ポンプ、たとえば、1段または2段の機械式ドライポンプおよび/またはターボ分子ポンプ540を使用して、処理チャンバ501から外に処理ガスを抜き取り、処理チャンバ501内部の圧力を維持してよい。たとえば、ポンプを使用して、ALEのパージ動作中にチャンバ501を排気してよい。弁制御導管を使用して、真空ポンプにより提供される真空環境の適用を選択的に制御するように、処理チャンバ501に真空ポンプを流体的に接続してよい。これは、稼働中のプラズマ処理の間に絞り弁(図示せず)または振り子弁(pendulum valve)(図示せず)などの閉ループ制御流量制限機器を採用して行われてよい。同様に、容量結合プラズマ処理チャンバへの真空ポンプおよび弁制御流体接続をさらにまた使用してよい。
装置の動作中、ガス流注入口560および/または570を通して1つまたは複数の処理ガスを供給してよい。ある種の実施形態では、主ガス流注入口560だけを通して、または側面ガス流注入口570だけを通して、処理ガスを供給してよい。いくつかの事例では、図に示すガス流注入口を、より複雑なガス流注入口と、たとえば1つまたは複数のシャワーヘッドと交換してよい。ファラデー遮蔽549および/または任意選択のグリッド550は、チャンバ501に処理ガスを配送できるようにする内部チャネルおよび孔を含んでよい。ファラデー遮蔽549と任意選択のグリッド550のいずれか、または両方は、処理ガスを配送するためのシャワーヘッドの役割を果たしてよい。いくつかの実施形態では、液体気化および配送システムをチャンバ501の上流に配置してよく、その結果、液体反応物または前駆物質が気化されると、気化した反応物または前駆物質をガス流注入口560および/または570を介して処理チャンバ501の中に導入する。例示的液体前駆物質は、SiCl4およびシリコンアミドを含む。
RF電源541からコイル533に無線周波数電力を供給して、コイル533を通してRF電流を流す。コイル533を通して流れるRF電流は、コイル533の周りに電磁場を発生させる。電磁場は、上部サブチャンバ502内部に誘導電流を発生させる。発生したさまざまなイオンおよび基とウエハ519の物理的および化学的な相互作用により、ウエハの特徴を選択的にエッチングし、ウエハ上に層を選択的に堆積させる。
上部サブチャンバ502と下部サブ処理チャンバ503の両方が存在するようにプラズマグリッドを使用する場合、誘導電流は、上部サブチャンバ502内に存在するガスに作用して、上部サブチャンバ502内に電子-イオンプラズマを発生させる。任意選択の内部プラズマグリッド550は、下部サブチャンバ503内の熱い電子の量を制限する。いくつかの実施形態では、下部サブチャンバ503内に存在するプラズマがイオン-イオンプラズマになるように装置を設計し、動作させる。
上部の電子-イオンプラズマと下部のイオン-イオンプラズマの両方は、正イオンおよび負イオンを包含してよいが、イオン-イオンプラズマは、より大きな負イオン:正イオンの比を有する。下部サブチャンバ503からポート522を通して揮発性エッチングおよび/または堆積副産物を除去してよい。本明細書で記述するチャック517は、基板を処理して、タンタルをエッチングするために、約-200℃~約600℃の間、または約-20℃~約250℃の間の範囲に及ぶ温度で動作してよく、チャック517を約0℃未満の温度に設定してよい。温度は、処理動作、および特有のレシピ、および使用するツールに依存する。
クリーンルームまたは製作施設に据え付けるとき、チャンバ501を施設(図示せず)に連結してよい。施設は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの施設は、対象となる製作施設内に据え付けられたとき、チャンバ501に接続される。追加で、典型的な自動制御機械を使用してロボット工学がチャンバ501の中に、およびチャンバ501から外に半導体ウエハを移送できるようにする移送チャンバに、チャンバ501を連結してよい。
いくつかの実施形態では、システムコントローラ530(1つまたは複数の物理コントローラまたは論理コントローラを含んでよい)は、処理チャンバの動作の一部またはすべてを制御する。システムコントローラ530は、1つまたは複数の記憶装置、および1つまたは複数のプロセッサを含んでよい。いくつかの実施形態では、装置は、開示する実施形態を実現するとき、流量および継続時間を制御するための切替システムを含む。いくつかの実施形態では、装置は、最大で約500msまで、または最大で約750msまでの切替時間を有してよい。切替時間は、流れの化学作用、選んだレシピ、反応器アーキテクチャ、および他の要因に依存することがある。
いくつかの実装形態では、コントローラ530は、上述の例の一部であってよいシステムの一部である。そのようなシステムは、1つもしくは複数の処理ツール、1つもしくは複数のチャンバ、処理するための1つもしくは複数のプラットフォーム、および/または特有の処理構成要素(ウエハ基板支持物、ガス流システムなど)を含む半導体処理設備を備えることができる。これらのシステムは、半導体ウエハまたは半導体基板を処理する前、その間、およびその後に自身の動作を制御するための電子機器と一体化されてよい。電子機器は、1つまたは複数のシステムのさまざまな構成要素または下位区分を制御してよい「コントローラ」と呼ばれることがある。処理パラメータおよび/またはシステムのタイプに応じて、コントローラ530をプログラムして、処理ガスの配送、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、出力設定、無線周波数(radio frequency、RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体配送設定、位置および動作の設定、ツールおよび他の移送ツールの中へ、およびそれらからのウエハ移送、ならびに/または特有のシステムに接続された、もしくはそれとインタフェースをとるロードロックを含む、本明細書で開示する処理のいずれも制御してよい。
大まかに言って、コントローラ530は、さまざまな集積回路、論理回路、メモリ、および/または命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどを行うソフトウェアを有する電子回路として規定されてよい。集積回路は、プログラム命令を記憶するファームウェアの形をとるチップ、デジタル・シグナル・プロセッサ(digital signal processor、DSP)、特定用途向け集積回路(application specific integrated circuit、ASIC)として規定されるチップ、および/またはプログラム命令(たとえば、ソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、半導体ウエハ上での、もしくは半導体ウエハのための、またはシステムに対する特定の処理を行うための動作パラメータを規定するさまざまな個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であってよい。動作パラメータは、いくつかの実施形態では、1つもしくは複数の層、材料、金属、酸化物、シリコン、酸化ケイ素、表面、回路、および/またはウエハのダイを製作する間、1つまたは複数の処理ステップを達成するために処理技術者により規定されたレシピの一部であってよい。いくつかの実施形態では、コントローラ530を使用して、ALEの改質動作に関する温度の窓を決定してよい、またはALEの除去動作に関する処理条件の窓を決定してよい、または両方を決定してよい。
コントローラ530は、いくつかの実装形態では、システムと一体化された、システムに連結された、システムに他の方法でネットワーク化された、またはそれらを組合せたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。たとえば、コントローラは、「クラウド」の中にあってよい、または半導体工場のホストコンピュータシステムのすべて、もしくは一部であってよく、これにより、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現在の進展を監視し、過去の製作動作の履歴を調べ、複数の製作動作から傾向または性能指標を調べるためにシステムへの遠隔アクセスを可能にして、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定してよい、または新しい処理を開始してよい。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介してシステムに処理レシピを提供することができる。遠隔コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインタフェースを含んでよく、パラメータおよび/または設定は、次いで、遠隔コンピュータからシステムに伝達される。いくつかの例では、コントローラ530は、1つまたは複数の動作中に遂行すべき処理ステップごとにパラメータを指定する、データの形をとる命令を受け取る。パラメータは、遂行すべき処理のタイプ、およびコントローラがインタフェースをとる、または制御するように構成されたツールのタイプに特有であってよいことを理解されたい。したがって、上記で記述したように、コントローラ530は、本明細書で記述する処理および制御などの共通の目的に向かって一緒にネットワーク化され、作動する1つまたは複数の別個のコントローラを備えることによるなど、分散させられてよい。そのような目的のための分散コントローラのある例は、チャンバ上の処理を制御するために組み合わせる、(プラットフォームレベルで、または遠隔コンピュータの一部としてなど)遠隔に位置する1つまたは複数の集積回路と通信状態にある、チャンバ上の1つまたは複数の集積回路である。
限定することなく、例示のシステムは、プラズマ・エッチング・チャンバまたはモジュール、堆積チャンバまたはモジュール、スピン・リンス・チャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベル縁部エッチングチャンバまたはモジュール、物理蒸着法(physical vapor deposition、PVD)チャンバまたはモジュール、化学蒸着(chemical vapor deposition、CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、ALEチャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連づけられてよい、またはそれで使用されてよい、任意の他の半導体処理システムを含んでよい。
上記で指摘したように、ツールにより遂行すべき1つまたは複数の処理ステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、近接したツール、隣接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツールの場所および/またはロードポートとの間でウエハの容器を運ぶ材料搬送で使用するツールのうち1つまたは複数と通信してよい。
図6は、真空移送モジュール(vacuum transfer module、VTM)638とインタフェースをとるさまざまなモジュールを伴う半導体処理クラスタアーキテクチャを描く。複数の貯蔵施設および処理モジュールの間でウエハを「移送する」ための移送モジュールの配列は、「クラスタ・ツール・アーキテクチャ」と呼ばれることがある。ロードロックまたは移送モジュールとしても公知のエアロック630は、さまざまな製作処理を遂行するように個々に最適化されてよい4つの処理モジュール620a~620dを伴ってVTM638内に示されている。例として、処理モジュール620a~620dは、基板エッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/または他の半導体処理を遂行するように実装されてよい。基板エッチング処理モジュールの1つまたは複数(620a~620dのいずれか)は、本明細書で開示するように、すなわち、改質ガスを導入するために、除去ガスを導入するために、および開示する実施形態に従って他の適切な機能のために実装されてよい。エアロック630および処理モジュール620は、「ステーション」と呼ばれることがある。各ステーションは、ステーションをVTM638にインタフェースで接続するファセット(facet)636を有する。各ファセットの内側では、センサ1~18を使用して、対応するステーション間でウエハ626が移動するとき、ウエハ626の通過を検出する。
ロボット622は、ステーション間でウエハ626を移送する。一実施形態では、ロボット622は、1つのアームを有し、別の実施形態では、ロボット622は、2つのアームを有し、その場合、各アームは、搬送するためにウエハ626などのウエハを選び出すためのエンドエフェクタ624を有する。大気移送モジュール(atomospheric transfer module、ATM)640では、フロント・エンド・ロボット632を使用して、カセットから、またはロード・ポート・モジュール(Load Port Module、LPM)642内のFOUP(Front Opening Unified Pod、前面開口式一体型ポッド)634から、エアロック630へウエハ626を移送する。処理モジュール620内部のモジュールセンタ628は、ウエハ626を置くための1つの場所である。ATM640内の露光装置644を使用して、ウエハを整列させる。
代表的処理法では、ウエハをLPM642内にあるFOUP634の1つの中に置く。フロント・エンド・ロボット632は、FOUP634から露光装置644へウエハを移送し、それにより、ウエハ626をエッチングする、または処理する前に、適切に中心に位置決めできるようになる。ウエハ626を整列させた後、フロント・エンド・ロボット632によりエアロック630の中にウエハ626を動かす。エアロックモジュールは、ATMとVTMの間で環境を調和させる能力があるので、ウエハ626は、損傷を受けることなく2つの圧力環境の間を移動することができる。エアロックモジュール630からロボット622によりVTM638を通して処理モジュール620a~620dの1つの中にウエハ626を動かす。このウエハ移動を達成するために、ロボット622は、そのアームの各々でエンドエフェクタ624を使用する。ウエハ626が処理されると、ロボット622は、処理モジュール620a~320dからエアロックモジュール630にウエハ626を移動させる。ここから、フロント・エンド・ロボット632は、FOUP634の1つまたは露光装置644にウエハ626を移動させる。
ウエハ移動を制御するコンピュータは、クラスタアーキテクチャにローカルに存在することができる、または製造現場内のクラスタアーキテクチャの外部に位置することができる、または遠隔の場所にあり、かつネットワークを介してクラスタアーキテクチャに接続されることができることに留意されたい。図5に関して上記で記述したようなコントローラを、図6内のツールを用いて実装してよい。
実験的なもの
実験1
40サイクルのパルス化原子層エッチングに基板を暴露することにより、基板上でSOI(silicon on insulator)材料に関する実験を行い、各サイクルは、100Hzの周波数でパルス化された、40mトールで改質するための200sccmの塩素照射量と、アルゴンを使用するパージと、100Hzの周波数でパルス化されたバイアス(オン/オフ)を使用する300Wのプラズマを伴う400sccmのヘリウム流と、アルゴンを使用するパージとを含む。試行ごとにサイクルあたりのエッチングを決定し、各試行は、50Vの増分で100V~500Vの範囲に及ぶ異なるバイアス電力をバイアスのオン状態に使用した。図7には、オングストローム単位のサイクルあたりのエッチングに対してバイアス電力をプロットし、図7は、飽和したエッチング速度を示し、自己制御支配期間は、連続ALEでの自己制御バイアス電力よりも実質的に高い300V~500Vの間の高バイアス電力にある。図7に描くY軸の目盛りは、線形である。
実験2
基板上のアモルファスシリコンおよび酸化ケイ素の材料に対して、サイクルあたりのエッチングを決定するために実験を行った。アモルファスシリコンも酸化ケイ素も、除去ガスとしてヘリウムを使用して70サイクルのパルス化原子層エッチングに暴露し、各サイクルは、バイアスなしに100Hzの周波数でパルス化された、20mトールで改質するための180sccmのヘリウムを伴う180sccmの塩素と、ヘリウムを使用するパージと、100Hzの周波数でパルス化されたバイアス(オン/オフ)を使用する0Wのプラズマ電力を伴う400sccmのヘリウム流と、ヘリウムを使用するパージとを含む。100Vの増分で100V~500Vの範囲に及ぶ、バイアスのオン状態のさまざまなバイアス電力で、サイクルあたりのエッチングを決定した。図8Aには、オングストローム単位のサイクルあたりのエッチングに対してバイアス電力をプロットし、図8Aは、酸化ケイ素(円形)よりもアモルファスシリコン(三角形)のサイクルあたりのエッチングが高いことを示す。図8Aに描くY軸の目盛りは、線形である。酸化ケイ素に対するアモルファスシリコンのエッチング選択性を計算し、図8Bに描き、図8Bは、より低いバイアス電力でより高い選択性を、より高いバイアス電力でより低い選択性を示す。これらの結果は、より広い範囲のバイアス電力を調整して、パルス化ALEを使用してエッチング選択性を達成することができることを示唆している。
実験3
基板上のアモルファスシリコンおよび酸化ケイ素の材料に対して、サイクルあたりのエッチングを決定するために実験を行った。アモルファスシリコンも酸化ケイ素も、除去ガスとしてアルゴンを使用して、200サイクルのパルス化原子層エッチングに暴露し、各サイクルは、バイアスなしに200Wのプラズマ電力を用いて100Hzの周波数でパルス化された、20mトールで改質するための180sccmのヘリウムを伴う180sccmの塩素と、アルゴンを使用するパージと、100Hzの周波数でパルス化されたバイアス(オン/オフ)を使用する0Wのプラズマ電力を伴う400sccmのアルゴン流と、アルゴンを使用するパージとを含む。25Vまたは50Vの増分で200V~400Vの範囲に及ぶ、バイアスのオン状態のさまざまなバイアス電力でサイクルあたりのエッチングを決定した。図9Aには、オングストローム単位のサイクルあたりのエッチングに対してバイアス電力をプロットし、図9Aは、酸化ケイ素(円形)よりもアモルファスシリコン(三角形)のサイクルあたりのエッチングが高いことを示す。図9Aに描くY軸の目盛りは、線形である。酸化ケイ素に対するアモルファスシリコンのエッチング選択性を計算し、図9Bに描き、図9Bは、より低いバイアス電力でより高い選択性を、より高いバイアス電力でより低い選択性を示す。これらの結果は、より広い範囲のバイアス電力を調整して、パルス化ALEを使用してエッチング選択性を達成することができることを示唆している。
実験4
パルス化ALEを使用して、パターン形成された基板上で実験を行い、この場合、使用した除去ガスは、ヘリウムであった。基板のパターンは、iso構造と高密度な構造の両方を含み、iso構造は、80nmの特徴幅を有し、高密度な構造は、特徴の開口部に近い約5nmの特徴幅を有し、特徴の深さは、60nm~80nmのオーダーである。120℃の基板温度で複数サイクルのパルス化ALEに基板を暴露し、各サイクルは、プラズマを用いる塩素照射量と、ヘリウムを用いるパージと、プラズマ、および0V~65Vの間のバイアス電力での暴露あたり、3秒間に25%のデューティサイクルでパルス化されたバイアスを伴うヘリウム除去ガス暴露と、ヘリウムを用いるパージとを含む。ある範囲の臨界寸法を有するさまざまなトレンチについて、ピッチ・ローディング・パーセントを計算し、その結果を図10Aに描いた。図示するように、トレンチサイズが増大するにつれ、ピッチローディングは低減する。
120℃の基板温度で複数サイクルのパルス化ALEに類似構造のパターンを暴露し、各サイクルは、プラズマを用いる塩素照射量と、ヘリウムを用いるパージと、プラズマ、および0V~150Vの間のバイアス電力での暴露あたり、3秒間に10%のデューティサイクルでパルス化されたバイアスを伴うヘリウム除去ガス暴露と、ヘリウムを用いるパージとを含む。ある範囲の臨界寸法を有するさまざまなトレンチについて、ピッチ・ローディング・パーセントを計算し、その結果を図10Bに描いた。図示するように、トレンチサイズが増大するにつれ、ピッチローディングは低減する。
基板の画像を生成し、10nm未満の特徴開口部を有するトレンチでは、10%のデューティサイクルを使用して0V~150Vの間でパルス化された、より高いヘリウムバイアスは、25%のデューティサイクルを使用して0V~65Vの間でパルス化された低いヘリウムバイアスに暴露した基板よりも、基板全体にわたる特徴ごとに、類似するエッチング深さを達成したことを画像は示していた。
実験4
図11は、3つの異なる曲線について、除去動作中のサイクルあたりのエッチング対バイアスの比較を示す。曲線1002は、2秒の暴露時間を使用して除去動作中に3%のデューティサイクルパルス化でALEを遂行したときの、サイクルあたりのエッチングのある例を示す。曲線1101は、2秒の暴露時間を使用して除去動作中に10%のデューティサイクルパルス化でALEを遂行したときの、サイクルあたりのエッチングのある例を示す。これらの曲線を、除去中に7秒の暴露時間を用いてパルス化なし(たとえば、100%のデューティサイクル)でALEを遂行した曲線1103と比較する。図示するように、パルス化した実施形態は飽和し(10%のデューティサイクルは、約900eVで飽和し、3%のデューティサイクルは、約1500eVで飽和する)、一方では、パルス化しない実施形態で提供されるデータは、特定の飽和バイアス電圧を有しない。
実験4
図12Aは、除去中に、連続する暴露で、サイクルあたりのエッチング対バイアス電圧について収集した実験データのある例を示す。矢印1201は、サイクルあたりのエッチングが飽和する電圧からなるALE窓の、ある例を示す。
図12Bは、シリコンの連続ALEでの、サイクルあたりのエッチングに対する時間の、ある例を示す。比較すると、文献は、アルゴン暴露の継続時間の関数としての、エッチング反応器内のイオンビームのエッチング量が、アルゴン暴露の100秒~300秒の間でエッチング量の増大を、続いて約650秒までの比較的水平な傾きのエッチング量を、およびいくつかの事例では700秒を超えるアルゴン暴露の間にわずかな増大を伴うことを示す。
実験5
図13は、イオンエネルギーの完全除去がアルゴンイオンの「オン」時間に依存することを示す。
図14Aは、改質ガスとして塩素を、除去ガスとしてアルゴンを使用する、シリコン基板上のさまざまなオン時間に対するALE窓を示し、フラックス速度はF=F0(1+a×Vbias^1.5)であり、式中、F0は、実験データから決定される。たとえば、曲線1401は、各パルスが0.06秒であるサイクルあたりの正規化エッチングを表し、曲線1402は、各パルスが0.2秒であるサイクルあたりの正規化エッチングを表し、曲線1403は、各パルスが0.5秒であるサイクルあたりの正規化エッチングを表し、曲線1405は、各パルスが1秒であるサイクルあたりの正規化エッチングを表し、曲線1407は、各パルスが2秒であるサイクルあたりの正規化エッチングを表し、曲線1408は、各パルスが3秒であるサイクルあたりの正規化エッチングを表し、曲線1409は、各パルスが7秒であるサイクルあたりの正規化エッチングを表す。線1410は、1層がエッチングされる量を示す。
図14Bは、一定のアルゴンのオン時間を使用して、ALEの相乗効果を使用して完全除去を可能にすることができるパルス化ALEの、サイクルあたりのエッチングを示すデータへの例示的適合シミュレーションを示す。これらの結果は、除去中の「オン」時間の継続時間がはるかに高くても、パルス化ALEは、実質的なスパッタリングなしに、サイクルあたりのより高いエッチング速度を使用して完全除去を可能にすることができることを示唆している。
結論
前述の実施形態について、理解を明確にするためにいくらか詳細に記述してきたが、添付の特許請求の範囲内で一定の変更および修正を実施してよいことは明らかであろう。本実施形態の処理、ステム、および装置を実装する代替方法が多くあることに留意されたい。したがって、本実施形態は、例示的であり、制限するものではないと考えるべきであり、実施形態は、本明細書で示す詳細に限定されるべきではない。

Claims (15)

  1. 基板を処理する方法であって、
    エッチングすべき材料を備える基板を提供するステップと、
    エッチングすべき前記材料の表面を改質ガスに暴露して、前記表面を改質し、改質された表面を形成するステップと、
    前記基板の前記改質された表面を除去ガスに暴露するステップと、
    前記改質された表面を前記除去ガスに暴露している間、前記改質された表面を活性化源から発生したエネルギー粒子に暴露して、下にある改質されていない表面に対して、前記改質された表面を優先的に除去するステップであって、前記エネルギー粒子は、前記下にある改質されていない表面の平均表面結合エネルギーに打ち勝つのに十分なイオンエネルギーを有するステップと、を備え、
    前記改質された表面を前記除去ガスに暴露している間、前記活性化源のパルス周波数は約10Hz~約200Hzの間の周波数に設定される、
    方法。
  2. 基板を処理する方法であって、
    エッチングすべき材料を備える基板を提供するステップと、
    エッチングすべき前記材料の表面を改質ガスに暴露して、前記表面を改質し、改質された表面を形成するステップと、
    前記基板の前記改質された表面を除去ガスに暴露するステップと、
    前記改質された表面を前記除去ガスに暴露している間、前記改質された活性化源から発生したエネルギー粒子に暴露して、下にある改質されていない表面に対して、前記改質された表面を優先的に除去するステップであって、前記エネルギー粒子は、前記下にある改質されていない表面の平均表面結合エネルギーに打ち勝つのに十分なイオンエネルギーを有するステップと、を備え、
    前記活性化源は、プラズマであり、
    前記改質された表面を前記除去ガスに暴露している間、前記活性化源は、低プラズマ電力と高プラズマ電力の間でパルス化し、前記低プラズマ電力は、0Wよりも大きく、前記高プラズマ電力は、前記低プラズマ電力よりも高い、方法。
  3. 基板を処理する方法であって、
    エッチングすべき材料を備える基板を提供するステップと、
    エッチングすべき前記材料の表面を改質ガスに暴露して、前記表面を改質し、改質された表面を形成するステップと、
    前記基板の前記改質された表面を除去ガスに暴露するステップと、
    前記改質された表面を前記除去ガスに暴露している間、前記改質された活性化源から発生したエネルギー粒子に暴露して、下にある改質されていない表面に対して、前記改質された表面を優先的に除去するステップであって、前記エネルギー粒子は、前記下にある改質されていない表面の平均表面結合エネルギーに打ち勝つのに十分なイオンエネルギーを有するステップと、
    前記基板を支持するための基板支持物にパルスの形でバイアスを印加するステップと、を備え、
    前記バイアスを印加するステップは、前記活性化源と同じパルス化デューティサイクルで前記バイアスをパルス化することを含む、方法、
  4. 請求項1から請求項3までのいずれか一項に記載の方法であって、前記エネルギー粒子の前記イオンエネルギーは、前記下にある改質されていない表面の結合を切断するのに十分である方法。
  5. 請求項1から請求項3までのいずれか一項に記載の方法であって、100%未満、または、約1%~約20%の間のデューティサイクルを有する時間的に分離した照射量で前記エネルギー粒子を配送する方法。
  6. 請求項1から請求項3までのいずれか一項に記載の方法であって、前記エネルギー粒子は、ある量の前記改質された表面を除去し、除去される、前記改質された表面の前記量は、次式により与えられ、
    Figure 0007293211000007
    式中、Yは、前記エネルギー粒子のイオン収量であり、Fは、前記エネルギー粒子のフラックスであり、tは、前記エネルギー粒子への暴露の継続時間であり、dは、エッチングすべき前記材料の表面密度である方法。
  7. 請求項1から請求項3までのいずれか一項に記載の方法であって、前記エネルギー粒子は、前記下にある改質されていない表面の材料をスパッタすることはない方法。
  8. 請求項に記載の方法であって、前記改質された表面を自己制御的手法で除去するのに十分な継続時間の間、前記改質された表面を前記エネルギー粒子に暴露する方法。
  9. 基板を処理するための装置であって、
    シャワーヘッド、および材料を有する前記基板を保持するための基板支持物を備える処理チャンバと、
    プラズマ発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと
    を備え、
    前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
    前記少なくとも1つのプロセッサは、流量制御ハードウェアと動作可能に接続され、
    前記メモリは、
    前記処理チャンバに改質ガスを導入させ、
    前記処理チャンバに除去ガスを導入させ、
    前記除去ガスの前記導入中に活性化源をパルス化させる
    ための機械可読命令を記憶し、
    前記メモリは、前記除去ガスの前記導入中に前記活性化源のパルス周波数を約10Hz~約200Hzの間にさせるための機械可読命令をさらに記憶する、装置。
  10. 基板を処理するための装置であって、
    シャワーヘッド、および材料を有する前記基板を保持するための基板支持物を備える処理チャンバと、
    プラズマ発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと
    を備え、
    前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
    前記少なくとも1つのプロセッサは、流量制御ハードウェアと動作可能に接続され、
    前記メモリは、
    前記処理チャンバに改質ガスを導入させ、
    前記処理チャンバに除去ガスを導入させ、
    前記除去ガスの前記導入中に活性化源をパルス化させる
    ための機械可読命令を記憶し、
    前記活性化源は、前記処理チャンバ内において発生したプラズマであり、
    前記メモリは、
    前記活性化源を低プラズマ電力と高プラズマ電力の間でパルス化するための機械可読命令であって、前記低プラズマ電力は0Wよりも大きく、前記高プラズマ電力は前記低プラズマ電力より高い、機械可読命令をさらに記憶する、装置。
  11. 基板を処理するための装置であって、
    シャワーヘッド、および材料を有する前記基板を保持するための基板支持物を備える処理チャンバと、
    プラズマ発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと
    を備え、
    前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
    前記少なくとも1つのプロセッサは、流量制御ハードウェアと動作可能に接続され、
    前記メモリは、
    前記処理チャンバに改質ガスを導入させ、
    前記処理チャンバに除去ガスを導入させ、
    前記除去ガスの前記導入中に活性化源をパルス化させ、
    パルスの形で前記基板支持物にバイアスを印加させ、
    前記活性化源と同じパルス化デューティサイクルで前記バイアスをパルス化させるための機械可読命令を記憶する装置。
  12. 請求項9から請求項11までのいずれか一項に記載の装置であって、前記メモリは、前記除去ガスの前記導入中に前記活性化源のデューティサイクルを100%未満、または、約1%~約20%の間にさせるための機械可読命令をさらに記憶する装置。
  13. 請求項9から請求項11までのいずれか一項に記載の装置であって、前記活性化源は、プラズマ電力を使用して前記処理チャンバ内で発生させたプラズマであり、前記メモリは、前記プラズマ電力が0Wのオフ状態と前記プラズマ電力が約50W~約900Wの間のオン状態との間で前記活性化源をパルス化させるための機械可読命令をさらに記憶する装置。
  14. 請求項11に記載の装置であって、前記メモリは、0Vと約20V~約2000Vの間のバイアス電圧との間で前記バイアスをパルス化させるための機械可読命令をさらに記憶する装置。
  15. 請求項11に記載の装置であって、前記メモリは、前記活性化源と同じパルス化周波数で前記バイアスをパルス化させるための機械可読命令をさらに記憶する装置。
JP2020519333A 2017-10-06 2018-10-02 高エネルギー原子層エッチング Active JP7293211B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023093589A JP2023113837A (ja) 2017-10-06 2023-06-07 高エネルギー原子層エッチング

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762569443P 2017-10-06 2017-10-06
US62/569,443 2017-10-06
US201762599613P 2017-12-15 2017-12-15
US62/599,613 2017-12-15
US16/148,939 2018-10-01
US16/148,939 US10763083B2 (en) 2017-10-06 2018-10-01 High energy atomic layer etching
PCT/US2018/054001 WO2019070737A1 (en) 2017-10-06 2018-10-02 HIGH-ENERGY ATOMIC LAYER ETCHING

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023093589A Division JP2023113837A (ja) 2017-10-06 2023-06-07 高エネルギー原子層エッチング

Publications (3)

Publication Number Publication Date
JP2020536393A JP2020536393A (ja) 2020-12-10
JP2020536393A5 JP2020536393A5 (ja) 2022-01-11
JP7293211B2 true JP7293211B2 (ja) 2023-06-19

Family

ID=65994055

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020519333A Active JP7293211B2 (ja) 2017-10-06 2018-10-02 高エネルギー原子層エッチング
JP2023093589A Pending JP2023113837A (ja) 2017-10-06 2023-06-07 高エネルギー原子層エッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023093589A Pending JP2023113837A (ja) 2017-10-06 2023-06-07 高エネルギー原子層エッチング

Country Status (7)

Country Link
US (2) US10763083B2 (ja)
EP (1) EP3692567A4 (ja)
JP (2) JP7293211B2 (ja)
KR (1) KR20200053623A (ja)
CN (1) CN111448641A (ja)
TW (1) TWI808998B (ja)
WO (1) WO2019070737A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20240029787A (ko) 2018-03-30 2024-03-06 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
JP7345382B2 (ja) 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11518674B2 (en) * 2019-02-04 2022-12-06 Ut-Battelle, Llc Atomic-scale e-beam sculptor
US11270893B2 (en) * 2019-04-08 2022-03-08 International Business Machines Corporation Layer-by-layer etching of poly-granular metal-based materials for semiconductor structures
CN113906552A (zh) * 2019-04-29 2022-01-07 朗姆研究公司 用于减法式金属蚀刻的原子层蚀刻
US11817295B2 (en) * 2019-08-14 2023-11-14 Tokyo Electron Limited Three-phase pulsing systems and methods for plasma processing
CN113808931A (zh) * 2020-06-11 2021-12-17 中国科学院微电子研究所 圆弧形鳍顶形成方法及鳍式场效应晶体管
KR20220022502A (ko) * 2020-08-18 2022-02-28 주식회사 원익아이피에스 원자층 식각 방법 및 장치
JP2023540291A (ja) 2020-09-03 2023-09-22 アプライド マテリアルズ インコーポレイテッド 選択的異方性金属エッチング
FR3113769B1 (fr) * 2020-09-03 2023-03-24 Commissariat Energie Atomique Procede de gravure d’une couche de materiau iii-n
GB202020822D0 (en) * 2020-12-31 2021-02-17 Spts Technologies Ltd Method and apparatus
KR20230136016A (ko) * 2021-02-03 2023-09-26 램 리써치 코포레이션 원자 층 에칭의 에칭 선택도 제어
TW202401492A (zh) * 2022-03-18 2024-01-01 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置
WO2023183129A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation Fast atomic layer etch
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
WO2023188013A1 (ja) * 2022-03-29 2023-10-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置
WO2024049699A1 (en) * 2022-08-31 2024-03-07 Lam Research Corporation Nitride thermal atomic layer etch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012529777A (ja) 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
JP2017063186A (ja) 2015-08-19 2017-03-30 ラム リサーチ コーポレーションLam Research Corporation タングステンおよび他の金属の原子層エッチング

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3798056A (en) 1972-04-05 1974-03-19 Bell Telephone Labor Inc Electroless plating process
JPH03133128A (ja) 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
US7690324B1 (en) 2002-06-28 2010-04-06 Novellus Systems, Inc. Small-volume electroless plating cell
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TWI303090B (en) 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7829152B2 (en) 2006-10-05 2010-11-09 Lam Research Corporation Electroless plating method and apparatus
KR100905278B1 (ko) 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US9059116B2 (en) * 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US9048088B2 (en) 2008-03-28 2015-06-02 Lam Research Corporation Processes and solutions for substrate cleaning and electroless deposition
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130129922A1 (en) 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
JP2013235912A (ja) 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20140349469A1 (en) 2013-05-22 2014-11-27 Qualcomm Mems Technologies, Inc. Processing for electromechanical systems and equipment for same
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9318304B2 (en) * 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9620382B2 (en) * 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US20150345029A1 (en) 2014-05-28 2015-12-03 Applied Materials, Inc. Metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
KR101677748B1 (ko) * 2014-10-29 2016-11-29 삼성전자 주식회사 펄스 플라즈마 장치 및 펄스 플라즈마 장치 구동 방법
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN107431011B (zh) 2015-03-30 2021-08-24 东京毅力科创株式会社 用于原子层蚀刻的方法
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US20160381060A1 (en) 2015-06-23 2016-12-29 Veracode, Inc. Systems and methods for aggregating asset vulnerabilities
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017099718A1 (en) 2015-12-08 2017-06-15 Intel Corporation Atomic layer etching of transition metals by halogen surface oxidation
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012529777A (ja) 2009-12-15 2012-11-22 ユニバーシティ オブ ヒューストン システム パルスプラズマを用いた原子層エッチング
JP2017063186A (ja) 2015-08-19 2017-03-30 ラム リサーチ コーポレーションLam Research Corporation タングステンおよび他の金属の原子層エッチング

Also Published As

Publication number Publication date
TWI808998B (zh) 2023-07-21
US20190108982A1 (en) 2019-04-11
JP2020536393A (ja) 2020-12-10
WO2019070737A1 (en) 2019-04-11
EP3692567A4 (en) 2021-07-14
US20200402770A1 (en) 2020-12-24
KR20200053623A (ko) 2020-05-18
US10763083B2 (en) 2020-09-01
CN111448641A (zh) 2020-07-24
JP2023113837A (ja) 2023-08-16
TW201923893A (zh) 2019-06-16
EP3692567A1 (en) 2020-08-12

Similar Documents

Publication Publication Date Title
JP7293211B2 (ja) 高エネルギー原子層エッチング
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102652921B1 (ko) GaN 및 다른 III-V 족 재료들의 원자층 에칭
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9620376B2 (en) Self limiting lateral atomic layer etch
JP2022180585A (ja) デザイナー原子層エッチング
KR20200067213A (ko) Ale 및 선택적 증착을 사용한 금속 옥사이드 기판들 에칭
KR20170031041A (ko) 반도체 산업계 안팎에서 ale 평활도
KR20170093718A (ko) 연속적인 플라즈마의 원자층 에칭
US11637022B2 (en) Electron excitation atomic layer etch
TW201742143A (zh) 蝕刻方法
TWI832325B (zh) 設計者原子層蝕刻

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211001

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230607

R150 Certificate of patent or registration of utility model

Ref document number: 7293211

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150