JP2017063186A - タングステンおよび他の金属の原子層エッチング - Google Patents

タングステンおよび他の金属の原子層エッチング Download PDF

Info

Publication number
JP2017063186A
JP2017063186A JP2016160501A JP2016160501A JP2017063186A JP 2017063186 A JP2017063186 A JP 2017063186A JP 2016160501 A JP2016160501 A JP 2016160501A JP 2016160501 A JP2016160501 A JP 2016160501A JP 2017063186 A JP2017063186 A JP 2017063186A
Authority
JP
Japan
Prior art keywords
plasma
metal
etching
substrate
tungsten
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016160501A
Other languages
English (en)
Inventor
ウェンビン・ヤン
Wenbing Yang
サマンサ・タン
Tan Samantha
ケレン・ジェイコブス・カナリク
Jacobs Kanarik Keren
ジェフリー・マークス
Marks Jeffrey
テソン・キム
Taeseung Kim
メイファ・シェン
Shen Meihua
トルステン・リル
Lill Thorsten
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017063186A publication Critical patent/JP2017063186A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/042Doping, graded, for tapered etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/914Doping
    • Y10S438/924To facilitate selective etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

【課題】タングステン(W)およびコバルト(Co)などの金属の原子層エッチング(ALE)の方法を提供する。【解決手段】1サイクルあたり1Å〜10Åほどの微細なエッチングによって、原子レベルまでの微細な精度のエッチング制御を得るために、反応層を形成するための改質工程と、その後に続いて、この改質層のみをエッチングするための除去工程と、からなるいくつかのサイクルを含む工程を行う。その改質は、金属表面の自発エッチングを伴うことなく実施される。【選択図】図5

Description

半導体製造プロセスは、多くの場合、コンタクトまたは配線を形成するために、フィーチャ内へのタングステンなどの金属の堆積を伴う。特に先進のロジックおよびメモリ用途では、デバイスの小型化が進むにつれて、フィーチャは、より小さくなり、充填が難しくなる。金属コンタクト、金属配線、または他の金属構造体の製造は、金属のエッチバックを伴い得る。
本開示の一態様は、基板上の金属をエッチングする方法に関し、その金属は、タングステン(W)およびコバルト(Co)から選択されるものである。方法は、(a)改質されたハロゲン化物含有表面層を形成するために、ハロゲン化物化学物質に金属の表面を暴露することと、(b)改質ハロゲン化物含有表面層をプラズマに暴露しつつ、これにより改質ハロゲン化物含有表面層を除去するために、基板にバイアス電圧を印加することと、を伴う。一部の実施形態では、プラズマは、アルゴンプラズマであり、(b)におけるバイアス電圧は、約50Vb〜80Vbの間である。
一部の実現形態では、(a)の改質工程は、金属の表面をプラズマに暴露することを含む。(a)においてプラズマを用いる場合は、(a)において基板にバイアスを印加しても、印加しなくてもよい。基板温度は、金属の自発エッチングを防ぐように維持してよい。例えば、一部の実施形態では、(a)は、タングステンの自発エッチングを防ぐために150℃未満の温度で、塩素含有プラズマにタングステンを暴露することを伴う。
さらに、半導体基板を処理するための装置を提供し、装置は、シャワーヘッドおよび基板サポートを有する処理チェンバと、プラズマ発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、少なくとも1つのプロセッサとメモリは相互に通信接続されており、少なくとも1つのプロセッサは、フロー制御ハードウェアと少なくとも作用的に接続されており、メモリは機械可読命令を記憶しており、それらの機械可読命令は、(i)タングステン表面を改質するために、ハロゲン化物含有ガスを導入し、(ii)改質されたタングステン表面の少なくとも一部をエッチングするために、活性化ガスを導入するとともに、プラズマを点火する、ためのものである。一部の実現形態では、メモリは、(i)においてプラズマを点火するための機械可読命令をさらに記憶している。一部の実施形態では、ハロゲン化物含有ガスは塩素含有ガスであり、メモリは、(i)において基板サポートの温度を150℃未満に維持するための機械可読命令をさらに記憶している。
本開示のこれらおよび他の態様について、以下でさらに説明する。
図1は、基板上の膜の原子層エッチング(ALE)の例の概略図である。
図2は、開示されるいくつかの実施形態に従って実行されるオペレーションを示すプロセスフローダイアグラムである。
図3は、アルゴンイオンを用いたタングステンの垂直入射スパッタリング収率の計算値のグラフである。
図4は、プラズマ塩素化および活性化されたアルゴンガスによる除去を含むALEプロセスについて、塩素化時間およびアルゴン除去ステップ時間の関数として、ALEのエッチング速度を定性的に示すグラフを示している。
図5は、塩素化バイアスパワーに対するタングステンのエッチング速度について収集された実験データのグラフである。
図6は、アルゴン除去のみを用いた場合と、プラズマ塩素化の後にアルゴン除去を用いた場合の、バイアス電圧の関数としてのタンタルエッチングのエッチング速度を比較したグラフである。
図7は、開示されるいくつかの実施形態を実施するためのプロセス装置の一例の概略ダイアグラムである。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示される実施形態は、それら特定の詳細の一部または全てを省いて実施してもよい。また、開示される実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示される実施形態は、具体的な実施形態に関連して説明されるものの、それは、開示される実施形態を限定するものではないことは理解されるであろう。
タングステン金属は、その比較的低い抵抗率およびエレクトロマイグレーション特性を理由として、半導体産業で使用されている。それは、低抵抗金属配線として、現在使用されているとともに、新たなメモリ用途での使用が試されている。いくつかの用途では、タングステンをエッチングすることが必要となり、原子精度で制御されるエッチングは難題であり得る。例えば、エッチング後のタングステンの均一性は、ウェハ全体で、フィーチャ間で、さらには表面において平滑度に関して、1nm以内であることが要求される場合がある。フィーチャ内のタングステンをエッチングするときには、開口が小さい(例えば、20nm未満)こと、およびフィーチャサイズが異なるとエッチング速度が異なるローディング効果によって、さらなる難題が与えられる。連続プロセスを用いた通常のエッチングでは、タングステンエッチングの先進用途のための十分なエッチング制御は得られない。
別の例では、配線材料として、銅に代えてコバルトが用いられることがある。銅をコバルトで置き換えることによって、例えばコバルトのエッチングなど、それに独自の処理上の課題が発生する。現在のところ、Coは、ウェットプロセスを用いてエッチバックすることができる。ところが、ウェットエッチング速度は、フィーチャサイズが変わると、変化し得る。さらに、ウェットプロセスが原因で、基板の表面がかなり粗くなることがあり、例えば、ドライプロセスでエッチングされた表面よりも粗くなることがある。異方性プラズマエッチングを用いてCoをエッチバックすることは、エッチング生成物がほとんど不揮発性であるか、または不揮発性であることが多いため、非常に難しいことが判明している。不揮発性エッチング生成物によって、結果的に、それらのエッチング生成物が再堆積することになるか、またはその基板の他の露出したコンポーネントに欠陥が生じることになり得る。これらの再堆積欠陥は金属を含み、除去することは、不可能ではないにしても難しい。このため、この金属のプラズマエッチングは、通常、物理スパッタリングによって実現されることが多いが、しかし残念ながら、結果的に、このプロセスを製造に用いることができないほどの低いエッチング選択性が得られる。
本明細書において、タングステン(W)、チタン(Ti)、コバルト(Co)などの金属の原子層エッチング(ALE)、ならびに、窒化タングステン(WN)、酸化タンタル(Ta23)、窒化タンタル(TaN)、酸化チタン(TiO)、窒化チタン(TiN)などの金属窒化物および金属酸化物のALEエッチング、および半金属ゲルマニウム(Ge)のALEエッチングの方法を提供する。本明細書における金属という表現は、元素形態の金属を指す。同様に、ゲルマニウムは、別段の規定がない限り、元素状ゲルマニウムを指す。本明細書における金属酸化物および金属窒化物という表現は、特定の化学量論比に限定されることなく、金属の酸化物化合物および窒化物化合物を指し、酸窒化物のような化合物が含まれる。なお、金属、金属化合物、またはゲルマニウムの層もしくは膜の中には、いくらかの不純物が存在し得るものと理解される。
本明細書で開示する方法では、いくつかの実施形態において、1サイクルあたり1Å〜10Åほどの微細なエッチングによって、原子レベルまでの微細な精度のエッチング制御が得られる。いくつかの実施形態において、関心表面を損傷しないように、方向制御が提供される。
ALEは、逐次自己制御反応を用いて材料の薄層を除去する手法である。一般に、ALEは、任意の適切な技術を用いて実施され得る。原子層エッチング法の例は、2014年11月11日に発行された米国特許第8883028号、および2014年8月19日に発行された米国特許第8808561号に記載されており、これらの文献は、原子層エッチング法の例について記載する目的で、参照により本明細書に組み込まれる。種々の実施形態において、ALEは、プラズマを用いて実施してよく、または熱的に実施してよい。「ALEサイクル」のコンセプトは、本明細書に記載の種々の実施形態の解説に関わりがある。一般に、ALEサイクルは、1原子層をエッチングするなど、エッチングプロセスを1回実施するために用いられる工程の最小セットである。1サイクルの結果は、基板表面上の膜層の少なくとも一部がエッチングされることである。典型的には、ALEサイクルは、反応層を形成するための改質工程と、その後に続いて、この改質層のみを除去またはエッチングするための除去工程を含む。サイクルは、反応物質または副生成物のいずれかのスイープなど、いくつかの補助的工程を含み得る。一般に、1サイクルは、固有のプロセスシーケンスの1つのインスタンスを含む。一例として、ALEサイクルは、以下の工程を含み得る。(i)反応ガスの供給、(ii)チェンバからの反応ガスのパージ、(iii)除去用ガスおよびオプションのプラズマの供給、(iv)チェンバのパージ。種々の実施形態により、エッチングは、コンフォーマルまたは非コンフォーマルに実施され得る。
図1は、ALEサイクルの2つの例の概略図を示している。ダイアグラム171a〜171eは、一般的なALEサイクルを示している。171aで、基板を準備する。171bで、基板の表面を改質する。171cで、基板の改質のために用いた化学物質をパージする。171dで、改質層をエッチングしている。171eで、改質層は除去されている。同様に、ダイアグラム172a〜172eは、タングステン膜をエッチングするためのALEサイクルの一例を示している。172aで、基板上のタングステン層を準備し、その層は、多くのタングステン原子を含んでいる。172bで、反応ガスの塩素を基板に導入し、これによりタングステン層の表面を改質する。172bの概略図は、一例として、いくらかの塩素がタングステンの表面に吸着することを示している。172cで、反応ガスの塩素をチェンバからパージする。172dで、基板の改質表面を除去するために、Ar+プラズマ種および矢印で示すように、除去用アルゴンガスを指向性プラズマによって導入する。活性エッチングでは、吸着種(本例ではCl種)を励起することで、1回に1原子層を基板からエッチング除去するために、スパッタリング閾値よりも低エネルギーで作用する不活性イオン(例えば、Ar+)を用いることを伴う。この工程では、基板に対して、これにイオンを引き付けるためのバイアスを印加する。172eで、チェンバをパージし、副生成物を除去する。
ALEは、表面反応の自己制御性によって、均一にエッチングする。従って、ALEプロセスでは、そのエッチング工程に対する高制御が得られ、各サイクルで除去される材料の量は制限されて、エッチングが速く進みすぎることなく、フィーチャ表面から材料が完全にエッチングされることは回避される。
開示される実施形態は、ハロゲン化物化学物質を用いた表面改質による、金属、金属酸化物、金属窒化物、またはゲルマニウムの表面の改質、およびその改質表面を除去するための活性化ガスへの暴露、を伴う。
金属および金属化合物のALEでは、表面の自発エッチングを伴うことなく、改質層を形成するように、表面と相互作用する改質化学物質など、いくつかの課題が提示されることがある。改質反応物質がタングステン表面を自発エッチングする場合は、上記で図1に関して説明した自己制御挙動は発生しない。ハロゲン化物は、タングステンおよび他の金属の通常の連続エッチングで使用されるものであるため、それらをALEに使用できることは、想定外であった。改質化学物質によって改質層が形成されなければ、エッチングは全く生じないことがある。ALEプロセスでシリコンなどの表面を改質するために塩素化が用いられてきたが、そのような表面の塩素化は、塩素とシリコンとの間の電気陰性度のミスマッチに起因して、塩素がシリコンからの電子を引き付けて、基本的結合を弱めることにより生じると考えられている。このようなメカニズムが、タングステンのような金属表面で作用し得るかは、明らかではなかった。
本明細書で開示する実施形態によってエッチングされ得る材料として、W、Ti、およびCo、窒化タングステン(WNx)、酸化タンタル(TaOx)、窒化タンタル(TaNx)、酸化チタン(TiOx)、窒化チタン(TiNx)、およびGeが含まれる。化合物膜の場合、xは、任意の適切な非ゼロ正数であり得る。
図1の例で171bに示すように、エッチング対象の表面は、改質層を形成するために表面と相互作用する改質化学物質に暴露される。本明細書で開示する方法では、ハロゲン化物の改質化学物質を採用する。ハロゲン化物の改質化学物質として、臭素(Br)含有、塩素(Cl)含有、またはフッ素(F)含有の化合物が含まれ得る。Br含有改質化学物質の例として、二臭素(Br2)および臭化水素(HBr)が含まれる。Cl含有改質化学物質の例として、塩素(Cl2)、三塩化ホウ素(BCl3)、および四塩化ケイ素(SiCl4)が含まれる。フッ素含有改質化学物質の例として、六フッ化硫黄(SF6)、四フッ化炭素(CF4)、および四フッ化ケイ素(SiF4)が含まれる。いくつかの実施形態において、ハロゲン化物の改質化学物質は、2種以上のハロゲン含有化合物を含んでよい。一例として、ALE中の酸化を防止または抑制するために、Cl2/BCl3混合物を用いてよい。
ハロゲン化物の改質化学物質は、表面の自発エッチングを伴うことなく、表面と相互作用する。一般に、三フッ化窒素(NF3)は、表面を改質するよりも、自発エッチングするので、本明細書で開示する表面のALEで採用するには強すぎる。タングステンのALEで、SF6の使用に成功したことは、同じくタングステンを自発エッチングすると予想されていたので、想定外であった。特定の理論にとらわれることなく、SF6およびCF4は、表面を、その上に吸着ポリマ層を形成することによって改質し得ることで、ALEで得られる自己制御の相乗効果によるエッチングを可能にすると考えられる。
別の例では、いくつかの実施形態において、表面上にボロン含有薄層を堆積させるために、ボロン含有化合物を用いてよい。例えば、参照により本明細書に組み込まれる、2015年6月24日に出願された米国特許出願第14/794285号では、Co上にBClx薄層を形成することによるCoエッチングについて開示している。堆積されたまま(アズデポ)の基板表面から、活性化された活性化ガスと、プラズマと、活性化されたハロゲン化物によって、原子層エッチングが実施され得る。この手法で用いるBCl3を、三臭化ホウ素(BBr3)および三ヨウ化ホウ素(BI3)のような同等の堆積機能および活性化機能を提供することが可能な他の化学物質で置き換えることができる。
種々の実施形態により、改質工程は、プラズマへの暴露を含み得る。プラズマへの暴露によって、表面が改質される速度が高まることにより、スループットが向上し得る。例えば、表面改質を誘起するための高反応性ラジカルおよび/または他のエネルギー種を発生させることによる改質の高速化のために、プラズマを利用することができる。
改質される表面および改質化学物質に応じて、プラズマの不在下では改質を受けないような表面を改質するために、プラズマが利用され得る。例えば、塩素は、シリコン表面のシリコン原子およびゲルマニウム表面のゲルマニウム原子との結合を自発的に形成することが可能であることから、この場合、プラズマはオプションであるが、一方、タングステン表面は、一般に、Cl2または他のCl源からCl原子を生成するための十分なエネルギーがない限り、塩素化を受けない。プラズマ塩素化によって、Cl原子が生成される。また、熱エネルギーも、通常のサーマルバジェットよりも高い温度ではあるが、Cl2を分解するのに十分であり得る。
改質工程においてプラズマが採用される場合は、バイアスを用いても用いなくてもよい。多くの場合、イオン衝撃およびスパッタリングを回避するために、バイアスは用いられない。しかしながら、改質種に指向性を与えるために、わずかなバイアスが有用な場合がある。例えば、参照により本明細書に組み込まれる、同時出願された米国特許出願第 号(代理人整理番号3685/LAMRP203)に記載されているように、タングステンで部分充填されたリセス型フィーチャ内のタングステンのALEでは、低バイアスパワーが用いられることがある。低バイアスによって、改質種が金属表面に吸着されることを可能としつつ、スパッタリングを回避する。バイアスによって、例えば、フィーチャ内のシームが形成された開口に改質種が進入するように促すことが可能である。改質工程におけるバイアスの例は、0Vb〜100Vb、0Vb〜50Vb、または0Vb〜20Vbの範囲であり得る。
「バイアスパワー」および「バイアス電圧」という用語は、本明細書では、ペデスタルに印加されるバイアスを指して用いられる。閾値バイアスパワーまたは閾値バイアス電圧とは、ペデスタル上の基板表面上の材料がスパッタリングされることなくペデスタルに印加されるバイアスの最大電圧を意味する。従って、閾値バイアスパワーは、被エッチング材料、プラズマを発生させるために使用されるガス、プラズマを点火するためのプラズマパワー、およびプラズマ周波数に、部分的に依存する。本明細書で記載されるバイアスパワーまたはバイアス電圧は、ボルトで測定されて、単位「V」または「Vb」で表示され、bはバイアスを意味する。
図2は、開示される実施形態による方法のオペレーションを示すプロセスフローダイアグラムを提示している。図2のオペレーション202において、基板をチェンバに供給する。基板は、例えば、200mmウェハ、300mmウェハ、または450mmウェハであるシリコンウェハであってよく、その上に堆積された誘電材料、導電材料、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。パターニングされた基板は、ビアまたはコンタクトホールなどのフィーチャを有するものであってよく、それらは、幅狭および/またはリエントラント型の開口部、フィーチャ内の狭窄部、高アスペクト比、のうちの1つ以上を特徴とし得る。フィーチャは、上記の層のうちの1つ以上に形成され得る。フィーチャの一例は、半導体基板内または基板上の層内の孔もしくはビアである。他の例は、基板内または層内のトレンチである。
基板は、上述のように、金属、金属酸化物、または金属窒化物の膜の露出面を有する。種々の実施形態により、露出面は、垂直面(例えば、フィーチャの側壁)上、水平面(例えば、ブランケット層、フィールド領域、またはフィーチャ底部)上、またはその両方に、存在し得る。一部の実施形態では、例えば、金属で部分充填されたフィーチャから、その金属がエッチングされ得る。一部の実施形態では、例えば、基板は、金属または金属化合物の膜のブランケット層を有する。基板は、さらに、予め基板上に堆積およびパターニングされたパターンマスク層を有し得る。ALEによってエッチングされる材料は、原子層堆積法(ALD)、化学気相成長法(CVD)、スパッタリングおよび他の物理気相成長法(PVD)、または電気メッキもしくは無電解メッキ法など、任意の適切な方法によって、予め堆積されたものであり得る。
オペレーション204において、基板の露出した金属または金属化合物の表面を改質するために、基板をハロゲン化物化学物質に暴露する。ハロゲン化物化学物質は、ガスまたはプラズマとして供給され得る。いくつかの実施形態において、反応種または活性種は、例えば、原子種、ラジカル、または高エネルギー分子として供給され得る。活性化として、プラズマ活性化、熱活性化、紫外線活性化などが含まれ得る。例えば、いくつかの実施形態において、チェンバに進入する前、またはチェンバ内にあるときのガスを、熱、放射線、または他のエネルギー源に暴露することにより、活性化してよい。一部の実施形態では、原子種またはラジカル種は、例えば、リモートプラズマ発生器から、チェンバに供給してよい。
改質工程によって、未改質の材料よりも容易に除去される厚さを有する反応表面薄層を形成する。種々の実施形態により、ハロゲン化物種は、露出した金属もしくは金属化合物の表面に吸着するか、またはそれと反応し得ることで、それを改質する。ハロゲン化物化学物質の例は、上記されており、Br2、HBr、Cl2、BCl3、SiCl4、SF6、CF4、およびSiF4が含まれる。種々の実施形態により、これらは、ガスとして、単独で、またはキャリアガスもしくは他のガスと共に、チェンバに供給され得る。キャリアガスの例として、窒素(N2)、アルゴン(Ar)、ネオン(Ne)、ヘリウム(He)、およびこれらの組み合わせ、が含まれる。一部の実施形態では、ハロゲン化物種の濃度のバランスを保つために、水素(H2)を添加してよい。
被エッチング材料に基づいて、特定のハロゲン化物化学物質を選択してよく、エッチング対象の表面を改質することが可能な化学物質が選択される。さらに、化学物質は、エッチング速度、除去される材料の量に対する制御、基板上の下層または他の露出材料に対する選択性、を調整するように、さらには酸化を抑えるように、選択され得る。
例えば、フッ素含有化学物質によれば、結果的に、塩素系化学物質よりも、エッチングは高速になり、一部の実施形態では、1サイクルあたり数層の原子層がエッチングされる。これは、相当な量の材料がエッチングされるべき場合は、スループットを向上させるために効果的であり得る。除去の極めて精密な制御が適切であり得る応用では、塩素含有化学物質を用いてよい。また、ハロゲン化物の改質化学物質によって、選択性を制御してもよい。例えば、金属エッチングの場合のCl2またはCl2/BCl3は、SiNまたはSiOのような誘電体に対する選択性が高いので、それらの化学物質によると、質量保存に優れている。一部の実施形態では、本方法は、Cl2とBCl3のようなボロン含有化合物との混合物を用いることを含む。特定の理論にとらわれることなく、ボロンの添加によって、表面の不要な酸化が防止され得ると考えられる。しかしながら、ボロンがあまりにも多いと、堆積することがある。いくつかの実施形態において、Cl2/BCl3混合物は、BCl3が0.5%〜10%(体積)、例えば、BCl3が約5%である。
プラズマ改質を採用する場合は、チェンバ内でガスからプラズマを発生させてよい。これにより、ハロゲン化物含有ガスから、種々の活性種が生成され得る。本明細書におけるハロゲン化物含有ガスまたはハロゲン化物化学物質という表現は、そのようなガスから生成された種を含むものと理解される。一部の実施形態では、プラズマは、オペレーション204におけるチェンバ内の活性種が主にまたは概ねラジカル種であるように、制御され得る。一部の実施形態では、イオン種は、チェンバ内にほとんど存在しない。これにより、エッチングよりも、基板表面の化学的改質が促進され得る。一方、上述のように、一部の実施形態では、改質種を引き付けるために、バイアスが用いられることがある。そのような実施形態では、プラズマは、低エネルギーイオン種が存在するように、制御され得る。
改質工程でバイアスを用いることによって、改質およびその後の除去の深さを制御することが可能となる。これは、高アスペクト比のフィーチャにおけるエッチングの場合に効果的である可能性があり、ALEが、フィーチャ内に進入するのか否か、またはフィーチャのフィールドおよび上縁に概ねとどまるのか否かに関して、制御が得られる。
一部の実施形態では、改質工程の後にパージを実施してよい。パージオペレーションでは、表面に結合していない活性改質種がチェンバから除去され得る。これは、処理チェンバをパージおよび/または排気することによって、吸着層を除去することなく改質用ガスを除去するように実施することが可能である。プラズマで生成された種は、任意選択的にチェンバのパージおよび/または排気と組み合わせて、プラズマを消火し、残りの種の分解を可能とすることにより、除去することができる。パージは、N2、Ar、Ne、He、およびこれらの組み合わせなど、任意の不活性ガスを用いて実施することができる。
オペレーション206において、活性化ガス、スパッタガス、または化学反応性ガスなど、除去用の活性ガスを用いて、改質層を基板から除去する。例えば、アルゴンを用いてよい。除去工程では、基板をエッチングするために、アルゴンまたはヘリウムのようなエネルギー源(例えば、除去を誘起する、活性化ガスまたはスパッタガスまたは化学反応種)に、基板が暴露され得る。一部の実施形態では、除去工程は、イオン衝撃によって実施してよい。
イオン衝撃を用いる実施形態では、ALEは、方向性があり、側壁などの垂直面に比して、水平面を優先的にエッチングする。一方、一部の実施形態では、除去は、等方的であってよい。
除去用ガスの量は、材料の目標量のみをエッチングするように制御され得る。種々の実施形態において、チェンバの圧力は、改質工程と除去工程の間で異なり得る。除去用ガスの圧力は、チェンバのサイズ、除去用ガスの流量、反応器の温度、基板のタイプ、任意のキャリアガスの流量、およびエッチングされるべき材料の量に依存し得る。
除去中には、任意選択的に、方向性のあるイオン衝撃を促すためにバイアスを印加してよい。バイアスパワーは、スパッタリングを回避しながらも、除去用ガスによる材料のエッチングを可能とするように選択される。バイアスパワーは、基板上の金属または金属含有化合物の膜に対する除去用活性ガスの閾値スパッタリング収率に応じて、選択され得る。本明細書で使用される場合のスパッタリングとは、基板表面の少なくとも一部の物理的除去を意味する。イオン衝撃とは、基板表面への種の物理的衝撃を意味する。
図3は、N.Matsunami,Y.Yamamura,Y.Itikawa,N.Itoh,Y.Kazumata,S.Miyagawa,K.Morita,R.Shimizu,H.Tawaraによる「Energy Dependence of the Yields of Ion−Induced Sputtering of Monatomic Solids(単原子固体のイオン誘起スパッタリングの収率のエネルギー依存性)」IPPJ−AM−32(日本,名古屋大学,プラズマ研究所,1983年)に基づいて計算されたスパッタリング収率の例を示している。
同図は、アルゴン原子を用いたタングステンの垂直入射スパッタリング収率の計算値を、アルゴンイオンエネルギー(または閾値バイアスパワー)に対して、示している。計算では、スパッタリング閾値に32eVの値を用いた。この閾値よりわずかに上での、すなわち40eVのアルゴンイオンエネルギーでの、スパッタリング収率は、約0.001原子/イオンであると考えられる。一方、80eVのイオンエネルギーでは、それは30倍に増加している。本例の曲線は、基板上でのアルゴンによるスパッタリングを回避しつつ、金属をエッチングするのに十分な最大アルゴンイオンエネルギーを示している。図3は、スパッタリング閾値曲線の定性的表現を提示しているが、特定のシステムおよび最大許容スパッタリング収率についてのスパッタリング閾値は実験的に決定され得る。あるシステムでは、タングステンのスパッタリングは、アルゴンイオンの場合に80Vbで観測される。この場合、アルゴンイオンを用いたタングステン除去中のバイアスパワーは、約80Vb未満に、または約50Vb未満に、または約50Vb〜80Vbの間に、設定され得る。一部の実施形態では、オペレーション206は、いくらかの少量のスパッタリングが許容されるのであれば、閾値バイアスパワーよりも上で、実施してよい。さらに、特定のプロセスに応じて、それ未満では除去が発生しない除去閾値電圧も存在し得る。なお、スパッタリング閾値は、エッチングされる金属、金属化合物、または他の材料に応じて、異なるということに留意すべきである。
図2に戻って、一部の実施形態では、オペレーション206の後に、チェンバをパージしてよい。パージプロセスは、上述のようなオペレーション204の後のパージに用いられるもののいずれかであり得る。
本明細書で記載されるように、プラズマを用いた原子層エッチングを伴う一部の実施形態では、チェンバ内に材料が導入されるオペレーションにおいて、基板またはウェハを処理する前に、チェンバ内に化学物質を導入することにより、反応器またはチェンバを安定化させてよい。チェンバを安定化させることによって、安定化の後に続くオペレーションにおいて用いられる化学物質で、同じ流量、圧力、温度、および他の条件が使用され得る。いくつかの実施形態において、チェンバの安定化は、様々に異なるパラメータに関わり得る。一部の実施形態では、オペレーション204および206において、N2、Ar、Ne、He、およびこれらの組み合わせなどのキャリアガスを、連続的に流入させる。一部の実施形態では、オペレーション106においてのみ、キャリアガスを用いる。一部の実施形態では、除去中には、キャリアガスを流入させない。
オペレーション204および206を実行することは、一部の実施形態では、ALEを1回実行することに相当し得る。材料が十分にエッチングされていない場合には、オペレーション204および206を繰り返してよい。種々の実施形態において、改質工程および除去工程を、約1〜約30サイクル、または約1〜約20サイクルなどのサイクルで繰り返してよい。膜の所望量をエッチングするために、任意の適切な数のALEサイクルを含んでよい。一部の実施形態では、基板上の層の表面の約1Å〜約50Åをエッチングするために、ALEをサイクルで実行する。一部の実施形態では、ALEのサイクルで、基板上の層の表面の約2Å〜約50Åをエッチングする。
繰り返す場合には、オペレーション204および206における化学物質およびプロセス条件は、一定であっても、サイクルごとに異なってもよい。例えば、一部の実施形態では、異なるハロゲン化物化学物質を用いてよい。上述のように、フッ素含有化学物質は、より高速のエッチングのために有用であり得る一方、塩素含有化学物質は、より高制御が得られることがある。従って、例えば、フッ素含有化学物質を用いた、よりアグレッシブなエッチングから、塩素含有化学物質を用いた、それほどアグレッシブではないエッチングに移行させるために、サイクル間で化学物質を変えることが、効果的な場合がある。一部の実施形態では、エッチングプロセスの終わりに向かって、下地材に対する高い選択性が得られるように、化学物質を変化させてよい。他の例では、一部の実施形態において、エッチングプロセスの終わりに向かって、バイアス電圧を低下させてよい。例えば、エッチングすべき残りが0.5nm、1nm、または他の適切な量のときに、バイアス電圧を低下させてよい。一部の実施形態では、下地材に対する高い選択性が得られる電圧に、バイアス電圧を変化させてよい。
使用される装置、ならびに改質化学物質、除去種、および被エッチング材料に応じて、プロセスパラメータを変化させることができる。種々の実施形態において、プラズマは、誘導結合プラズマまたは容量結合プラズマまたはマイクロ波プラズマであり得る。改質工程でプラズマが用いられる場合、そのパワーおよび圧力は、誘電体エッチングを回避または抑制するように制御され得る。上述のように、シリコン含有誘電体は、フッ素含有化学物質によるエッチングを受けやすい。このため、フッ素吸着または他の改質は、穏和な条件で実施され、質量を保存するためには低パワーレジームでの実施が効果的であり得る。また、不要な誘電体エッチングを抑えるには、より高い圧力も効果的である。
温度は、平滑な表面が得られるように制御され得る。一部の実施形態では、塩素プラズマを用いる場合に、タングステンのALEは、約150℃未満の温度で実施される。約150℃よりも高い温度では、塩素プラズマの存在下で、タングステンは自発エッチングされることがある。これは、塩素含有ステップ中だけではなく、後のArプラズマの際にもエッチングを引き起こす可能性があり、その結果、プロセスは、ALEよりも連続エッチングに近いものになり得る。さらには、塩素エッチングのほうが主となるため、結果的に得られる表面が粗面となることがある。
誘導結合プラズマの場合のパワーは、約30W〜約1500Wの間に設定され得る。上述のように、パワーは、基板のダイレクトプラズマエッチングが生じないように、十分に低いレベルに設定され得る。例として、その範囲は、30W〜500Wの間、または30W〜200Wの間であり得る。パワーは、300mmウェハ用として提示しており、表面積に応じてスケーリングされる。例として、圧力は、10トル〜80トルの間、または30トル〜60トルの間であり得る。除去工程では、より低い圧力、例えば2ミリトル〜90ミリトルを用いてよい。
上記の説明では、金属、金属酸化物、および金属窒化物の膜に焦点を当てているが、本明細書で開示する方法は、ゲルマニウムのALEに用いてもよい。フィーチャから異方的にゲルマニウムをエッチングする実施形態では、フィーチャの側壁がエッチングされないように保護するために、n回の各サイクルで、ゲルマニウム表面を酸化することが効果的であり得る。これは、ゲルマニウムの反応性が高いためである。
タングステンのALE:図4は、タングステンのALEエッチングについて、塩素化時間およびアルゴン除去時間の関数として、ALEエッチング速度を定性的に示すグラフを示している。図4のグラフは、タングステンのエッチングが自発的なものではないこと、および、ALEの実施に成功したことを示して、自己制御挙動を実証している。プラズマ塩素化を採用した。
アルゴンイオン衝撃なしで塩素吸着を用いたエッチングの場合、ならびに、アルゴンイオン衝撃ありで塩素吸着を用いた原子層エッチング(ALE)プロセスの場合について、タングステンのエッチング速度を、塩素化バイアスパワーに対してプロットした。その結果を、図5にプロットしている。点線は、アルゴンイオン衝撃なしで、塩素の吸着および900Wでのプラズマ点火を伴うプロセスについて、塩素化バイアス(例えば、塩素吸着時のバイアスパワー)に対するタングステンのエッチング速度を示している。実線は、塩素の吸着および900Wでのプラズマ点火を伴うとともに、その後に60Vのバイアスパワーでのアルゴンイオン衝撃が続くプロセスについて、塩素化バイアスに対するタングステンのエッチング速度を示している。図5に示すように、塩素化バイアス閾値電圧は、約60Vである。塩素化バイアスが60V未満の場合には、アルゴンイオン衝撃を用いなければ、タングステンはエッチングされないということに留意すべきである。塩素化バイアスが60V超である場合には、アルゴンイオン衝撃なしでのタングステンのエッチング速度は、アルゴンイオン衝撃を用いたプロセスのそれよりも、はるかに低い。これらの結果は、種々の実施形態において、ALE法による金属のエッチング速度を変調するために、アルゴンイオン衝撃を用いてよいことを示唆しており、その場合、1)塩素化中にエッチングを伴うことなく、塩素がタングステン基板上に吸着され、また、2)アルゴンイオン衝撃の際のバイアスパワーは、スパッタリング閾値よりも低いバイアスパワーを設定することによって物理的除去(またはスパッタリング)を抑制または回避するように、制御される。
フィーチャ充填を容易とするためのタングステンのALEのさらなる例は、同時出願された米国特許出願第14/830683号(代理人整理番号LAMRP203/3685−2US)に提示されており、この文献は、あらゆる目的のために参照により本明細書に組み込まれる。
タンタルエッチング:プラズマ塩素化と、その後に続くAr除去(ALEサイクル)を用いて、タンタル金属をエッチングし、Ar除去のみの場合と比較した。結果を、図6にプロットしており、これは相乗効果がないことを示している。具体的には、ALEサイクルの塩素化によって、エッチング速度は向上されない。これは、ALEが失敗したことを示している。
装置
いくつかの実施形態において、原子層エッチング(ALE)オペレーションおよび原子層堆積(ALD)オペレーションに適し得る、誘導結合プラズマ(ICP)反応器について、以下で説明する。また、そのようなICP反応器は、「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING(マルチプルパターニングのためのAHMギャップ充填における像反転)」と題する、2013年12月10日に出願された米国特許出願公開第2014/0170853号にも記載されており、この文献は、その全体があらゆる目的のために参照により本明細書に組み込まれる。本明細書ではICP反応器について記載しているものの、一部の実施形態では、容量結合プラズマ反応器を使用してもよいことは、理解されなければならない。
図7は、本明細書に記載のいくつかの実施形態を実施するのに適した誘導結合プラズマ統合エッチングおよび成膜装置700の断面図を概略的に示しており、その一例は、カリフォルニア州フリーモントのラムリサーチ社(Lam Research Corp.)製のKiyo(登録商標)反応器である。誘導結合プラズマ装置700は、チェンバ壁701と窓711によって構造的に画成された全体的な処理チェンバ724を備える。チェンバ壁701は、ステンレス鋼またはアルミニウムで製造され得る。窓711は、石英または他の誘電材料で製造され得る。オプションの内部プラズマグリッド750によって、全体的な処理チェンバ724を、上部サブチェンバ702と下部サブチェンバ703とに分割している。多くの実施形態では、プラズマグリッド750を取り除いてよく、これにより、サブチェンバ702および703で構成されたチェンバ空間を利用する。下部サブチェンバ703内の内部底面の近くに、チャック717が配置されている。チャック717は、エッチングおよび堆積プロセスが実施される対象の半導体基板またはウェハ719を受けて、保持するように構成されている。チャック717は、ウェハ719がある場合にこれを支持するための静電チャックとすることができる。いくつかの実施形態において、エッジリング(図示せず)がチャック717を取り囲んでおり、それは、チャック717上にウェハ719がある場合にそのウェハ表面と略同一平面にある上面を有する。チャック717は、さらに、ウェハ719のチャッキングおよびデチャッキングのための静電電極を有する。この目的のために、フィルタおよびDCクランプ電源(図示せず)を設けてよい。また、チャック717からウェハ719を持ち上げるための他の制御システムを設けることもできる。チャック717は、RF電源723を用いて帯電させることが可能である。RF電源723は、接続727を介して整合回路721に接続されている。整合回路721は、接続725を介してチャック717に接続されている。このようにして、RF電源723は、チャック717に接続されている。
プラズマを発生させるための要素が、コイル733を含めて、窓711の上方に配置されている。一部の実施形態では、コイルは、開示の実施形態において使用されない。コイル733は、導電性材料で製造されており、少なくとも1ターンの完全なターンを含んでいる。図7に示すコイル733の例は、3ターンを含んでいる。コイル733の断面に記号を付して示しており、「×」を付したコイルは、回転して紙面に入るように延びており、「●」を付したコイルは、回転して紙面から出るように延びている。プラズマを発生させるための要素には、コイル733にRF電力を供給するように構成されたRF電源741がさらに含まれる。一般に、RF電源741は、接続745を介して整合回路739に接続されている。整合回路739は、接続743を介してコイル733に接続されている。このようにして、RF電源741は、コイル733に接続されている。オプションのファラデーシールド749が、コイル733と窓711との間に配置される。ファラデーシールド749は、コイル733に対して離間した関係に維持される。ファラデーシールド749は、窓711の直ぐ上に配置される。コイル733、ファラデーシールド749、および窓711は、それぞれ、相互に略平行となるように構成されている。金属またはその他の種が処理チェンバ724の窓711に付着することが、ファラデーシールド749によって防止され得る。
上部サブチェンバ702に配置された1つ以上のメインガス流入口760を介して、および/または1つ以上のサイドガス流入口770を介して、処理ガス(例えば、キャリアガス、ハロゲン含有ガス、アルゴンなど)を処理チェンバ内に流入させてよい。同様に、明示されていないものの、容量結合プラズマ処理チェンバに処理ガスを供給するために、類似のガス流入口を用いてよい。処理チェンバ724から処理ガスを取り出し、処理チェンバ724内の圧力を維持するために、例えば、単段または2段の機械的乾式ポンプ、および/またはターボ分子ポンプである真空ポンプ740を用いてよい。例えば、ALEのパージオペレーション中に、下部サブチェンバ703からの排気に真空ポンプ740を用いてよい。真空ポンプによって与えられる真空環境の適用を選択的に制御するために、弁制御管を用いて、真空ポンプを処理チェンバ724に流体接続してよい。これは、スロットル弁(図示せず)または振り子弁(図示せず)のような閉ループ流量制御装置を採用することにより、操作的プラズマ処理中に実施され得る。同様に、容量結合プラズマ処理チェンバに対して、真空ポンプおよび弁制御による流体接続を採用してもよい。
装置700の作動中には、ガス流入口760および/または770を介して、1種以上の処理ガスを供給してよい。いくつかの実施形態において、処理ガスは、メインガス流入口760のみを介して、またはサイドガス流入口770のみを介して供給され得る。いくつかの例では、図示のガス流入口は、より複雑なガス流入口である例えば1つ以上のシャワーヘッドで置き換えてよい。ファラデーシールド749および/またはオプションのグリッド750は、処理チェンバ724への処理ガスの送出を可能にする内部チャネルおよび孔を有し得る。ファラデーシールド749およびオプションのグリッド750のいずれかまたは両方は、処理ガスを送出するためのシャワーヘッドとして機能し得る。一部の実施形態では、処理チェンバ724の上流に、液体気化供給システムを配置してよく、これにより、液状の反応物質または前駆体を気化させてから、その気化された反応物質または前駆体を、ガス流入口760および/または770を介して処理チェンバ724内に導入する。
高周波電力がRF電源741からコイル733に供給され、これにより、RF電流がコイル733に流れる。コイル733に流れるRF電流によって、コイル733の周りに電磁場が発生する。この電磁場によって、上部サブチェンバ702内に誘導電流が発生する。発生させた種々のイオンおよびラジカルとウェハ719との物理的および化学的相互作用によって、ウェハ719のフィーチャがエッチングされ、また、ウェハ719上に層が堆積される。
揮発性のエッチング副生成物および/または堆積副生成物は、ポート722を介して下部サブチェンバ703から除去され得る。本明細書で開示されるチャック717は、約10℃〜約250℃の範囲の高温で作動し得る。その温度は、プロセス処理および具体的なレシピに依存する。
装置700は、クリーンルームまたは製造施設に設置されると、様々な設備(図示せず)に接続され得る。それらの設備には、処理ガス、真空、温度制御、環境粒子制御を提供する配管設備が含まれる。装置700がターゲット製造施設に設置されると、このような設備が接続される。さらに、装置700を搬送室に接続してよく、これによって、ロボット技術により、通常の自動操作を用いて、半導体ウェハを装置700に出し入れする搬送が可能となる。
いくつかの実施形態において、システムコントローラ730(1つ以上の物理コントローラまたは論理コントローラを含み得る)により、処理チェンバ724の動作の一部またはすべてを制御する。システムコントローラ730は、1つ以上のメモリデバイスと、1つ以上のプロセッサと、を有し得る。例えば、メモリは、塩素含有改質化学物質のような改質化学物質とアルゴンのような除去用ガスとの間で流れを交互に切り替えるための命令、またはプラズマを点火もしくはバイアスを印加するための命令、を含み得る。例えば、メモリは、いくつかのオペレーション中に約0V〜約200Vの間のパワーでバイアスを設定するための命令を含み得る。いくつかの実施形態において、装置700は、開示の実施形態を実施する際の流量および継続時間を制御するための切替システムを備える。いくつかの実施形態において、装置700は、最大で約500msまでの切替時間、または最大で約750msまでの切替時間、を有し得る。切替時間は、フロー化学物質、選択されるレシピ、反応器アーキテクチャ、および他の因子に依存し得る。
いくつかの実施形態において、開示の実施形態は、MSSD(マルチステーション連続成膜)チェンバアーキテクチャに統合することができ、その場合、より良好な充填およびより高いスループット能力のための、類似の化学物質を用いた成膜/エッチング/成膜の統合プロセスを可能とするために、成膜ステーションのうちの1つをALEステーションで置き換えることができる。
いくつかの実現形態において、システムコントローラ730は、上述の例の一部であり得るシステムの一部である。そのようなシステムは、処理ツールまたはいくつかのツール、チェンバまたはいくつかのチェンバ、処理用プラットフォームまたはいくつかのプラットフォーム、および/または特定の処理コンポーネント(ウェハペデスタル、ガスフローシステムなど)、などの半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、処理後のそれらのオペレーションを制御するための電子装置と統合され得る。それらの電子装置を、システムコントローラ730に統合してよく、これにより、そのシステムまたはそれらのシステムの各種コンポーネントまたはサブパーツを制御してよい。システムコントローラ730は、処理パラメータおよび/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、パワー設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置および動作設定、ツールとの間および他の移送ツールとの間および/または特定のシステムに接続またはインタフェースしているロードロックとの間のウェハ移送を含む、本明細書に開示の任意のプロセスを制御するようにプログラムされ得る。
システムコントローラ730は、広義には、種々の集積回路、ロジック、メモリと、および/または、命令を受け取り、命令を発行し、オペレーションを制御し、クリーニング動作を実現し、終点測定を実現するなどのソフトウェアと、を有する電子装置と定義され得る。集積回路には、プログラム命令を格納したファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラ、が含まれ得る。プログラム命令は、半導体ウェハ上での特定のプロセスまたは半導体ウェハのための特定のプロセスまたはシステムに対する特定のプロセスを実行するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であり得る。動作パラメータは、一部の実施形態では、ウェハの1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの製造もしくは除去において1つ以上の処理工程を実現するために、プロセスエンジニアによって規定されるレシピの一部であり得る。
システムコントローラ730は、いくつかの実現形態において、システムに統合もしくは接続されるか、またはその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、またはそのようなコンピュータに接続されたものであるか、またはそれらの組み合わせであり得る。例えば、コントローラは、「クラウド」にあるか、またはファブホストコンピュータシステムの全体もしくは一部であってよく、それは、ウェハ処理のためのリモートアクセスを可能とするものであり得る。コンピュータによって、製造オペレーションの現在の進行状況を監視し、過去の製造オペレーションの履歴を調査し、複数の製造オペレーションからの傾向またはパフォーマンスメトリックを調査するため、現在の処理のパラメータを変更するため、現在の処理に従って処理工程を設定するため、または、新たなプロセスを開始するための、システムへのリモートアクセスが実現され得る。いくつかの例において、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを介して、システムにプロセスレシピを提供することができる。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能とするユーザインタフェースを有してよく、それらは、その後、リモートコンピュータからシステムに伝達される。一部の例では、システムコントローラ730は、1つ以上のオペレーションにおいて実行される処理工程の各々のパラメータを指定するデータの形で命令を受け取る。なお、それらのパラメータは、実施されるプロセスのタイプ、およびコントローラがインタフェースまたは制御するように構成されているツールのタイプ、に固有のものであり得ることは、理解されなければならない。その場合、上述のように、相互にネットワーク接続されているとともに、本明細書に記載のプロセスおよび制御などの共通の目的に向かって協働する1つ以上の別個のコントローラを備えることなどによって、システムコントローラ730を分散させてよい。このような目的の分散コントローラの一例は、チェンバに搭載する1つ以上の集積回路であり、これらは、(プラットフォームレベルで、またはリモートコンピュータの一部として、など)遠隔配置された1つ以上の集積回路と通信し、共同でチェンバにおけるプロセスを制御する。
例示的なシステムは、限定するものではないが、プラズマエッチングチェンバまたはモジュール、成膜チェンバまたはモジュール、スピンリンスチェンバまたはモジュール、金属メッキチェンバまたはモジュール、クリーンチェンバまたはモジュール、ベベルエッジエッチングチェンバまたはモジュール、物理気相成長(PVD)チェンバまたはモジュール、化学気相成長(CVD)チェンバまたはモジュール、ALDチェンバまたはモジュール、ALEチェンバまたはモジュール、イオン注入チェンバまたはモジュール、トラックチェンバまたはモジュール、ならびに半導体ウェハの製作および/または製造に関連または使用することがある他の任意の半導体処理システム、を含み得る。
結論
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の請求項の範囲内でいくらかの変更および変形を実施してよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。

Claims (16)

  1. 基板上の金属をエッチングする方法であって、前記金属は、タングステン(W)およびコバルト(Co)から選択されるものであり、
    (a)改質されたハロゲン化物含有表面層を形成するために、ハロゲン化物化学物質に前記金属の表面を暴露することと、
    (b)前記改質ハロゲン化物含有表面層をプラズマに暴露しつつ、これにより前記改質ハロゲン化物含有表面層を除去するために、前記基板にバイアス電圧を印加することと、を含む方法。
  2. 請求項1に記載の方法であって、前記プラズマは、アルゴンプラズマであり、(b)における前記バイアス電圧は、約50Vb〜80Vbの間である。
  3. 請求項1に記載の方法であって、(a)は、前記金属の前記表面をプラズマに暴露することを含む。
  4. 請求項3に記載の方法であって、(a)において、前記基板にバイアスが印加される。
  5. 請求項3に記載の方法であって、(a)における前記バイアス電圧は、100Vb以下である。
  6. 請求項3に記載の方法であって、(a)における前記バイアス電圧は、50Vb以下である。
  7. 請求項1に記載の方法であって、前記金属は、タングステン(W)である。
  8. 請求項7に記載の方法であって、(a)は、前記金属の前記表面を塩素含有プラズマに暴露することを含む。
  9. 請求項8に記載の方法であって、(a)における前記基板の温度は、150℃未満である。
  10. 請求項1に記載の方法であって、前記金属は、コバルト(Co)である。
  11. 請求項1に記載の方法であって、(a)は、前記金属の前記表面のエッチングを伴うことなく実施される。
  12. 半導体基板を処理するための装置であって、前記装置は、
    シャワーヘッドおよび基板サポートを有する処理チェンバと、
    プラズマ発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
    前記少なくとも1つのプロセッサと前記メモリは相互に通信接続されており、
    前記少なくとも1つのプロセッサは、フロー制御ハードウェアと少なくとも作用的に接続されており、
    前記メモリは機械可読命令を記憶しており、前記機械可読命令は、
    (i)タングステン表面を改質するために、ハロゲン化物含有ガスを導入し、
    (ii)前記改質されたタングステン表面の少なくとも一部をエッチングするために、活性化ガスを導入するとともに、プラズマを点火する、ためのものである。
  13. 請求項12に記載の装置であって、前記メモリは、(i)においてプラズマを点火するための機械可読命令をさらに記憶している。
  14. 請求項13に記載の装置であって、前記ハロゲン化物含有ガスは塩素含有ガスであり、前記メモリは、(i)において前記基板サポートの温度を150℃未満に維持するための機械可読命令をさらに記憶している。
  15. 請求項12に記載の装置であって、前記基板サポートをバイアスするための直流源をさらに備え、前記メモリは、(ii)において約80Vb未満のバイアス電圧を設定するための機械可読命令をさらに記憶している。
  16. 請求項12に記載の装置であって、前記メモリは、(i)および(ii)をサイクルで繰り返すための機械可読命令をさらに記憶している。
JP2016160501A 2015-08-19 2016-08-18 タングステンおよび他の金属の原子層エッチング Pending JP2017063186A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562207250P 2015-08-19 2015-08-19
US62/207,250 2015-08-19
US15/239,138 2016-08-17
US15/239,138 US10096487B2 (en) 2015-08-19 2016-08-17 Atomic layer etching of tungsten and other metals

Publications (1)

Publication Number Publication Date
JP2017063186A true JP2017063186A (ja) 2017-03-30

Family

ID=58157593

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016160501A Pending JP2017063186A (ja) 2015-08-19 2016-08-18 タングステンおよび他の金属の原子層エッチング

Country Status (5)

Country Link
US (1) US10096487B2 (ja)
JP (1) JP2017063186A (ja)
CN (1) CN106469678A (ja)
SG (1) SG10201606891SA (ja)
TW (1) TW201721751A (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109207962A (zh) * 2017-06-30 2019-01-15 财团法人交大思源基金会 化学气相生长装置
JP2019040932A (ja) * 2017-08-23 2019-03-14 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP2019114786A (ja) * 2017-12-21 2019-07-11 東京エレクトロン株式会社 除去方法及び処理方法
JP2019197856A (ja) * 2018-05-11 2019-11-14 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2020508579A (ja) * 2017-02-27 2020-03-19 ラム リサーチ コーポレーションLam Research Corporation 原子層エッチングにおける方向性の制御
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
WO2020217266A1 (ja) * 2019-04-22 2020-10-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
WO2020230522A1 (ja) * 2019-05-15 2020-11-19 昭和電工株式会社 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
JP2020536393A (ja) * 2017-10-06 2020-12-10 ラム リサーチ コーポレーションLam Research Corporation 高エネルギー原子層エッチング
JP2020536030A (ja) * 2017-11-01 2020-12-10 コリア・ベーシック・サイエンス・インスティテュート 原子層の研磨方法及びそのための研磨装置
JP2021044507A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
JPWO2021053778A1 (ja) * 2019-09-19 2021-03-25
WO2021182189A1 (ja) * 2020-03-11 2021-09-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JPWO2021260869A1 (ja) * 2020-06-25 2021-12-30
JPWO2022224412A1 (ja) * 2021-04-22 2022-10-27
KR20230164162A (ko) 2021-04-15 2023-12-01 도쿄엘렉트론가부시키가이샤 에칭 방법 및 처리 장치

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) * 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US20180294168A1 (en) * 2017-04-11 2018-10-11 Tokyo Electron Limited Method for anisotropic dry etching of titanium-containing films
JP7034645B2 (ja) * 2017-09-22 2022-03-14 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
CN112219266A (zh) * 2018-04-13 2021-01-12 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
US10982335B2 (en) * 2018-11-15 2021-04-20 Tokyo Electron Limited Wet atomic layer etching using self-limiting and solubility-limited reactions
DE102018221188A1 (de) * 2018-12-07 2020-06-10 Carl Zeiss Smt Gmbh Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich
US11094598B2 (en) 2019-07-11 2021-08-17 Globalfoundries U.S. Inc. Multiple threshold voltage devices
WO2021021486A1 (en) * 2019-07-31 2021-02-04 Lam Research Corporation Chemical etch nonvolatile materials for mram patterning
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
KR20220022502A (ko) * 2020-08-18 2022-02-28 주식회사 원익아이피에스 원자층 식각 방법 및 장치
CN116034456A (zh) 2020-09-03 2023-04-28 应用材料公司 选择性各向异性金属蚀刻
WO2022256176A1 (en) * 2021-06-03 2022-12-08 Applied Materials, Inc. Atomic layer etching of metal features

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (ja) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
JP2002510146A (ja) 1998-01-13 2002-04-02 アプライド マテリアルズ インコーポレイテッド 異方性プラチナプロファイルのエッチング方法
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
JP4429605B2 (ja) 2001-05-04 2010-03-10 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd方法及び装置
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
WO2007145679A2 (en) 2006-02-02 2007-12-21 Trustees Of Boston University Planarization of gan by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
JP2011512037A (ja) 2008-02-08 2011-04-14 イルミテックス, インコーポレイテッド エミッタ層成形のためのシステムおよび方法
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
WO2012023537A1 (ja) 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
WO2012050888A2 (en) 2010-09-28 2012-04-19 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
US9570600B2 (en) 2012-11-16 2017-02-14 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
WO2016100873A1 (en) 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TWI808473B (zh) 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7159180B2 (ja) 2017-02-27 2022-10-24 ラム リサーチ コーポレーション 原子層エッチングにおける方向性の制御
JP2020508579A (ja) * 2017-02-27 2020-03-19 ラム リサーチ コーポレーションLam Research Corporation 原子層エッチングにおける方向性の制御
CN109207962A (zh) * 2017-06-30 2019-01-15 财团法人交大思源基金会 化学气相生长装置
JP2019040932A (ja) * 2017-08-23 2019-03-14 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP7293211B2 (ja) 2017-10-06 2023-06-19 ラム リサーチ コーポレーション 高エネルギー原子層エッチング
JP2020536393A (ja) * 2017-10-06 2020-12-10 ラム リサーチ コーポレーションLam Research Corporation 高エネルギー原子層エッチング
JP7106791B2 (ja) 2017-11-01 2022-07-27 コリア インスティテュート オブ フュージョン エナジー 原子層の研磨方法及びそのための研磨装置
JP2020536030A (ja) * 2017-11-01 2020-12-10 コリア・ベーシック・サイエンス・インスティテュート 原子層の研磨方法及びそのための研磨装置
JP7175180B2 (ja) 2017-12-21 2022-11-18 東京エレクトロン株式会社 除去方法及び処理方法
JP2019114786A (ja) * 2017-12-21 2019-07-11 東京エレクトロン株式会社 除去方法及び処理方法
JP7133975B2 (ja) 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019197856A (ja) * 2018-05-11 2019-11-14 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2020136602A (ja) * 2019-02-25 2020-08-31 株式会社Adeka エッチング方法
US11217454B2 (en) 2019-04-22 2022-01-04 Hitachi High-Tech Corporation Plasma processing method and etching apparatus
KR20200125575A (ko) * 2019-04-22 2020-11-04 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
WO2020217266A1 (ja) * 2019-04-22 2020-10-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
KR102386601B1 (ko) 2019-04-22 2022-04-15 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
JPWO2020217266A1 (ja) * 2019-04-22 2021-05-06 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理装置
TWI748360B (zh) * 2019-04-22 2021-12-01 日商日立全球先端科技股份有限公司 電漿處理方法及電漿處理裝置
JP7396355B2 (ja) 2019-05-15 2023-12-12 株式会社レゾナック 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
JPWO2020230522A1 (ja) * 2019-05-15 2020-11-19
WO2020230522A1 (ja) * 2019-05-15 2020-11-19 昭和電工株式会社 金属除去方法、ドライエッチング方法、及び半導体素子の製造方法
JP2021044507A (ja) * 2019-09-13 2021-03-18 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
JP7300945B2 (ja) 2019-09-13 2023-06-30 東京エレクトロン株式会社 クリーニング方法およびクリーニングプログラムを記録する記録媒体
WO2021053778A1 (ja) * 2019-09-19 2021-03-25 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体及び基板処理装置
JPWO2021053778A1 (ja) * 2019-09-19 2021-03-25
JP7372336B2 (ja) 2019-09-19 2023-10-31 株式会社Kokusai Electric 基板処理方法、プログラム、基板処理装置及び半導体装置の製造方法
WO2021182189A1 (ja) * 2020-03-11 2021-09-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7394665B2 (ja) 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7356562B2 (ja) 2020-06-25 2023-10-04 株式会社日立ハイテク 真空処理方法
JPWO2021260869A1 (ja) * 2020-06-25 2021-12-30
US11961719B2 (en) 2020-06-25 2024-04-16 Hitachi High-Tech Corporation Vacuum processing method
KR20230164162A (ko) 2021-04-15 2023-12-01 도쿄엘렉트론가부시키가이샤 에칭 방법 및 처리 장치
WO2022224412A1 (ja) * 2021-04-22 2022-10-27 株式会社日立ハイテク エッチング方法
JPWO2022224412A1 (ja) * 2021-04-22 2022-10-27

Also Published As

Publication number Publication date
US20170053810A1 (en) 2017-02-23
CN106469678A (zh) 2017-03-01
KR20170022922A (ko) 2017-03-02
TW201721751A (zh) 2017-06-16
SG10201606891SA (en) 2017-03-30
US10096487B2 (en) 2018-10-09

Similar Documents

Publication Publication Date Title
US10096487B2 (en) Atomic layer etching of tungsten and other metals
JP7320168B2 (ja) デザイナー原子層エッチング
KR102510612B1 (ko) 향상된 텅스텐 증착 충진을 위한 텅스텐의 원자층 에칭
TWI726989B (zh) 蝕刻基板的材料之方法及設備
TWI692034B (zh) 鈷回蝕
TWI791059B (zh) 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積
JP7241705B2 (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
CN111448641A (zh) 高能量原子层蚀刻
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
US20110201208A1 (en) Plasma etching method and plasma etching apparatus
JP2017022368A (ja) GaN及びその他のIII−V材料の原子層エッチング
JP2016131238A (ja) 原子スケールのald(原子層堆積)プロセスとale(原子層エッチング)プロセスとの統合
JP6529357B2 (ja) エッチング方法
CN112970096A (zh) 蚀刻室中的方向性沉积
JP2014086500A (ja) 銅層をエッチングする方法、及びマスク
US8992689B2 (en) Method for removing halogen-containing residues from substrate
KR102663156B1 (ko) 텅스텐 및 다른 금속들의 원자층 에칭
KR20240058831A (ko) 텅스텐 및 다른 금속들의 원자층 에칭