TWI748360B - 電漿處理方法及電漿處理裝置 - Google Patents

電漿處理方法及電漿處理裝置 Download PDF

Info

Publication number
TWI748360B
TWI748360B TW109107070A TW109107070A TWI748360B TW I748360 B TWI748360 B TW I748360B TW 109107070 A TW109107070 A TW 109107070A TW 109107070 A TW109107070 A TW 109107070A TW I748360 B TWI748360 B TW I748360B
Authority
TW
Taiwan
Prior art keywords
wafer
film
etching
gas
plasma
Prior art date
Application number
TW109107070A
Other languages
English (en)
Other versions
TW202040688A (zh
Inventor
篠田和典
大竹浩人
小林浩之
川村剛平
伊澤勝
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202040688A publication Critical patent/TW202040688A/zh
Application granted granted Critical
Publication of TWI748360B publication Critical patent/TWI748360B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種蝕刻量之均一性為高並使處理之良率作了提升的電漿處理方法或電漿處理裝置。在對於鎢膜進行蝕刻之方法中,係具備有:第1工程,係對於在表面之至少一部分處具有鎢膜的基材,而供給含有氟之有機性氣體之電漿以使碳氟層堆積,並且在該碳氟層與鎢膜之間形成包含鎢以及氟並且具有自我飽和性之中間層;和第2工程,係使用氧氣電漿來將前述碳氟層以及前述中間層去除。

Description

電漿處理方法及電漿處理裝置
本發明,係有關於使用有電漿的鎢膜之蝕刻方法以及蝕刻裝置。
起因於以智慧型手機作為代表的攜帶機器之普及化,半導體裝置之高積體化係日益進展。在記錄用半導體之領域中,係量產有將記憶體胞在3維方向上作多段層積的3維(3D)NAND快閃記憶體,現在的記憶體層積數係為96層。又,在邏輯用半導體裝置之領域中,作為電路之構造,具有3維構造之FIN型FET(Field Effect Transistor)係成為主流。
如此這般,伴隨著元件構造之3維化和加工尺寸之微細化,在裝置製造製程中,兼具有等向性和原子層等級之高加工尺寸控制性的蝕刻技術之必要性係日益增加。作為此種等向性之蝕刻技術,從先前技術起,係廣泛使用有像是使用有氫氟酸和氟化銨之混合水溶液的二氧化矽之蝕刻或者是使用有熱磷酸的氮化矽之蝕刻、使用有氫氟酸與硝酸的混合液之鎢之蝕刻等的濕蝕刻技術。然而,在此種使用有藥液的此些之先前技術之濕蝕刻技術中,伴隨著圖案的微細化,係有著起因於沖洗液之表面張力所導致的圖案倒塌會變得顯著之問題。
例如,在使用有矽之高縱橫比圖案的情況時,係報告有:在將圖案間隔作了縮窄時,起因於沖洗液之乾燥時之表面張力而會開始倒塌的圖案間隔之極限值,係與縱橫比之平方成正比地而變大。因此,係對於並不使用藥液地來對於各種膜作等向性地蝕刻的製程手法之開發有強烈的需求。
另一方面,作為上述半導體裝置之被使用在電路處的電極或配線之材料,係廣泛使用有鎢。因此,作為製造下一世代的半導體裝置之製程,係對於兼具有等向性和原子層等級之高加工尺寸控制性、高選擇性的鎢之蝕刻技術有所需求。
作為並不使用藥液地而使用電漿來等向性地對於鎢膜作蝕刻之先前技術,係周知有在日本特開2005-259839號公報(專利文獻1)以及日本特開平7-254606號公報(專利文獻2)中所揭示者。
在專利文獻1中,係揭示有一種蝕刻方法,其係在形成了使用有包含鎢之導電性材料的W膜之後,在該W膜上形成由含有鋯之材料所構成的Zr膜,並使用含有氟之氣體(SF6 )以及含有氯之氣體來形成電漿,而將Zr膜作為遮罩並對於W膜進行反應性離子蝕刻,藉由此來進行蝕刻。又,在專利文獻2中,係揭示有一種技術,其係對於層積有多晶矽膜和鎢膜的構造,而供給含有氟等之鹵素和氧(O2 )的氣體並形成電漿,而使用該電漿來進行蝕刻。
進而,在非專利文獻1中,係揭示有一種手法,其係藉由使用NF3 /O2 之電漿來供給氟自由基,而將鎢以超過160nm/分鐘之蝕刻速度來等向性地進行蝕刻。 [先前技術文獻] [專利文獻]
[專利文獻1] 日本特開2005-259839號公報 [專利文獻2] 日本特開平7-254606號公報 [非專利文獻]
非專利文獻1:Patrick Verdonck, Jacobus Swart, Guy Brasseur, and Pascal De Geyter, Journal of Electrochemical Society, vol. 142, No. 6, 1971 (1995).
[發明所欲解決之課題]
在上述先前技術中,由於在以下各點的考慮係並不充分,因此係產生有問題。
亦即是,在上述先前技術中,雖係揭示有對於在包含鎢之膜的上方配置有作為遮罩之1個的膜層之構造(膜構造)而進行蝕刻之技術,但是,針對在FET等之將包含鎢之膜層和遮罩或絕緣膜交互地作了複數層層積之所謂3維之構造中而對於包含鎢之膜層在橫方向上進行蝕刻的處理之條件,係並未作考慮。特別是,在對於包夾著絕緣膜而被配置於上下處之包含鎢之膜層而於橫方向(水平方向)上進行蝕刻時,於上下之包含鎢之膜的各者處,蝕刻之速度係會有所相異,作為處理之結果,在加工後之膜層的尺寸中係會產生有上下方向之參差,針對此點,係並未作考慮。因此,在先前技術中,針對被形成於膜構造處的圖案之上下(深度)方向,在處理對象之膜層的蝕刻量中係會產生大的偏差,並有著導致裝置之處理的良率受損的問題。
又,在先前技術中,鎢膜之蝕刻,係為例如以超過160nm/分鐘之蝕刻速度來連續性地進行者。在此種高速的蝕刻處理中,蝕刻之量的調節,係藉由偵測出蝕刻處理之開始後的時間並對此作調節,來進行之。此種由處理之時間所致的蝕刻量之調節,由於係難以針對非常微細之量的蝕刻、例如針對蝕刻之深度(寬幅)為原子層等級的蝕刻,來以高精確度而進行調節,因此,係會有對於處理之精確度和良率造成損害之虞。
如此這般,在先前技術之連續性的電漿蝕刻技術中,蝕刻量係會反映出自由基之分布而成為非均一,在晶圓面內方向或圖案深度方向上的蝕刻量之均勻性係為低,又,係需要藉由電漿處理時間來對於蝕刻量作控制。因此,可以推測到,先前技術之連續性的電漿蝕刻技術,在要求有原子層等級之高尺寸控制性的下一世代之後的裝置製造工程中,係在適用上受到限制。
本發明之目的,係在於提供一種蝕刻量之均一性為高並使處理之良率作了提升的電漿處理方法或電漿處理裝置。本發明之前述目的以及新穎之特徵,係可根據本說明書之記述以及所添附之圖面而成為明瞭。 [用以解決課題之手段]
為了達成上述目的,例如係採用在申請專利範圍中所記載之構成和處理程序。
本案,係包含有複數之對於上述課題作解決之手段,但是,例如,在對於鎢膜進行蝕刻之電漿處理方法中,係具備有下述特徵:亦即是,係具備有:第1處理工程,係在處理室內配置處理對象之晶圓,並對於前述處理室內供給含有氟之有機性氣體之電漿,而在預先被形成於前述晶圓上面之包含鎢之處理對象之膜層的上面使碳氟層堆積,並且在該碳氟層與前述處理對象之膜層之間形成包含前述處理對象之膜層之鎢以及氟並且具有自我飽和性之中間層;和第2工程,係將使用含有氧之氣體而在前述處理室內所形成的電漿中之粒子供給至前述處理對象之膜層之上面,來將前述碳氟層以及前述中間層去除。 [發明之效果]
若是針對藉由在本案中所揭示的發明中之代表性者所能夠得到的效果作簡單說明,則係如同下述一般。若依據本發明,則係可提供一種能夠對於鎢膜而在晶圓面內方向或圖案深度方向上以高均一性以及原子層等級之高加工尺寸控制性來進行蝕刻加工的等向性原子層蝕刻技術。
在製造半導體裝置、例如製造下一世代之3D-NAND快閃記憶體之工程中,於形成閘極電極之處理中,在對於被埋入至高縱橫比之微細寬幅之溝內部的鎢而於橫方向(相對於溝之上下深度方向的水平之方向)進行蝕刻並電性地分離的工程中,可以推測到,係對於將鎢膜等向性地且以原子層等級之高精確度來進行蝕刻的技術有所需求。因此,發明者們,係作為其中一例,而針對以圖9中所示一般之構造體作為對象而進行了由先前技術所致之使用有電漿之蝕刻的情況,來進行了檢討。
圖9,係為針對在對於被形成於處理對象之半導體晶圓等之基板上的試料上並使複數之膜層上下鄰接地作了層積的特定之膜構造而施加了由先前技術所致之電漿蝕刻的情況時之該膜構造之變化作示意性展示之縱剖面圖。本圖之(a)~(c),係對於被施加有處理而產生變化的膜構造之形狀之3個階段的狀態作展示。
圖9(a),係為對於「在被形成於基底膜910上之多晶矽膜901處的溝內部,在沿著該溝內部之兩側的側壁面之各者而使處理對象之鎢膜902和作為絕緣膜之二氧化矽膜903交互地於邊界相接並於上下方向作了層積的層積膜之彼此間,形成有高縱橫比之溝911」的膜構造,而對於使用有電漿的蝕刻處理尚未被開始之狀態的膜構造作展示之圖。圖9(b),係為對於「為了對於圖9(a)之膜構造的鎢膜902進行蝕刻,而使用含有氟之氣體來形成電漿,並將該電漿中之包含氟之反應種904供給至膜構造之溝911內,而使其與鎢膜902之表面產生反應,並使所產生的包含鎢之反應生成物905於上方而被去除,而使鎢膜902之蝕刻有所進展」的狀態作展示之圖。圖9(c),係為對於鎢膜902之上述使用有電漿之蝕刻被作了停止的狀態作展示之圖。另外,在本例中,多晶矽膜901係在由二氧化矽所構成的基底膜910之上而預先被形成,其之表面係藉由二氧化矽膜而被作被覆。
在發明者們之檢討中,如同圖9(b)中所示一般,係確認到了:當在以使鎢膜902和二氧化矽膜903交互地被作了層積的層積膜來構成兩側之側壁的高縱橫比之溝911內側,而僅對於鎢膜902來在橫方向上進行了蝕刻時,由於係並未使用有機氣體等之具有沈積性之氣相化學,因此,係並不會有在鎢膜902之表面上產生非揮發性之沈積膜的情形,藉由具有揮發性的反應生成物905之連續性之脫離,蝕刻係連續性地進行。另一方面,從被形成於試料上方處之電漿所被供給的反應種904,係從溝911之上方起而進入至其之內側,並被構成溝911上端之開口部附近之層積膜的鎢膜902所消耗,一直到達至溝911下部之區域的鎢膜902處之量係變少。因此,蝕刻量之分布,針對溝911或者是層積膜之上下方向而言,係成為非均一,進而,蝕刻量係在上部之開口部附近而為大,在下部處則係變小。其結果,在由先前技術所致之鎢膜902與二氧化矽膜903之層積膜的蝕刻中,在被形成於層積膜處的溝或孔之深度方向上係會產生蝕刻量之非均一的分布,而有著導致試料之處理或半導體裝置之製造的良率降低的問題。
又,在由先前技術所致之鎢膜之等向性蝕刻中,係為例如以超過160nm/分鐘之大的蝕刻速度來連續性地進行。基於此事,若是設為基於從處理之開始起的時間來進行蝕刻量之調節,則實質性而言,想要以實現原子層等級之蝕刻量的程度來對於由電漿所致之蝕刻的開始、停止作控制一事係會變得困難。
如此這般,在先前技術之使用有電漿的蝕刻技術中,蝕刻量係會反映出自由基之分布而成為非均一,在晶圓面內方向或圖案深度方向上的蝕刻量之均一性係為低,又,係需要藉由電漿處理時間來對於蝕刻量作控制。因此,可以推測到,先前技術之連續性的電漿蝕刻技術,在要求有原子層等級之高尺寸控制性的下一世代之後的裝置製造工程中,係在適用上受到限制。
發明者等,係使用各種氣體之電漿而嘗試了鎢膜之蝕刻。其結果,係發現到:(1)在藉由對於鎢膜而供給含有氟之有機性氣體之電漿而在其之表面上形成碳氟層時,在鎢膜之表面上係被形成有使氟和鎢、碳等作了混合形成的中間層、(2)該中間層之生成量係具有自我飽和性、(3)該中間層之層厚係能夠藉由溫度控制來作調整、(4)該碳氟層和該中間層係藉由含有氧之電漿而被去除。本發明,係為基於此種新的知識而完成者。具體而言,係藉由將1個的循環反覆進行複數次,來實現所期望之量的鎢膜之蝕刻,該1個的循環,係將「形成含有氟之有機氣體之電漿並對於蝕刻處理對象之鎢膜之表面供給從電漿而來之具有反應性之粒子,而在該鎢膜之表面上形成碳氟層以及中間層之工程」和「接著將該碳氟層以及中間層藉由使用有含有氧之氣體的電漿來去除之工程」整合為1個的循環。
藉由上述之構成,由於中間層之形成工程以及去除工程係具有自我飽和性,因此針對晶圓之面內方向以及溝或孔等之膜構造的圖案之深度方向之蝕刻量的非均一係被作抑制。又,由於係能夠以高精確度來對於在1次的循環中所去除之鎢膜之厚度作調節,並且能夠藉由反覆進行之循環之次數來對於反覆進行循環所得到的蝕刻之量作調節,因此係能夠使對於被作了層積的鎢膜而於水平方向上進行蝕刻所形成的電路之尺寸的精確度提升。
另外,在以下之實施例中,係將反覆進行「各工程為包含有具有自我飽和性之反應層的形成工程與去除工程之整批的工程」的蝕刻處理,稱作原子層蝕刻。在本實施例中,「原子層」蝕刻係並不被限定於每1個循環之蝕刻量為與由構成對象之膜的物質之單一之原子所構成的層之厚度相等之狹義的原子層蝕刻。例如,就算是每一個循環之蝕刻之量係為奈米尺度或者是此以上之尺度,針對各工程為相對於處理之時間等而具有自我飽和性、亦即是具備所謂的Self-limiting之傾向者,係將該處理稱作原子層蝕刻。另外,對於同等之處理,係亦可使用「數位蝕刻」、「Self-limiting性循環蝕刻」、「原子等級蝕刻」、「layer by layer蝕刻」等之稱呼。
以下,針對本發明,使用圖面而對實施例作說明。 實施例1
以下,使用圖1~圖5,對於本發明之實施例作說明。本實施例,係對於藉由「在實施了藉由使用CHF3 氣體所形成的電漿來在處理對象之鎢膜之表面上形成碳氟層以及中間層之工程之後,實施將此些之碳氟層以及中間層藉由使用有O2 氣體的電漿來去除之工程」,來將預先被形成於矽等之半導體晶圓上之處理對象之鎢膜等向性地進行原子層蝕刻者來進行說明。
又,在本實施例中,不論是在形成碳氟層與中間層之工程或是去除之工程的何者之中,晶圓之溫度均係以會成為一定或者是近似為可視為一定之程度之值的方式而被作調節,而並未具備有特別是為了促進或實現此些之工程之進行而對於晶圓或碳氟層以及中間層進行加熱之構成。因此,係能夠使該處理之每一循環的單位時間縮短,而能夠將晶圓之處理的產率提高。
圖1,係為對於本發明之實施例的電漿處理裝置之概略構成作示意性展示之縱剖面圖。
處理室1,係藉由基礎腔11所構成,於其之中,係被設置有用以載置身為被處理試料之晶圓2(以下,記載為晶圓2)的晶圓平台4(以下,記載為平台4)。於電漿源處,係使用有ICP(Inductively Coupled Plasma:感應耦合電漿)放電方式,在處理室1之上方處,係被設置有電漿源,該電漿源,係具備有石英腔12和ICP線圈34以及高頻電源20。於此,ICP線圈34,係被設置在石英腔12之外側處。
在ICP線圈34處,係經由整合器22而被連接有用以產生電漿之高頻電源20。係設為使用高頻電力之頻率為13.56MHz等的數十MHz之頻率帶者。在石英腔12之上部處,係被設置有頂板6。在頂板6處,係被設置有噴淋板5,於其之下部處,係被設置有氣體分散板17。處理氣體,係從氣體分散板17之外周而被導入至處理室1內。
處理氣體,係藉由被配置在質量流控制器控制部51內並針對各氣體種類而分別作了設置的質量流控制器50,而被作流量調整。在圖1中,至少Ar、O2 、CHF3 、C4 F8 係作為處理氣體而被供給至處理室1處,而具備有與此些之各氣體之種類分別相互對應的質量流控制器50-1、50-2、50-3、50-4、50-5。又,被作供給之氣體係並不被限定於此些。另外,在質量流控制器控制部51處,係亦包含而配置有對於如同後述一般之被供給至晶圓2之背面與載置晶圓之平台4之介電質膜之上面之間的He氣體之流量作調節的質量流控制器50-6。
處理室1之下部,係為了將處理室減壓,而藉由真空排氣配管16來與排氣手段15作連接。排氣手段15,例如係藉由渦輪分子幫浦或機械升壓幫浦或乾式幫浦所構成。又,為了對於藉由排氣手段15之動作而被從處理室1內排出的內部之氣體或電漿10之粒子之流量而藉由對於身為真空排氣配管16之與軸方向相垂直之面的剖面積之流路剖面積進行增減一事來作調節以對於處理室1或放電區域3之壓力作調整,在排氣手段15之上游側處,係被設置有調壓手段14,該調壓手段14,係藉由以於橫切過流路內之方向上具有軸地而被作配置並在軸周圍而旋轉之複數枚之板狀之轉板(flap)或者是以在流路內部而橫切過其之軸方向地移動之板構件所構成。
在平台4與構成ICP電漿源之石英腔12之間,係被設置有用以加熱晶圓2之IR(Infrared:紅外線)燈管單元。IR燈管單元,主要係具備有IR燈管62、將IR光作反射的反射板63、IR光透過窗74。在IR燈管62處,係使用圓形狀之燈管。另外,從IR燈管62所放射出之光,係設為放出以從可視光起而至紅外光區域之光為主的光者。於此,係將此種光稱作IR光。在圖2所示之構成中,作為IR燈管62,係設為設置有3圈的IR燈管62-1、62-2、62-3者,但是,係亦可設為2圈、4圈等。在IR燈管62之上方處,係被設置有用以將IR光朝向下方作反射的反射板63。
在IR燈管62處係被連接有IR燈管用電源64,於其之途中,係被設置有用以使在高頻電源20處所產生的電漿生成用之高頻電力之雜訊不會流入至IR燈管用電源64中的高頻截波濾波器25。又,在IR燈管用電源64處,係附加有像是能夠對於供給至IR燈管62-1、62-2、62-3處的電力相互獨立地作控制一般之功能,並成為能夠對於晶圓之加熱量的徑方向分布作調節。
在IR燈管單元之中央處,係被形成有用以使從質量流控制器50而供給至石英腔12之內部的氣體流動至處理室1之側處的氣體之流路75。而,在此氣體之流路75中,係被設置有用以將在石英腔12之內部所產生的於電漿中所生成之離子或電子作遮蔽並僅使中性之氣體或中性之自由基透過而照射至晶圓2處的開通有複數之孔之細縫板(離子遮蔽板)78。
在平台4處,係於內部被形成有用以冷卻平台4之冷媒之流路39,並成為藉由冷卻器38來使冷媒被作循環供給。又,為了將晶圓2藉由靜電吸附來固定在平台4處,在平台4中係被埋入有身為板狀之電極板的靜電吸附用電極30,並分別被連接有靜電吸附用之DC(Direct Current:直流)電源31。
又,為了將晶圓2有效率地作冷卻,係成為能夠對於被載置在平台4處之晶圓2之背面與平台4之間而供給He氣體。又,為了成為就算是使靜電吸附用電極30動作並在將晶圓2作了靜電吸附的狀態下直接進行加熱或冷卻也不會對於晶圓2之背面造成損傷,平台4之晶圓載置面係藉由聚醯亞胺等之樹脂而被作被覆。又,在平台4之內部,係被設置有用以測定平台4之溫度的熱電偶70,此熱電偶係被與熱電偶溫度計71作連接。
又,用以對於晶圓2之溫度作測定的光纖92-1、92-2,係被設置在被載置於平台4處之晶圓2的中心部附近、晶圓2之徑方向中間附近、晶圓2之外周附近之3個場所處。光纖92-1,係將從外部IR光源93而來之IR光一直導引至晶圓2之背面處並照射至晶圓2之背面。另一方面,光纖92-2,係將藉由光纖92-1而被作了照射的IR光之中之對於晶圓2而作了透過、反射的IR光集中,並傳輸至分光器96處。
藉由外部IR光源93所產生的外部IR光,係被傳輸至用以將光路作ON/OFF之光路開關94處。之後,係藉由光分配器95而被分歧為複數(圖2之情況係分歧為3),並經由3個系統的光纖92-1而被照射至晶圓2之背面側之各者的位置處。
藉由晶圓2而被作了吸收、反射之IR光,係藉由光纖92-2而被傳輸至分光器96處,並藉由檢測器97而得到頻譜強度之波長依存性之資料。之後,所得到的頻譜強度之波長依存性之資料,係被送至控制部40之演算部41處,吸收波長係被算出,以此作為基準,係能夠求取出晶圓2之溫度。又,在光纖92-2的途中,係被設置有光多工器98,針對進行分光計測之光,係成為能夠對於是要對在晶圓中心、晶圓中間、晶圓外周之何者的計測點處之光進行分光計測一事作切換。藉由此,在演算部處,係能夠求取出晶圓中心、晶圓中間、晶圓外周之各者處的溫度。
在圖1中,60係為將石英腔12作覆蓋之容器,81係為用以將平台4和基礎腔11之底面之間作真空密封的O形環。
控制部40,係對於從高頻電源20而至ICP線圈34之高頻電力供給的ON/OFF作控制。又,係對於積體質量流控制器控制部51進行控制,而針對從各個的質量流控制器50所供給至石英腔12之內部的氣體之種類以及流量作調整。在此狀態下,控制部40係更進而使排氣手段15動作,並且對於調壓手段14作控制,而以使處理室1之內部成為所期望之壓力的方式來進行調整。
進而,控制部40,係使靜電吸附用之DC電源31動作而將晶圓2靜電吸附於平台4處,並在使將He氣體供給至晶圓2與平台4之間之質量流控制器50-6動作了的狀態下,基於「藉由熱電偶溫度計71所測定出的平台4之內部之溫度」以及「基於藉由檢測器97所計測出的晶圓2之中心部附近、半徑方向中間部附近、外周附近的頻譜強度資訊來藉由演算部41所求取出的晶圓2之溫度分布資訊」,來以使晶圓2之溫度會成為特定之溫度範圍的方式,而對於IR燈管用電源64、冷卻器38作控制。
使用圖2,針對藉由本實施例之電漿處理裝置100所實施的晶圓2之處理之流程作說明。圖2,係為對於本發明之實施例的電漿處理裝置所實施之預先被形成於晶圓上的包含鎢之膜之蝕刻處理的概略流程作展示之流程圖。
在本圖中,於晶圓2之處理開始之前,於表面上預先被配置有包含有包含鎢之膜層的膜構造之晶圓2係被載置於處理室1內之平台4上,並藉由將從DC電源而來之直流電力供給至靜電吸附電極30處所形成的靜電力而被作保持。
在處理之開始後,於步驟S201中,含有氟之有機氣體係被導入至處理室1內。於此,所謂包含鎢之膜,係除了鎢單體膜以外,亦代表藉由包含有鎢之複數之元素所構成的金屬膜。若是列舉出具體性的例子,則係為WM或WSi2 等。又,作為含有氟之有機氣體,係除了C4 F8 或CHF3 、CH2 F2 、CH3 F等之外,亦可為將此些之氣體以氬或氮、氧等來作了稀釋的混合氣體。又,在本步驟中之晶圓溫度,係藉由晶圓所被作載置的平台之溫度調節功能,而被保持為一定。
接著,在步驟S202中,於放電區域2內部,使用有機氣體,電漿10係被生成,電漿10中之含有氟之有機氣體的原子或分子係被活性化,所生成的CFx等之自由基(活性種)等的具有反應性之粒子,係通過氣體流路75以及細縫板78之貫通孔而被供給至晶圓2之表面,並附著於包含鎢之膜的表面上。具備有反應性之粒子,係與作了附著的膜之表面之材料產生反應,並形成基於電漿10之生成之條件或平台4之溫度等之處理的條件所制定之厚度之碳氟層。
作為在包含鎢之膜之表面所生成的碳氟層之組成,除了CFx之外,係亦可列舉出在使用有包含氫之電漿的情況時所生成之CHxFy等。關於CHxFy,雖然係亦有被稱作氫氟碳層的情況,但是,於此係統一為「碳氟層」之用語。此時,在鎢膜之表面與碳氟層之邊界處,係被形成有包含處理對象之膜層之鎢以及氟並具有自我飽和性之中間層。
於此,所形成之中間層之厚度,係因應於平台4或晶圓2之表面的溫度而改變。根據此事,中間層之厚度係藉由對於平台4之溫度進行調節一事來作調整。另一方面,當晶圓2之溫度為過低的情況時,中間層之厚度係會變小,以下所說明的蝕刻量之每單位時間之大小係會變小,在特定之時間中所被處理的晶圓2之枚數(產率)係會降低。在本實施例中,步驟S202之晶圓2或平台4之溫度,係被調節為0℃以上。
之後,在控制部40藉由膜厚之檢測器或者是預先所制定的時間之經過之確認等而確認到係形成了特定之厚度之中間層之後,調壓手段14係將真空排氣配管16之流路剖面積增大而使排氣量增大,並將處理室1內部作大幅度減壓,被供給至處理室1內之包含氟之有機氣體係被迅速地排氣。藉由此,碳氟層之形成處理係結束(步驟S203)。此時,係亦能夠以將有機氣體作置換的方式,而將Ar等之惰性(稀有)氣體供給至處理室1內部並促進有機氣體之排出。
接著,在步驟S204中,作為用以將碳氟層和中間層去除之反應氣體,包含氧之氣體係被導入至處理室1內。作為含有氧之氣體,係除了O2 或O3 等之外,亦可為將此些之氣體以氬或氮等來作了稀釋的混合氣體。在本步驟中之晶圓2之溫度,係藉由晶圓2所被作載置的平台4之溫度調節功能,而被保持為一定。
接著,在步驟S205中,於放電區域3中係被供給有包含氧之氣體,電漿10係被生成,氣體的原子或分子係被活性化,所生成的自由基(活性種)等的具有反應性之粒子,係通過氣體流路75以及細縫板78之貫通孔而被供給至晶圓2之表面。其結果,包含氧之自由基的活性種與碳氟層及中間層之間之反應係發生,並生成具有揮發性之反應生成物。該反應生成物,係由於其之揮發性,而從晶圓2或其之表面之膜構造而昇華或脫離,並被從包含鎢之膜的表面而去除。
作為反應生成物之例,例如係可列舉出CO或CO2 、COFx、WFx、WOxFy等。從晶圓2而脫離了的此些之反應生成物之粒子,係藉由以調壓手段14或排氣手段15之動作所致之處理室1內部之排氣之動作或者是藉由此所產生的處理室1內部之粒子之移動的流動,而被從處理室1內部排出。接著,在步驟S206中,含有氧之氣體係被從處理室1內部而排氣。
將上述之步驟S201~S206統整為一的1個循環係結束。藉由該循環,由於中間層係與碳氟層一同地而被去除,因此鎢膜之膜厚係相應於此些之膜層的厚度之量地而被去除並變小。此膜厚之變化量,係身為上述之1個循環的蝕刻量。
之後,在控制器40處,係受訊從未圖示之膜厚檢測器而來之輸出,並根據基於此所得到的結果來判定所期望之蝕刻量之到達的有無,或者是判定是否滿足了包含「根據預先所進行之測試等所導出的能夠得到所期望的蝕刻量之上述循環之實施次數」之結束之條件(步驟S207),在判定為係滿足條件的情況時,係將包含鎢之膜的蝕刻處理結束,在判定為係並未滿足的情況時,係回到步驟S201,並再度實施循環。如此這般,在本實施例中,係反覆實施上述循環,直到得到所期望的蝕刻量為止。
以下,針對使用有本實施例之電漿處理裝置100之晶圓2上的包含鎢之膜之蝕刻,對於作為碳氟層以及中間層之形成用之氣體而使用CHF3 並作為用以使碳氟層以及中間層脫離之反應氣體而使用O2 氣體來作了實施的情況,使用圖3、4來對於動作的順序作說明。圖3,係為對於在圖1所示之實施例的晶圓之處理中之處理的條件中所包含的複數之參數之伴隨著時間之經過的變化作展示之時序表。參數,係從上起而依序展示有氣體供給流量、放電電力、靜電吸附、晶圓之溫度。
圖4,係為對於圖3中所示之實施例的在晶圓之處理中之包含有包含鎢之膜的膜構造之變化的概略內容作示意性展示之圖。特別是,在圖4中,係針對在晶圓2之基底膜401上而鎢膜402與邊界相接地被作層積配置的膜構造之鎢膜402之表面附近的構造及其變化作示意性展示。
首先,在處理中之時刻t0處,因應於從控制部40而來之指令訊號,經由被設置在處理室1處之搬送口(省略圖示),圖4(a)中所示之預先被形成有基底膜401和應蝕刻之鎢膜402的膜構造之晶圓2係被搬送至處理室1內部並被載置在平台4上,之後,從DC電源31而來之電力係被供給至靜電吸附電極30處,晶圓2係被靜電吸附於平台4上之介電質膜上而被作保持。進而,因應於從控制器40而來之指令訊號,藉由質量流控制器控制部51之對應於He氣體之質量流控制器50-6,被供給至晶圓2之背面與平台4之間之間隙處的晶圓冷卻用之He氣體之流量係被作調節而被作供給,該間隙之He氣體的壓力係被調節為特定之範圍內之值(331之狀態)。其結果,平台4與晶圓2之間之熱傳導係被促進,晶圓2之溫度係被維持為近似於平台4之溫度之值T0,該平台4,係使預先藉由冷卻器38而被設為特定之溫度的冷媒被供給至冷媒之流路39處並作循環。在本實施例中,晶圓2之溫度T0係被設為40℃。
接著,在時刻t1處,因應於從控制部40而來之指令訊號,藉由質量流控制器50之包含有CHF3 用之質量流控制器50-2或者是50-3的複數之氣體種類用之質量流控制器之各者所被作供給的流量係被作調節,將此些之複數之種類之物質的氣體作了混合者,係作為處理用之氣體而以預先所制定了的範圍內之流量來被供給至處理室1,並且調壓手段14之開度係被作調節,處理室1之內部以及石英腔12之內部的放電區域3內之壓力係被設為所期望之範圍內之值。於此狀態下,在時刻t2處,因應於從控制部40而來之指令訊號,從高頻電源20而來之特定之值W之高頻電力係被供給至ICP線圈處(312之狀態),並在石英腔12之內部的放電區域3內而開始電漿放電,在石英腔12之內部係產生有電漿10。此時,為了將在電漿10有被生成的期間中之晶圓2之溫度保持為與生成前同等之溫度,對於IR燈管62係並未被供給有電力。
在此狀態下,CHF3 氣體之粒子的至少一部分係藉由電漿10而被激勵、解離或電離化,離子等之帶電粒子或者是活性種等之反應性粒子係被形成。於放電區域3處所被形成的活性種等之反應性粒子以及中性之氣體,係通過被形成於細縫板78處之細縫或貫通孔而被導入至處理室1內並被供給至晶圓2之表面。如同圖4(b)中所示一般,包含有CFx等的活性種403,係吸附在晶圓2之鎢膜401之表面上並與鎢膜401之材料發生相互作用,碳氟層404係被形成。
在本實施例中,在放電區域3內之電漿10中所被產生的離子,係藉由使細縫板78被配置在氣體之流路75內一事,而使對於處理室1內的移動被妨礙並幾乎不會射入至晶圓2之表面。因此,藉由電漿10所產生的離子與晶圓2上之膜構造之間之相互作用係被降低,鎢膜402之蝕刻的進行係被作抑制。
另一方面,被形成於鎢膜402上之碳氟層404之厚度,係依存於CHF3 之反應性粒子與鎢膜402之表面之材料之間的相互作用之時間,若是此時間越長,則厚度係變得越大。在本實施例中,產生有相互作用的時間,係成為與在放電區域3內而電漿10所被形成的時間相同或者是近似為可視為相同的程度之同等之時間。
另一方面,在鎢膜402之表面與被形成於其之上的碳氟層404之間之邊界處,係產生有鎢和氟、碳作了混合的中間層405。若依據發明者們之檢討,則該中間層405之厚度,係與碳氟層404相同的,而依存於CHF3 之反應性粒子與鎢膜402之表面之材料之間的相互作用之時間,若是此時間越長,則厚度係變得越大,但是,係確認到,從中間層405之形成開始起的10秒鐘之後,中間層405之厚度的增加係停止。考慮到此種中間層405之自我飽和性,在本實施例中,係將在放電區域3內的使用了CHF3 氣體而形成電漿10之時間設為10秒。
亦即是,在圖3中,於時刻t2處,從高頻電源20而對於ICP線圈34的高頻電力之供給係被開始,在經過了10秒鐘以上之後的時刻t3處,因應於從控制部40而來之指令訊號,從高頻電源20而來之高頻電力的輸出係被停止,同時,對於放電區域3之包含CHF3 氣體之處理氣體的供給係被停止。藉由此,在放電區域3內的電漿10係消失。又,在時刻t3~時刻t4之間,處理室1內之包含CHF3 氣體之處理氣體和反應性粒子以及在碳氟層404、中間層405之形成中所產生的生成物等之粒子,係經由藉由調壓手段14來對於開度作了調節的真空排氣配管16以及排氣手段15,而被排氣至處理室1外部。
因應於從控制器40而來之指令訊號,在時刻t4處,藉由質量流控制器控制部50-2而使流量被調節為特定之範圍內之值的包含氧氣之處理氣體,係被供給至放電區域3處。進而,調壓手段14係對於真空排氣配管16之流路的開度作調整,藉由包含氧之處理氣體之供給量與從真空排氣配管16而來之排氣之量之間的平衡,處理室1以及石英腔12之內部的放電區域3之壓力係被調節為特定之容許範圍內之值。於此狀態下,在時刻t5處,因應於從控制部40而來之指令訊號,從高頻電源20而來之高頻電力係被供給至ICP線圈34處(312之狀態),並在石英腔12內部的放電區域3處產生使用有包含氧之處理氣體之電漿10。
在本實施例中,於時刻t5處而從高頻電源20所輸出的高頻電力之大小,係與時刻t2之輸出相同的而被設為W1,但是係亦可因應於處理之條件而設為相異之值。進而,在本實施例中,為了在電漿10有被生成的期間中亦將晶圓2之溫度保持為與生成前同等之T0=40℃,係並未被供給有用以使IR燈管62動作之電力。
在此狀態下,氧(O2 )氣體之粒子的至少一部分係藉由電漿10而被激勵、解離或電離化,離子等之帶電粒子或者是活性種等之反應性粒子係被形成。於放電區域3處所被形成的中性之氣體以及活性種等之反應性粒子,係通過被形成於細縫板78處之細縫或貫通孔而被導入至處理室1內並被供給至晶圓2之表面。如同圖4(c)中所示一般,包含氧之自由基的活性種403係與晶圓2之碳氟層404及中間層405之材料產生相互作用並產生具有揮發性之反應生成物406,反應生成物406係揮發並從晶圓2之膜構造之表面脫離,並藉由此而被從該表面去除。
在本實施例中,在放電區域3內之電漿10中所被產生的離子,係藉由使細縫板78被配置在氣體之流路75內一事,而使對於處理室1內的移動被妨礙並幾乎不會射入至晶圓2之表面。因此,除了碳氟層404以及中間層405以外的藉由電漿10所產生的離子與晶圓2上之膜構造之間之相互作用係被降低,作為基底而殘留的鎢膜402之蝕刻的進行係被作抑制。
另一方面,碳氟層404以及中間層405之被去除之量,係依存於含有氧自由基之反應性粒子與此些之層之表面之材料之間的相互作用之時間以及產生有相互作用的晶圓2之表面之溫度,若是此時間越長,則係變得越大。在本實施例中,產生有相互作用的時間,係成為與在放電區域3內而電漿所被形成的時間相同或者是近似為可視為相同的程度之同等之時間。若依據發明者們之檢討,則在本實施例之包含有晶圓2之溫度之處理的條件下,若是該時間經過10秒鐘,則在對應於圖2之步驟S203的時刻t2~t3之間之處理之工程中所被形成的碳氟層404以及中間層405係幾乎全部變化為反應生成物406並從膜構造之表面脫離而被去除。
亦即是,在圖3中之從於時刻t5處而從高頻電源20對於ICP線圈34的高頻電力之供給被開始直到在此之10秒鐘之後或者是其以後的時刻t6為止之期間中,碳氟層404以及中間層405之蝕刻係自我飽和性地結束。在時刻t6處,因應於從控制部40而來之指令訊號,從高頻電源20而對於ICP線圈34之高頻電力之輸出係被停止,並且對於放電區域3之包含O2 氣體之處理氣體的供給係被停止,在放電區域3內部處的電漿10之形成係被停止。在本實施例中,時刻t5~時刻t6為止之工程,係對應於圖2中所示之步驟S206,如同上述一般,該時間係被設為10秒鐘或是較此而更長的時間。
接著,因應於從控制部40而來之指令訊號,在時刻t6~時刻t7之間,處理室1內之氣體係經由藉由調壓手段14來對於開度作了調節的真空排氣配管16以及排氣手段15,而被排氣至處理室1外部。進而,在時刻t6之後的時間中,如同在圖2中所說明一般,係判定晶圓2上之鎢膜402之蝕刻量或者是殘留膜厚是否到達了所期望之值(對應於步驟S207),因應於判定結果,係進行下一個的循環之開始或者是晶圓2之處理之結束的工程。
在開始下一個的循環的情況時,於時刻t7或者是其以後之任意之時刻t8處,因應於從控制部40而來之指令訊號,係與從時刻t1起之動作相同的,開始包含有CHF3 氣體之處理氣體的對於放電區域3之導入。在結束晶圓2之處理的情況時,於時刻t7處,被供給至晶圓2之背面與平台4上面之間的間隙處之He氣體之供給係被停止,並且係開啟閥52而從該間隙來將He氣體排出,以將間隙內之壓力設為與處理室內之壓力同等程度,並且實施包含靜電之去除的晶圓2之靜電吸附之解除的工程。藉由以上處理,而結束鎢膜402之蝕刻處理之工程。
在本實施例中,當需要15nm之蝕刻量的情況時,係將上述之循環反覆進行15次並結束蝕刻。圖5,係為對於在圖1所示之本實施例的電漿處理裝置所實施之蝕刻處理中的循環數與蝕刻之量之間之關係作展示之圖表。本圖,係於橫軸展示循環之次數,並於縱軸展示「在被實施了的各循環之結束後而下一個循環之開始前,使用In-situ橢圓偏振技術所檢測出的蝕刻量(蝕刻之深度)」。
如同本圖中所示一般,在本例中,伴隨著循環之次數的增加,蝕刻量係略線性地變化。根據此圖,係得知了,在本實施例中之鎢膜之1個循環的蝕刻量,係為1.0nm/循環。
如同上述一般,本實施例之形成碳氟層404以及中間層405的第1工程和將碳氟層404與中間層405去除的第2工程,係均具有自我飽和性之性質。特別是,中間層405之形成,係具有自我飽和性,因此,反應生成物406之形成以及脫離的工程係自我飽和性地結束。根據此,在本實施例中,係能夠將在對於預先被形成有具備有電路圖案的膜構造之晶圓2而進行蝕刻的情況時之於結束了1次的循環時之鎢膜402表面之蝕刻量,針對晶圓2之面內方向以及深度方向而將不均降低並更為接近均勻。
由於係利用有上述之自我飽和性,因此,就算是依存於晶圓2上面之水平方向或深度方向之位置而被供給至晶圓2處之自由基等之反應性粒子之密度為具有相異之分布的情況時,亦能夠對於蝕刻量發生必要以上的增大或者是成為不足的情形作抑制,蝕刻量之參差係被降低。又,全體之蝕刻量,係能夠藉由包含上述第1以及第2工程之1個的循環之反覆次數的增減來作調節,本實施例之該蝕刻量,係成為1個循環的蝕刻量之次數倍或者是次數之蝕刻量之和。其結果,在本實施例中,相較於由先前技術之連續性之電漿處理所致之蝕刻,係能夠使由蝕刻處理所致之加工後之尺寸的控制性乃至於處理之良率提升。
以上,若依據本實施例,則係可提供一種能夠對於鎢膜而在晶圓面內方向或圖案深度方向上以高均一性以及原子層等級之高加工尺寸控制性來進行蝕刻加工的等向性原子層蝕刻技術。
[變形例] 針對上述實施例之變形例,使用圖6~8以及圖10來作說明。另外,實施例1中之事項,只要並未特別作說明,則係亦可適用在本實施例中。本例,係與上述實施例相同的,為針對對於包含鎢之膜而等向性地進行原子層蝕刻之例作說明者。
上述實施例與本例之間之差異係在於,在形成碳氟層404之工程中,係形成使用有包含C4 F8 /Ar氣體之氣體的電漿10,以及藉由從IR燈管62而來之紅外線的輻射來加熱晶圓2並形成中間層405、還有在將碳氟層404以及中間層405去除之工程中,係形成使用有包含O2 /Ar氣體之氣體的電漿10並且進行由IR燈管62之紅外線之輻射加熱所致的晶圓2之加熱,而使用熱循環來實施了包含鎢之膜之蝕刻。在本例中,係能夠使中間層405之膜厚的調節之精確度、亦即是使每一循環的蝕刻量之控制性提升。
圖6,係為對於圖1中所示之實施例的電漿處理裝置所實施之蝕刻處理之變形例的處理之概略流程作展示之流程圖。在本圖之變形例中,亦同樣的,在電漿處理裝置100處,於晶圓2之處理開始之前,於表面上預先被配置有包含有包含鎢之膜層的膜構造之晶圓2係被載置於處理室1內之平台4上,並藉由將從DC電源而來之直流電力供給至靜電吸附電極30處所形成的靜電力而被作保持。
在處理之開始後,於步驟S601中,含有氟之有機氣體係被導入至被載置有於表面側處具備包含鎢之膜的晶圓2之處理室1內。在本例中,作為包含氟之有機氣體,係使用了包含C4 F8 以及Ar之氣體。在本步驟中之晶圓2之溫度,係藉由晶圓2所被作載置的平台4之溫度調節功能,而被保持為特定之容許範圍內之值,特別是,在本例中,係以使平台4上面或者是晶圓2之溫度成為-5℃的方式來作調節。
接著,在步驟S602中,於放電區域2內部,使用有機氣體,電漿10係被生成,電漿10中之含有C4 F8 以及Ar之氣體的處理氣體之原子或分子係被活性化,所生成的包含CFx等之自由基(活性種)等的具有反應性之粒子,係通過氣體流路75以及細縫板78之貫通孔而附著在晶圓2之表面的包含鎢之膜的表面上。具備有反應性之粒子,係與作了附著的膜之表面之材料產生反應,並在該膜之上面形成基於電漿10之生成之條件或平台4之溫度等之處理的條件所制定之厚度之碳氟層。
在被形成了的碳氟層之最下面與包含鎢之膜之上面之間之邊界處,係產生有身為處理之對象的鎢和氟、碳作了混合的中間層,但是,在本例之情況中,由於平台4之溫度係被保持為-5℃之相較於上述實施例而相對性為低之值,因此該中間層之膜厚係成為較實施例而更小之0.1nm以下。在本例中,在上述之藉由與從使用有有機氣體之電漿而來之反應性粒子之間的相互作用而形成碳氟層的步驟中所形成之中間層,係藉由後續之藉由從IR燈管62而來之紅外線之照射而進行加熱的工程,而以良好之控制性來增大為所期望之厚度。
因此,在本例中,將平台4乃至於晶圓2之溫度維持為較實施例而更低之溫度並實施上述步驟S602一事係為合適。然而,若是在步驟S602處之平台4之溫度過低,則在進行加熱之工程等之後所被實施的1個循環內之工程中之溫度的條件之差係會變大,在升溫以及冷卻中所需要的時間係會變長,而會有使處理之產率降低之虞。基於此,在本例中,較理想,平台溫度在上述之步驟S602之工程的實施中,係將晶圓2之溫度維持於-30℃以上。
在步驟S602之結束後,因應於從控制部40而來之指令訊號,藉由調壓手段14以及排氣手段15之動作,處理室1內係被迅速地排氣,反應性粒子和有機氣體等之處理室1內之處理氣體的粒子係被去除,形成碳氟膜之工程係結束(步驟S603)。此時,係亦能夠以將有機氣體作置換的方式,而將Ar等之惰性(稀有)氣體供給至處理室1內部並促進有機氣體之排出。
接著,在步驟S604中,藉由將晶圓2上面升溫至50℃或者是其以上之值,並將此作特定時間之維持,係在包含鎢之膜與碳氟層之間之邊界面處,將含有鎢和氟之中間層以所期望之厚度來形成。在晶圓2之升溫之過程中,在碳氟層之CFx中所包含的氟等,係於包含鎢之膜內而擴散,中間層係被形成。之後,將晶圓2冷卻,而將晶圓2之溫度迅速地降低直到成為開始後續之步驟S605之處理的溫度以下。
在步驟S605中,於放電區域3處係被供給包含O2 以及Ar氣體之處理氣體。接著,在步驟S606中,使用處理氣體,電漿10係被生成,氣體的原子或分子係被活性化,所生成的自由基(活性種)等的具有反應性之粒子係被導入至處理室1內,並對於晶圓2之包含鎢之膜的表面而供給具備有反應性之粒子。其結果,包含O2 自由基之活性種與碳氟層以及中間層之材料之間的反應係被生成,並生成具有揮發性的反應生成物,該反應生成物,係由於其之揮發性,而從晶圓2或其之表面之膜構造而昇華或脫離,並被從包含鎢之膜的表面而去除。
作為此時所揮發的反應生成物,例如係可列舉出CO或CO2 等。在本步驟中之晶圓2之溫度,係藉由晶圓2所被作載置的平台4之溫度調節功能,而被調整為-5℃。從晶圓2而脫離了的此些之反應生成物之粒子,係藉由以調壓手段14或排氣手段15之動作所致之將處理室1內部之O2 或Ar氣體之粒子排出的動作或者是藉由此所產生的處理室1內部之粒子之移動的流動,而被從處理室1內部排出,將碳氟層去除之工程係結束。接著,在步驟S607中,含有O2 以及Ar之氣體係被從處理室1內部而排氣。
接著,在步驟S608中,藉由將晶圓2加熱並將其之表面的溫度升溫至150℃或者是其以上之值,並將此作特定時間之維持,係將在包含鎢之膜的表面上所殘留之中間層去除。在晶圓2之升溫之過程中,中間層係被分解並揮發,而被從包含鎢之膜之表面去除。
將上述之步驟S601~S608統整為一的1個循環係結束。藉由該循環,由於中間層係與碳氟層一同地而被去除,因此包含鎢之膜之膜厚係相應於此些之膜層的厚度之量地而被去除並變小。此膜厚之變化量,係身為上述之1個循環的蝕刻量。
接著,晶圓2係被冷卻,其之溫度係迅速地被降低至能夠開始步驟S601之值。之後,在控制器40處,係受訊從未圖示之膜厚檢測器而來之輸出,並根據基於此所得到的結果來判定所期望之蝕刻量之到達的有無,或者是判定是否滿足了包含「根據預先所進行之測試等所導出的能夠得到所期望的蝕刻量之上述循環之實施次數」之結束之條件(步驟S609),在判定為係滿足條件的情況時,係將包含鎢之膜的蝕刻處理結束,在判定為係並未滿足的情況時,係回到步驟S601,並再度實施循環。如此這般,在本實施例中,係反覆實施上述循環,直到得到所期望的蝕刻量為止。
以下,針對使用圖1之電漿處理裝置100,並作為碳氟層形成用之氣體而使用包含C4 F8 以及Ar之處理氣體,並在形成中間層之加熱中使用IR燈管62,並在碳氟層之去除中使用包含O2 以及Ar之氣體的處理氣體,並且在將中間層去除之加熱中使用IR燈管62,來進行本例之包含鎢之膜(鎢膜)之蝕刻的例子,而使用圖7來對於動作的順序作說明。圖7,係為對於在圖6所示之變形例的晶圓之處理中之處理的條件中所包含的複數之參數之伴隨著時間之經過的變化作展示之時序表。參數,係從上段起而依序展示有氣體供給流量、放電電力、靜電吸附、晶圓之溫度。
首先,在處理中之時刻t0處,因應於從控制部40而來之指令訊號,經由被設置在處理室1處之搬送口(省略圖示),預先於上面被形成有具備鎢膜的膜構造之晶圓2係被搬送至處理室1內部並被載置在平台4上,之後,從DC電源31而來之電力係被供給至靜電吸附電極30處,晶圓2係被靜電吸附於平台4上之介電質膜上而被作保持。進而,因應於從控制器40而來之指令訊號,藉由質量流控制器控制部51之對應於He氣體之質量流控制器50-6,被供給至晶圓2之背面與平台4之間之間隙處的晶圓冷卻用之He氣體之流量係被作調節而被作供給,該間隙之He氣體的壓力係被調節為特定之範圍內之值(731之狀態)。其結果,平台4與晶圓2之間之熱傳導係被促進,晶圓2之溫度係被維持為近似於平台4之溫度之值T1,該平台4,係使預先藉由冷卻器38而被設為特定之溫度的冷媒被供給至冷媒之流路39處並作循環。在本實施例中,晶圓2之溫度T1係被設為-5℃。
接著,在時刻t1處,因應於從控制部40而來之指令訊號,藉由質量流控制器50之複數之質量流控制器50-1以及50-5,供給至處理室1內之C4 F8 氣體以及Ar氣體的各者之流量係被調節為特定之範圍內之值,將此些之複數之種類之物質的氣體作了混合者,係作為處理用之氣體而以預先所制定了的範圍內之流量來被供給至處理室1內,並且調壓手段14之開度係被作調節,處理室1之內部以及石英腔12之內部的放電區域3內之壓力係被設為所期望之範圍內之值。於此狀態下,在時刻t2處,因應於從控制部40而來之指令訊號,從高頻電源20而來之特定之值W2之高頻電力係被供給至ICP線圈處(312之狀態),並在石英腔12之內部的放電區域3內而開始電漿放電,在石英腔12之內部係產生有電漿10。此時,為了將在電漿10有被生成的期間中之晶圓2之溫度保持為與生成前同等之溫度,對於IR燈管62係並未被供給有電力,晶圓2之溫度係被維持於包含-5℃之特定之容許範圍內之值。
在此狀態下,包含C4 F8 以及Ar之氣體之粒子的至少一部分係藉由電漿10而被激勵、解離或電離化,離子等之帶電粒子或者是活性種等之反應性粒子係被形成。於放電區域3處所被形成的活性種以及中性之氣體,係通過被形成於細縫板79處之細縫或貫通孔而被導入至處理室1內並被供給至晶圓2之表面,並附著或吸附於該晶圓2之鎢膜之表面上而與該膜之材料產生相互作用,碳氟層CFx係被形成。
在本例中,亦同樣的,在放電區域3內之電漿10中所被產生的離子,係藉由使細縫板78被配置在氣體之流路75內一事,而使對於處理室1內的移動被妨礙並幾乎不會射入至晶圓2之表面。因此,藉由電漿10所產生的離子與晶圓2上之膜構造之間之相互作用係被降低,鎢膜之蝕刻的進行係被作抑制。
被形成於鎢膜上之碳氟層之厚度,係依存於從此使用有包含C4 F8 以及Ar之處理氣體的電漿10而來之反應性粒子與鎢膜402之表面之材料之間的相互作用之時間,若是此時間越長,則厚度係變得越大。該相互作用的時間,係成為與在放電區域3內而電漿10所被形成的時間相同或者是近似為可視為相同的程度之同等之時間。作為發明者們所得到的知識,作為本例之用以形成中間層之時間,係以15秒便為充分,因此,在本例中,係將在放電區域3內的形成使用有包含C4 F8 以及Ar之處理氣體的電漿10之時間設為15秒。
亦即是,在圖7中,於時刻t2處,從高頻電源20而對於ICP線圈34的高頻電力之供給係被開始,在經過了15秒鐘以上之後的時刻t3處,因應於從控制部40而來之指令訊號,從高頻電源20而來之高頻電力的輸出係被停止,同時,對於放電區域3之包含C4 F8 以及Ar之處理氣體的供給係被停止。藉由此,在放電區域3內的電漿10係消失。又,在時刻t3~時刻t4之間,處理室1內之處理氣體和反應性粒子以及在碳氟層404、中間層405之形成中所產生的生成物等之粒子,係因應於從控制部40而來之指令訊號,而經由藉由調壓手段14來對於開度作了調節的真空排氣配管16以及排氣手段15,而被排氣至處理室1外部。進而,對於晶圓2背面與平台4上面之間之間隙的He氣體之供給係被停止,並且從DC電源31所供給至靜電吸附電極30處之直流電力係被停止,晶圓2之靜電吸附力係被解除或降低,閥52係被開啟,間隙內之He氣體係被排出至外部,間隙內之壓力係被設為與處理室1內之值相同或者是近似於可視為相同之程度的同等之值。
接著,在時刻t4處,因應於從控制部40而來之指令訊號,電力係從IR燈管用電源64起對於IR燈管62而作為輸出P1來被供給,紅外線係從IR燈管62而輻射至處理室1內之晶圓2處。從IR燈管62所輻射之IR光,係透過IR光透過窗74而被照射至晶圓2處,晶圓2係被加熱,溫度係上升。在從時刻t4起之特定之時間(在本例中係為10秒)之後的時刻t5處,晶圓2之溫度係到達T2=50℃或者是此以上之值。在時刻t5處,因應於從控制部40而來之指令訊號,從IR燈管用電源64而來之電力之輸出係被停止,從IR燈管62而來之IR光之照射係被停止。
在本例中,於時刻t4~t5之期間中,藉由將晶圓2之溫度從-5℃而升溫至50℃以上,係使在碳氟層CFx中所含有的氟等之粒子通過與碳氟層之間之邊界並在鎢膜中擴散,而在此些之膜層之間形成含有鎢和氟之中間層。此中間層之膜厚,在該中間層之形成的初期時,係依存於由IR燈管62所致之對於晶圓2作加熱的時間,而若是該時間越大則厚度越為增大,但是,在經過了特定之時間之後,中間層之形成之量係漸減,厚度係逐漸近似於一定之值。亦即是,中間層之由加熱所致之形成,係具備有自我飽和性地停止之特性。
另外,在上述之加熱晶圓2之工程中,當在時刻t4~t5之間而加熱晶圓2並使其之溫度到達了50℃或者是其以上之值之後,IR燈管62之輻射係被停止,但是,係亦可構成為進行在將IR燈管用電源64之輸出一旦增大之後再使其作降低等之調節,來在特定之時間中而將晶圓2之溫度維持為一定。又,晶圓2雖係在被載置於晶圓平台4之上的狀態下而被加熱,但是,係亦可在使用被收容於平台4之內部並從平台4之上面起而使其之前端朝向上方突出或朝向下方沉降的舉升銷而使晶圓2與晶圓平台4之上面相互分離並作了保持的狀態下,來照射IR光並進行加熱。於此情況,係亦可使用從IR燈管62所輻射並透過晶圓2而到達了被配置在平台4內部之光纖92-2處的光,來測定晶圓2之溫度。又,若是基於晶圓之面內之徑方向的溫度分布,來對於IR燈管62-1、62-2、62-3之電力比作控制,則為理想。
接著,在時刻t5處,因應於從控制器40而來之指令訊號,藉由質量流控制器50-1以及質量流控制器50-2的動作而使流量被作了調節的Ar氣體以及He氣體之各者,係被供給至處理室1之內部以及晶圓2背面與平台4之間之間隙處。藉由被供給有He氣體一事,晶圓2與平台4之間之熱傳導係被促進,晶圓2之溫度係從溫度T2而一直被冷卻至T1=-5℃。另外,在本例中,於該冷卻中所需要的時間,係為20秒。
接著,因應於從控制器40而來之指令訊號,在時刻t6處,藉由複數之質量流控制器50內部的質量流控制器50-1、50-2之動作而使流量被作了調節的包含O2 以及Ar氣體之處理氣體係被供給至放電區域3處。進而,調壓手段14係對於真空排氣配管16之流路的開度作調整,藉由包含氧之處理氣體之供給量與從真空排氣配管16而來之排氣之量之間的平衡,處理室1以及石英腔12之內部的放電區域3之壓力係被調節為特定之容許範圍內之值。於此狀態下,在時刻t7處,因應於從控制部40而來之指令訊號,從高頻電源20而來之高頻電力係被供給至ICP線圈34處(312之狀態),並在石英腔12內部的放電區域3處產生使用有包含氧之處理氣體之電漿10。
在本實施例中,於時刻t7處而從高頻電源20所輸出的高頻電力之大小,係與時刻t2之輸出相同的而被設為W2,但是係亦可因應於處理之條件而設為相異之值。進而,在本例中,為了在電漿10有被生成的期間中亦將晶圓2之溫度保持為與生成前同等之T1=-5℃,係並未被供給有用以使IR燈管62動作之電力。
在此狀態下,O2 氣體以及Ar氣體之粒子的至少一部分係藉由電漿10而被激勵、解離或電離化,離子等之帶電粒子或者是活性種等之反應性粒子係被形成。進而,於放電區域3處所被形成的中性之氣體以及活性種等之反應性粒子,係通過被形成於細縫板78處之細縫或貫通孔而被導入至處理室1內並被供給至晶圓2之表面。包含氧之自由基的反應性粒子係與晶圓2之碳氟層之材料產生相互作用並產生具有揮發性之反應生成物,反應生成物係揮發並從晶圓2之表面脫離,並藉由此而被從該表面去除,藉由此,在圖6之步驟S606中所示的碳氟層之蝕刻處理係進行。
在本例中,在放電區域3內之電漿10中所被產生的離子,係藉由使細縫板78被配置在氣體之流路75內一事,而使對於處理室1內的移動被妨礙並幾乎不會射入至晶圓2之表面。因此,除了碳氟層以外的藉由電漿10所產生的離子與晶圓2上之膜構造之間之相互作用係被降低,作為基底而殘留的鎢膜之蝕刻的進行係被作抑制。
另一方面,碳氟層之被去除之量,係依存於含有氧自由基之反應性粒子與此些之層之表面之材料之間的相互作用之時間以及產生有相互作用的晶圓2之表面之溫度,若是此時間越長,則係變得越大。在本實施例中,產生有相互作用的時間,係成為與在放電區域3內而電漿所被形成的時間相同或者是近似為可視為相同的程度之同等之時間。
若依據發明者們之檢討,則在本變形例之包含有晶圓2之溫度之處理的條件下,若是該時間經過10秒鐘,則在對應於圖6之步驟S602的時刻t2~t3之間之處理之工程中所被形成的碳氟層係幾乎全部變化為反應生成物並從膜構造之表面脫離而被去除。另外,此去除,由於若是碳氟層消失則會結束,因此從時刻t7起之步驟S606的工程可以說係具有自我飽和性。亦即是,在時刻t7處,從高頻電源20所對於ICP線圈34的高頻電力之供給被開始,在直到10秒鐘之後或者是其以後的時刻t8為止之期間中,碳氟層之蝕刻係自我飽和性地結束。
在時刻t8處,因應於從控制部40而來之指令訊號,從高頻電源20而對於ICP線圈34之高頻電力之輸出係被停止,並且對於放電區域3之包含O2 氣體之處理氣體的供給係被停止,在放電部3內部處的電漿10之形成係被停止。進而,因應於從控制部40而來之指令訊號,處理室1內之氣體係經由藉由調壓手段14來對於開度作了調節的真空排氣配管16以及排氣手段15,而被排氣至處理室1外部。
又,對於晶圓2背面與平台4上面之間之間隙的He氣體之供給係被停止,並且從DC電源31所供給至靜電吸附電極30處之直流電力係被停止,晶圓2之靜電吸附力係被解除或降低,閥52係被開啟,間隙內之He氣體係被排出至外部,間隙內之壓力係被設為與處理室1內之值相同或者是近似於可視為相同之程度的同等之值。以上之工程,係對應於圖6之步驟S607。
進而,在時刻t9處,因應於從控制部40而來之指令訊號,電力係從IR燈管用電源64起對於IR燈管62而作為輸出P1來被供給,紅外線係從IR燈管62而輻射至處理室1內之晶圓2處。從IR燈管62所輻射之IR光,係透過IR光透過窗74而被照射至晶圓2處,晶圓2係被加熱,溫度係上升。於本例中,在從時刻t9起之特定之時間(在本例中係為25秒)之後,晶圓2之溫度係到達T3=150℃或者是此以上之值。
在經過該特定之時間之後的時刻t10處,因應於從控制部40而來之指令訊號,從IR燈管用電源64而來之電力之輸出係被停止,從IR燈管62而來之IR光之照射係被停止。藉由使晶圓2之溫度從-5℃而升溫至150℃以上,中間層之材料係被分解,WFx和WOxFy等之具備有揮發性的物質係被生成。在本例中,於時刻t9~t10之間之晶圓2之加熱的期間中,幾乎全部的被形成於鎢膜上之中間層係會變化為此些之物質並從晶圓2之鎢膜之表面而揮發或脫離,而被從鎢膜上去除。亦即是,中間層之由加熱所致之去除,係具備有自我飽和性地停止之特性。
另外,在此加熱工程時,在晶圓2之溫度到達了150℃以上之後,IR燈管62之輻射係被停止,但是,係亦可構成為藉由控制部40來將IR燈管用電源64之輸出一旦增大,之後再使其作降低,來在特定之時間中使晶圓2之溫度成為一定。又,係亦可使用舉升銷等來使晶圓2在晶圓平台4之上面上方處而分離並藉由IR光來進行加熱。又,於此情況,係亦可使用從IR燈管62所輻射並透過晶圓2而到達了平台4內部之光纖92-2處的IR光,來測定晶圓2之溫度。又,若是基於晶圓之面內之徑方向的溫度分布,來對於IR燈管62-1、62-2、62-3之電力的大小或此些之比例作控制,則為理想。
接著,在時刻t10處,因應於從控制器40而來之指令訊號,藉由質量流控制器50-1以及質量流控制器50-2的動作而使流量被作了調節的Ar氣體以及He氣體之各者,係被供給至處理室1之內部以及晶圓2背面與平台4之間之間隙處。藉由被供給有He氣體一事,晶圓2與平台4之間之熱傳導係被促進,晶圓2之溫度係從溫度T3而一直被冷卻至T1=-5℃。另外,在本例中,於該冷卻中所需要的時間,係為30秒。以上之工程,係對應於圖6之步驟S608。
進而,在時刻t10之後的時刻t11處,如同在圖6中所說明一般,係判定晶圓2上之鎢膜之蝕刻量或者是殘留膜厚是否到達了所期望之值(對應於步驟S609),因應於判定結果,係進行下一個的循環之開始或者是晶圓2之處理之結束的工程。
如同上述一般,由「形成使用有包含C4 F8 以及Ar氣體之處理氣體的電漿10而在晶圓2上面形成碳氟層之工程」和「使用IR燈管62來加熱晶圓2並形成中間層之工程」和「形成使用有包含O2 以及Ar氣體之處理氣體的電漿並將碳氟層去除之工程」以及「使用IR燈管62來加熱晶圓2而將中間層去除之工程」所構成的包含步驟S601~S609之1個的循環之蝕刻處理係結束。在本例中之1個循環的鎢膜之蝕刻量,係為2nm/循環。例如,當需要20nm之蝕刻量的情況時,係使控制部40檢測出「將上述之1個的循環反覆進行10次並得到了所期望的蝕刻量」一事,晶圓2之鎢膜之蝕刻處理係結束。
圖8係為對於在上述實施例以及變形例的晶圓之處理中之包含有包含鎢之膜的膜構造之變化的概略內容作示意性展示之縱剖面圖。在本圖中所示之膜構造,係與圖9中所示者相同。又,同樣的,係對於「在被形成於膜構造為被配置在基底膜911上之多晶矽膜901處的溝內而將鎢膜902以及二氧化矽膜903交互地作了層積的層積膜並將此層積膜於上下方向作貫通所形成的高縱橫比之溝911之內側壁之表面處,相對於二氧化矽膜903而僅將鎢膜902於橫方向上選擇性地作蝕刻」的工程作展示。
圖8(a),係對於蝕刻處理被開始之前的膜構造之狀態作展示。圖8(b),係對於被實施了圖2中所示之實施例之步驟S202或者是圖6中所示之變形例之步驟S602~S604之後的狀態之膜構造作展示,圖8(c),係對於被實施了圖2中所示之步驟S205或者是圖6中所示之步驟S606~S608之後的狀態之膜構造作展示。圖8(d),係對於將圖2或圖6中所示之工程的1個循環作了複數次實施後之狀態的膜構造作展示。
如同圖8(c)中所示一般,在上述實施例以及變形例中,被形成於鎢膜902之表面處的中間層405之所被形成之量(特別是厚度)由於係身為具有自我飽和性的特性,因此,在結束了將碳氟層之形成和中間層之形成以及碳氟層之去除和中間層之去除作了組合的1個循環之後的時間點處之蝕刻量,係在溝911之深度方向之複數之鎢膜902處而使參差被作抑制,並更為接近於均勻。因此,在圖8(d)中所示之將上述循環作了複數次反覆之後的蝕刻量之在溝911之深度方向上的參差亦被作抑制,而能夠得到更為接近於均勻之蝕刻量的分布。另外,在變形例中,1個循環之蝕刻量,係能夠因應於所要求的加工尺寸,來藉由對於在形成了碳氟層404之後所進行的使用有IR燈管62之加熱晶圓2之工程的時間之長度作增減,而適宜作調節。
圖10,係為針對在圖6所示之實施例之晶圓之處理中的相對於使碳氟層形成的處理之時間之長度的每一循環之蝕刻量之變化作展示之圖表。作為參數,係使用在形成碳氟層之工程之後所實施的使用有IR燈管62之加熱晶圓2之時間,並將在各該加熱時間處的相對於形成碳氟層之處理之時間的變化之蝕刻量之變化以實線來作展示。
如同本圖中所示一般,係得知了,在形成碳氟層之處理之時間之長度為小的情況時,伴隨著該時間之長度增大,1個循環的蝕刻量係增大,但是,若是將該處理之時間之長度更進而增大,則係具備有蝕刻量係漸減並逐漸接近特定之值的自我飽和性。又,係得知了,若是使由IR燈管62所致之加熱晶圓2之時間增大、亦即是使加熱之量或溫度之變化增大,則1個循環的蝕刻量係增大。根據在本圖中所示之結果,係得知了,1個循環的蝕刻量,係可藉由加熱晶圓2之時間的增減來作調節。
又,在上述之變形例中,作為用以形成碳氟層之處理氣體,雖係使用了包含C4 F8 以及Ar氣體者,但是,係亦可使用像是包含CH2 F2 或CH3 F與Ar者等之其他的含有氟之有機氣體。另外,本發明係並不被限定於上述之例,而亦包含有各種的變形例。係能夠以與在上述實施例中所揭示的構成實質性為相同之構成、發揮相同的作用效果之構成或者是能夠達成相同的目的之構成來作置換。
例如,在上述變形例中,於碳氟層之形成之後,雖係進行了由IR燈管62所致之晶圓2之加熱,但是係亦可將碳氟層之形成與由IR燈管62所致之加熱同時進行。又,在上述之例中,於將碳氟層去除之工程之後,雖係以IR燈管62而將晶圓2加熱並進行了中間層的去除,但是係亦可將碳氟層之去除和以由IR燈管62所致之晶圓2之加熱而進行的中間層之去除同時進行。
又,在上述圖1之電漿處理裝置100中,雖係將IR燈管62配置在包圍放電區域3之石英腔12之外周的處理室1上方之真空容器外部處,但是係亦可配置在石英腔12或真空容器之內部。又,上述之例,係為為了對於本發明作易於理解之說明而作了詳細說明者,本發明係並不被限定於具備有上述所作了說明的全部之構成者。
1:處理室 2:晶圓 3:放電區域 4:平台 5:噴淋板 6:頂板 10:電漿 11:基礎腔 12:石英腔 14:調壓手段 15:排氣手段 16:真空排氣配管 17:氣體分散板 20:高頻電源 22:整合器 25:高頻截波濾波器 30:靜電吸附用電極 31:DC電源 34:ICP線圈 38:冷卻器 39:冷媒之流路 40:控制部 41:演算部 50:質量流控制器 51:質量流控制器控制部 52,53,54:閥 60:容器 62:IR燈管 63:反射板 64:IR燈管用電源 70:熱電偶 71熱電偶溫度計 74:光透過窗 75:氣體之流路 78:細縫板 81:O形環 92:光纖 93:外部IR光源 94:光路開關 95:光分配器 96:分光器 97:檢測器 98:光多工器 100:電漿處理裝置 200:氣體供給流量 210:放電電力 220:IR燈管電力 230:靜電吸附以及背面He壓力 240:晶圓溫度 401:矽基板 402:鎢膜 403:活性種 404:碳氟層 405:中間層 406:反應生成物
[圖1] 係為對於本發明之實施例的電漿處理裝置之概略構成作示意性展示之縱剖面圖。 [圖2] 係為對於本發明之實施例的電漿處理裝置所實施之預先被形成於晶圓上的包含鎢之膜之蝕刻處理的概略流程作展示之流程圖。 [圖3] 係為對於在圖1所示之實施例的晶圓之處理中之處理的條件中所包含的複數之參數之伴隨著時間之經過的變化作展示之時序表。 [圖4] 係為對於圖3中所示之實施例的在晶圓之處理中之包含有包含鎢之膜的膜構造之變化的概略內容作示意性展示之圖。 [圖5] 係為對於在圖1所示之本實施例的電漿處理裝置所實施之蝕刻處理中的循環數與蝕刻之量之間之關係作展示之圖表。 [圖6] 係為對於圖1中所示之實施例的電漿處理裝置所實施之蝕刻處理之變形例的處理之概略流程作展示之流程圖。 [圖7] 係為對於在圖6所示之變形例的晶圓之處理中之處理的條件中所包含的複數之參數之伴隨著時間之經過的變化作展示之時序表。 [圖8] 係為對於在圖1所示之實施例以及圖6所示之變形例的晶圓之處理中之包含有包含鎢之膜的膜構造之變化的概略內容作示意性展示之縱剖面圖。 [圖9] 係為針對在對於被形成於處理對象之半導體晶圓等之基板上的試料上並使複數之膜層上下鄰接地作了層積的特定之膜構造而施加了由先前技術所致之電漿蝕刻的情況時之該膜構造之變化作示意性展示之縱剖面圖。 [圖10] 係為針對在圖6所示之實施例之晶圓之處理中的相對於使碳氟層形成的處理之時間之長度的每一循環之蝕刻量之變化作展示之圖表。
1:處理室
2:晶圓
3:放電區域
4:平台
5:噴淋板
6:頂板
10:電漿
11:基礎腔
12:石英腔
14:調壓手段
15:排氣手段
16:真空排氣配管
17:氣體分散板
20:高頻電源
22:整合器
25:高頻截波濾波器
30:靜電吸附用電極
31:DC電源
34:ICP線圈
38:冷卻器
39:冷媒之流路
40:控制部
41:演算部
50,50-1,50-2,50-3,50-4,50-5:質量流控制器
51:質量流控制器控制部
52:閥
60:容器
62,62-1,62-2,62-3:IR燈管
63:反射板
64:IR燈管用電源
70:熱電偶
71熱電偶溫度計
74:光透過窗
75:氣體之流路
78:細縫板
81:O形環
92-1,92-2:光纖
93:外部IR光源
94:光路開關
95:光分配器
96:分光器
97:檢測器
98:光多工器
100:電漿處理裝置

Claims (4)

  1. 一種電漿處理方法,其特徵為,係具備有:第1工程,係在處理室內配置處理對象之晶圓,並對於前述處理室內供給含有氟之有機性氣體之電漿,而在預先被形成於前述晶圓上面之包含鎢之處理對象之膜層的上面使碳氟層堆積,並且在該碳氟層與前述處理對象之膜層之間形成包含前述處理對象之膜層之鎢以及氟並且具有自我飽和性之中間層;和第2工程,係將使用含有氧之氣體而在前述處理室內所形成的電漿中之粒子供給至前述處理對象之膜層之上面,來將前述碳氟層以及前述中間層去除。
  2. 如請求項1所記載之電漿處理方法,其中,前述第1工程,係包含有在使前述碳氟層作了堆積之後,對於前述晶圓之上面進行加熱而形成前述具有自我飽和性之中間層之工程,前述第2工程,係包含有在將使用有前述含有氧之氣體的電漿中之粒子作供給並將前述碳氟層去除之後,將前述晶圓加熱而將前述中間層去除之工程。
  3. 如請求項2所記載之電漿處理方法,其中,在前述第1工程或第2工程中,係將紅外線對於前述晶圓上面作照射並加熱該晶圓。
  4. 如請求項2或3所記載之電漿處理方法,其中,在前述第2工程中,係將包含有前述將碳氟層去除之工程以及將前述晶圓加熱而去除前述中間層之工程的複數之工程,作為1個循環,並反覆進行複數次之該循環。
TW109107070A 2019-04-22 2020-03-04 電漿處理方法及電漿處理裝置 TWI748360B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2019/016930 WO2020217266A1 (ja) 2019-04-22 2019-04-22 プラズマ処理方法およびプラズマ処理装置
WOPCT/JP2019/016930 2019-04-22

Publications (2)

Publication Number Publication Date
TW202040688A TW202040688A (zh) 2020-11-01
TWI748360B true TWI748360B (zh) 2021-12-01

Family

ID=72941582

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109107070A TWI748360B (zh) 2019-04-22 2020-03-04 電漿處理方法及電漿處理裝置

Country Status (6)

Country Link
US (1) US11217454B2 (zh)
JP (1) JP6963097B2 (zh)
KR (1) KR102386601B1 (zh)
CN (1) CN112119485B (zh)
TW (1) TWI748360B (zh)
WO (1) WO2020217266A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features
WO2023002521A1 (ja) * 2021-07-19 2023-01-26 株式会社日立ハイテク 半導体製造装置および半導体製造装置のクリーニング方法
US20240222138A1 (en) * 2021-10-21 2024-07-04 Hitachi High-Tech Corporation Etching method and etching apparatus
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统
WO2023170732A1 (ja) * 2022-03-07 2023-09-14 株式会社日立ハイテク プラズマ処理方法
CN117296135A (zh) * 2022-04-26 2023-12-26 株式会社日立高新技术 等离子处理方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091321A (ja) * 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
TW201415560A (zh) * 2012-08-27 2014-04-16 Lam Res Corp 在增大的電漿處理系統中之電漿加強蝕刻
JP2016139792A (ja) * 2015-01-05 2016-08-04 ラム リサーチ コーポレーションLam Research Corporation 異方性タングステンエッチングのための方法および装置
TW201642713A (zh) * 2015-05-22 2016-12-01 Hitachi High Tech Corp 電漿處理裝置及使用彼之電漿處理方法
TW201643950A (zh) * 2010-08-23 2016-12-16 Tokyo Electron Ltd 電漿處理方法及電漿處理裝置
JP2017063186A (ja) * 2015-08-19 2017-03-30 ラム リサーチ コーポレーションLam Research Corporation タングステンおよび他の金属の原子層エッチング
US20190067032A1 (en) * 2017-08-23 2019-02-28 Hitachi High-Technologies Corporation Etching method and etching apparatus

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4838990A (en) * 1987-07-16 1989-06-13 Texas Instruments Incorporated Method for plasma etching tungsten
US4923562A (en) * 1987-07-16 1990-05-08 Texas Instruments Incorporated Processing of etching refractory metals
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0645326A (ja) * 1992-04-08 1994-02-18 Nec Corp 半導体装置の製造方法
JP3238563B2 (ja) 1994-03-16 2001-12-17 株式会社東芝 半導体装置の製造方法
US5712592A (en) 1995-03-06 1998-01-27 Applied Materials, Inc. RF plasma power supply combining technique for increased stability
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
JP2894304B2 (ja) * 1996-12-20 1999-05-24 日本電気株式会社 半導体装置の製造方法
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
JP3565311B2 (ja) 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6492277B1 (en) 1999-09-10 2002-12-10 Hitachi, Ltd. Specimen surface processing method and apparatus
US6265831B1 (en) 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP3593492B2 (ja) 2000-06-13 2004-11-24 株式会社日立製作所 プラズマ処理方法
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US6677711B2 (en) 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US7084832B2 (en) 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6919689B2 (en) 2002-09-26 2005-07-19 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2005259839A (ja) 2004-03-10 2005-09-22 Nec Electronics Corp 半導体装置の製造方法
KR100710923B1 (ko) 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 플라즈마 처리장치 및 임피던스 조정방법
CN102184830B (zh) 2004-07-30 2012-07-25 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
JP4699127B2 (ja) 2004-07-30 2011-06-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20060037704A1 (en) 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100657762B1 (ko) * 2005-09-07 2006-12-14 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
JP5041713B2 (ja) 2006-03-13 2012-10-03 東京エレクトロン株式会社 エッチング方法およびエッチング装置、ならびにコンピュータ読取可能な記憶媒体
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP5426811B2 (ja) 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US8129283B2 (en) 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP4882824B2 (ja) 2007-03-27 2012-02-22 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2010016124A (ja) 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
JP5808697B2 (ja) 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
JP2014082354A (ja) 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
JP2015056519A (ja) 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
JP2015185594A (ja) 2014-03-20 2015-10-22 株式会社日立ハイテクノロジーズ エッチング装置
US9418869B2 (en) 2014-07-29 2016-08-16 Lam Research Corporation Method to etch a tungsten containing layer
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
CN111627807B (zh) * 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置
JP6832171B2 (ja) * 2017-01-24 2021-02-24 東京エレクトロン株式会社 プラズマ処理装置のチャンバ本体の内部のクリーニングを含むプラズマ処理方法
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091321A (ja) * 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
TW201643950A (zh) * 2010-08-23 2016-12-16 Tokyo Electron Ltd 電漿處理方法及電漿處理裝置
TW201415560A (zh) * 2012-08-27 2014-04-16 Lam Res Corp 在增大的電漿處理系統中之電漿加強蝕刻
TW201711110A (zh) * 2012-08-27 2017-03-16 蘭姆研究公司 在增大的電漿處理系統中之電漿加強蝕刻
JP2016139792A (ja) * 2015-01-05 2016-08-04 ラム リサーチ コーポレーションLam Research Corporation 異方性タングステンエッチングのための方法および装置
TW201642713A (zh) * 2015-05-22 2016-12-01 Hitachi High Tech Corp 電漿處理裝置及使用彼之電漿處理方法
TW201739323A (zh) * 2015-05-22 2017-11-01 日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
TW201832621A (zh) * 2015-05-22 2018-09-01 日商日立全球先端科技股份有限公司 電漿處理裝置及使用彼之電漿處理方法
JP2017063186A (ja) * 2015-08-19 2017-03-30 ラム リサーチ コーポレーションLam Research Corporation タングステンおよび他の金属の原子層エッチング
US20190067032A1 (en) * 2017-08-23 2019-02-28 Hitachi High-Technologies Corporation Etching method and etching apparatus

Also Published As

Publication number Publication date
JP6963097B2 (ja) 2021-11-05
US20210242030A1 (en) 2021-08-05
CN112119485A (zh) 2020-12-22
KR102386601B1 (ko) 2022-04-15
US11217454B2 (en) 2022-01-04
JPWO2020217266A1 (ja) 2021-05-06
CN112119485B (zh) 2024-01-02
TW202040688A (zh) 2020-11-01
KR20200125575A (ko) 2020-11-04
WO2020217266A1 (ja) 2020-10-29

Similar Documents

Publication Publication Date Title
TWI748360B (zh) 電漿處理方法及電漿處理裝置
US9735020B2 (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
KR102102264B1 (ko) 에칭 방법 및 에칭 장치
TWI576914B (zh) Pattern forming method and substrate processing system
US10236162B2 (en) Method of etching porous film
US20130295774A1 (en) Plasma etching method
KR20180028919A (ko) 에칭 방법 및 에칭 장치
TWI813187B (zh) 蝕刻方法
WO2023152941A1 (ja) エッチング処理方法
TWI812063B (zh) 蝕刻方法
WO2023148797A1 (ja) エッチング方法
US20230085078A1 (en) Etching processing method and etching processing apparatus
KR101066972B1 (ko) 플라즈마처리장치 및 플라즈마처리방법