TW201415560A - 在增大的電漿處理系統中之電漿加強蝕刻 - Google Patents

在增大的電漿處理系統中之電漿加強蝕刻 Download PDF

Info

Publication number
TW201415560A
TW201415560A TW102130651A TW102130651A TW201415560A TW 201415560 A TW201415560 A TW 201415560A TW 102130651 A TW102130651 A TW 102130651A TW 102130651 A TW102130651 A TW 102130651A TW 201415560 A TW201415560 A TW 201415560A
Authority
TW
Taiwan
Prior art keywords
plasma
feed gas
generating region
etching
substrate
Prior art date
Application number
TW102130651A
Other languages
English (en)
Other versions
TWI578408B (zh
Inventor
Eric A Hudson
Andrew D Bailey Iii
Rajinder Dhindsa
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201415560A publication Critical patent/TW201415560A/zh
Application granted granted Critical
Publication of TWI578408B publication Critical patent/TWI578408B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本發明提供用於蝕刻在電漿處理腔室中之基板的方法,該電漿處理腔室具有至少第一電漿產生區域和由半障壁結構與該第一電漿產生區域分開之第二電漿產生區域。本方法包含從在第一電漿產生區域中之第一進料氣體產生第一電漿。本方法更包含從在第二電漿產生區域中之第二進料氣體產生第二電漿,以使來自該第二電漿之至少一些物種遷移進入該第一電漿產生區域中。本方法可附加地包含在該第一電漿已使用來自該第二電漿之遷移物種增大後,使用該第一電漿蝕刻該基板。

Description

在增大的電漿處理系統中之電漿加強蝕刻 【優先權主張】
本申請案主張於2012年8月27日提出申請、Eric A.Hudson之名為「在增大電漿處理系統中之電漿加強蝕刻」的美國專利申請案第61/693,382號之共同擁有的臨時專利申請案之優先權,其係特別併入於此作為參考。
本發明關於在增大的電漿處理系統中之電漿加強蝕刻方法。
電漿增強處理長期以來被用於將基板處理為積體電路晶粒,積體電路晶粒可接著進一步處理為用於各種電子元件中之積體電路。電漿增強處理包含,例如,電漿增強蝕刻、電漿增強沉積、電漿增強清洗、及其相似物等。
在電漿增強蝕刻領域中,電漿係通常由可包含不同成分氣體的蝕刻進料氣體所產生。進料氣體係由能量源所充能,以形成用以蝕刻基板表面之電漿。藉由使用各種遮罩,可在基板的各層上產生不同的圖案。電漿本身可藉由使用一或更多電漿產生技術所產生,包含例如,電感耦合電漿、電容耦合電漿、微波電漿等等。
用於蝕刻介電晶圓膜的商業用電漿腔室係主要基於平行板電容耦合電漿(CCP)。在此類型的腔室中,在一或更多射頻頻率的射頻激發係從一或更多射頻源施加至一或更多電極,以從所提供的蝕刻源(進料) 氣體產生蝕刻電漿。腔室的蝕刻特性係經由許多輸入參數之變化加以控制,包含,例如,壓力、進料氣體之選擇、每個進料氣體的流率、射頻源之功率等。
即使有了這些眾多的控制參數,吾人已知電漿的化學和物理特性為相互依存且難以獨立控制的。換言之,改變一個輸入參數(如射頻功率或壓力)往往導致數個電漿參數之改變及/或數個蝕刻結果參數之改變。各種電漿特性及/或各種晶圓蝕刻結果之間的相互依賴關係,在用於現今介電蝕刻的應用中之類型的窄間隙、電容耦合電漿處理腔室中往往被放大。
為了詳細說明,考慮一個僅使用CF4進料氣體和單一射頻激發頻率之簡單的範例蝕刻處理。隨著射頻功率之增加,電漿-表面相互作用之聚合化的程度會有所變化,通常增加至最大並接著減少。此行為反映了在較低射頻功率之CF4的分解,以形成聚合自由基物種,如CF2。在較高的射頻功率時,此等自由基之二次分解形成了聚合較少之物種,如C+F。此現象使用射頻功率設定,提供在電漿中之聚合程度的一些控制。
然而,輸入射頻功率之改變亦影響電漿之物理性質,例如電漿密度、離子通量、和離子能量。這是因為電漿之化學性質的控制,如聚合反應,係受到控制電漿的物理性質(如電漿密度)之相同的參數(如射頻功率)所影響,俾使化學和物理性質高度地相互依存。
若是當一或更多輸入參數受到操縱時,可將對電漿特性之影響去耦合,便可實現對晶圓蝕刻結果之更精確的控制以及更寬的製程容許度。例如,若一特定聚合物種之密度可獨立於離子通量或電子溫度加以控制(即,以去耦合之方式),便可實現對晶圓蝕刻結果之更精確的控制以及更寬的製程容許度。
改善電漿特性及/或處理蝕刻結果之間的去耦合,以使蝕刻最佳化以滿足當前和未來的蝕刻規範,為本發明之各種實施例的許多目標之一。
在一實施例中,本發明關於一種用於蝕刻在電漿處理腔室中之基板的方法,該電漿處理腔室具有至少第一電漿產生區域和由半障壁結構與第一電漿產生區域分開之第二電漿產生區域。本方法包含提供第一進料氣體至第一電漿產生區域中。本方法更包含提供第二進料氣體至第二電漿產生區域中,第二進料氣體係不同於第一進料氣體。本方法可附加地包含從第一進料氣體產生第一電漿,從第二進料氣體產生第二電漿,以及使用至少第一電漿和來自第二電漿之中性物種蝕刻基板,該中性物種穿過半障壁結構,從第二電漿產生區域遷移至第一電漿產生區域。
在另一實施例中,本發明關於一種用於蝕刻在電漿處理腔室中之基板的方法,該電漿處理腔室具有至少一第一電漿產生區域和由半障壁結構與第一電漿產生區域分開之第二電漿產生區域。本方法包含提供第一進料氣體至第一電漿產生區域中。本方法亦包含提供第二進料氣體至第二電漿產生區域中,第二進料氣體係不同於第一進料氣體。本方法可附加地包含從第一進料氣體產生第一電漿以及從第二進料氣體產生第二電漿。本方法亦包含使用至少第一電漿和來自第二電漿之中性物種蝕刻基板,該中性物種穿過半障壁結構,從第二電漿產生區域遷移至第一電漿產生區域。本方法更包含其後關閉至第一電漿產生區域之功率源,從而抑制第一電漿之形成。本方法亦包含提供另一第二進料氣體進入第二電漿產生區域以產生另一第二電漿,且其後,在來自該另一第二電漿之物種遷移穿過該障壁結構後,使用來自該另一第二電漿之物種在該基板上進行下游電漿處理。
100‧‧‧電漿腔室
102‧‧‧第一電漿區域
104‧‧‧基板
106‧‧‧上部電極組件
106A‧‧‧下表面
106B‧‧‧上表面
108‧‧‧夾盤
110‧‧‧射頻功率源
120‧‧‧第二電漿區域
132‧‧‧頂部電極組件
134‧‧‧腔室壁
136‧‧‧射頻電源供應
150‧‧‧孔或槽
152‧‧‧第一進料氣體輸送系統
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
本發明係藉由例示而非限制之方式顯示於隨附圖式中之圖形,且其中相似的參考數字表示相似的元件,且其中:圖1顯示根據本發明之一實施例之增大的電漿處理腔室之圖,該電漿處理腔室包含兩個電漿產生區域。
圖2顯示根據本發明之一實施例的一般方法,用以使用增大的電漿處理腔室進行介電質蝕刻。
圖3顯示根據本發明之各種實施例中,用以使用增大的電漿處理腔室進行介電質蝕刻之參數的各種組合。
本發明現將參照如隨附圖式中呈現之其若干較佳實施例加以詳述。在以下敘述中,提出許多具體細節以提供對本發明之深入了解。然而對熟悉本技藝者將顯而易見,本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其它情況下,已為人所熟知之程序步驟以及/或是結構將不再詳述,以不非必要地使本發明失焦。
各種實施例係描述於下,包含方法和技術。吾人應謹記本發明亦可涵蓋包含電腦可讀取媒體之製品,該電腦可讀取媒體上儲存了實施本發明技術之實施例的電腦可讀取指令。電腦可讀取媒體可包含例如半導體、磁性、光磁、光學、或其他形式之用以儲存電腦可讀取碼的電腦可讀取媒體。再者,本發明亦可涵蓋用以實施本發明實施例的設備。此設備可包含專用及/或可程式化之電路,以實施與本發明之實施例相關的任務。此設備之範例包含適當程式化之通用電腦,且可包含電腦/計算裝置及專用/可程式化之電路之組合,此組合係適用於和本發明之實施例相關的各種任務。
一般來說,在輸入參數與其對於處理結果參數之影響耦合的腔室中,蝕刻處理最佳化往往涉及一些取捨。相對於一蝕刻結果參數(如蝕刻率)調諧蝕刻處理以達成蝕刻規格,常會導致另一蝕刻結果參數的改變(如蝕刻選擇性),且往往為有害的改變。在一些情況下無法找出可接受的取捨,且使用既定的配方無法達成期望之蝕刻。在其他情況下,需執行耗時且反覆的最佳化步驟,以找出能夠在各種蝕刻結果參數之間達成可接受之取捨的適當輸入參數組合。
本發明之實施例旨在減少選擇性蝕刻結果參數之間的相互依賴性。藉由降低特定輸入參數與其對於處理結果參數的影響之耦合,可以一種更獨立於其他處理結果參數的方法調諧各別的處理結果參數。換言之,本發明之實施例旨在藉由改變某些輸入參數,以實質上獨立於或更獨 立於其它處理結果參數的方式,影響某些各別的處理結果參數。此去耦合的淨效應是對於輸入參數(即控制參數)之更快的調諧,以實現具有一組期望之目標處理結果參數的處理結果。在一或更多實施例中,更寬的製程容許度係藉由將處理結果參數去耦合而達成。在這些情況下,可實現新的且從前無法取得的基板處理條件,包含在先前技術之腔室所無法取得的狀態,在此等先前技術之腔室中,當一或更多輸入參數改變時,處理結果參數較為耦合。
在一或更多實施例中,第二電漿係產生並用以影響主要用以蝕刻基板的第一電漿。在介電質蝕刻的特定情況下,硬體的改良涉及運用產生於獨立的電漿產生區域中之第二電漿以增大平行板電容耦合腔室。第二電漿將化學及/或物理激發的中性物種注入主要晶圓處理電漿區域中,導致用於處理基板之增大的第一電漿。
在一或更多實施例中,第一電漿(其係位於晶圓表面之正上方的晶圓處理電漿區域中)和第二電漿(其係產生於單獨的第二電漿產生區域中並注入該晶圓處理電漿區域中)係由半障壁結構電性地和物理性地分離,此半障壁結構使中性物種可從第二電漿產生區域穿過至第一電漿產生區域,但防止帶電粒子、電漿、及/或電場明顯地從第一電漿產生區域轉移至第二電漿產生區域。
在一或更多實施例中,第二電漿具有獨立的激發源,例如射頻電源或微波源,此激發源可受控制,以在不直接地或顯著地影響在第一電漿產生區域中之帶電粒子或電場的情況下影響第二電漿。用以產生第二電漿的技術並不侷限於任何特定的電漿產生技術且可包含,例如,電感耦合電漿、電容耦合電漿、微波電漿、ECR(電子迴旋電漿)等等。
在一實施例中,在第一電漿產生區域中的第一電漿(即,位於晶圓表面之上方以蝕刻基板表面的電漿)係使用平行板配置、經由電容耦合機制所產生。在本實施例中,基板係放置在由一或更多射頻信號所充能的夾盤上。此第一電漿產生區域之上部電極係接地,且一或更多限制環可用以進一步限制第一電漿及/或控制排氣率。第一電漿係使用自己的一組輸入參數以及其第一進料氣體所產生,第一進料氣體可包含一組用以形 成第一電漿之(複數)成分進料氣體。此CCP(電容耦合電漿)配置係或多或少為典型的電容耦合電漿處理腔室。
除了第一電漿,第二電漿係使用一組不同的控制參數並利用第二進料氣體,產生於單獨的第二電漿產生區域中,第二進料氣體可包含一組用以產生第二電漿之(複數)成分進料氣體。中性物種係經由上述的半障壁結構,從第二電漿轉移至第一電漿,影響在基板處之處理條件並從而改變處理結果。在一或更多實施例中,本系統係設計以使第一電漿性質對第二電漿之影響最小化,同時使第二電漿性質對第一電漿的影響最大化。
由於第二電漿的電漿性質係實質上與影響第一電漿之控制參數去耦合,因此引入以產生第二電漿之新的控制參數代表額外的處理控制參數,此等參數與第一電漿控制參數並非緊密耦合。
以此方式,本發明之實施例在使控制參數去耦合上達成顯著的改善,並提供獨立調諧各個處理結果之更佳能力。此導致限制較少的取捨和更快地將蝕刻最佳化的能力,以實現一組現已更加去耦合的處理結果。可附加地,本發明亦可開發運用習知方法所無法實現的第一電漿性質狀態,從而提供新的處理能力。
另外,在一或更多特定的實施例中,與第二電漿相關的控制參數係著重於控制第二進料氣體之解離,而與第一電漿相關的控制參數係著重於控制第一進料氣體之離子化。由於用以控制解離的機制係與用以控制離子化之機制去耦合,因此得以達成改善之處理最佳化和新的操作容許度。
本發明實施例之特點及優點可參照以下之圖式及討論加以深入理解。
圖1顯示,根據本發明之一實施例之增大的電漿腔室100,其具有兩個獨立的電漿產生區域。圖1中顯示第一電漿區域102,其最底部及最頂部係分別以基板104和上部電極組件106作為界限。亦可選擇性地採用一組限制環(習知且未示出)以包圍第一電漿區域102,以防止在第一電漿產生區域外之不必要的電漿點燃及/或控制來自第一電漿產生區域102之副產品廢氣的排氣速率。
在圖1之實施例中,基板104置於夾盤108上,夾盤108作為第一電漿產生區域102之供電電極。夾盤108係由具有一或更多射頻信號之射頻功率源110所充能。上部電極組件106之下表面106A係接地並作為在第一電漿產生區域102中之第一電漿的第二電極。此第一電漿係使用平行板、電容耦合電漿機制由第一進料氣體所產生,該第一進料氣體包含一或更多用以形成第一電漿之成分氣體。第一進料氣體係從圖1中之第一進料氣體輸送系統152直接饋入至第一電漿區域102中。
在圖1所示之範例中,第二電漿區域120亦為另一平行板電容耦合之配置,其最底部及最頂部係分別以上部電極組件106之上表面106B和頂部電極組件132作為界限。在圖1所示之範例中,第二電漿區域120係以腔室壁作為界限,雖然亦可選擇性地使用一組限制環(習知且未示出)包圍第二電漿區域120以防止在第二電漿產生區域外之不必要的電漿點燃,及/或控制來自第二電漿產生區域120之副產品廢氣的排氣速率。頂部電極組件132可為可移動,以控制第二電漿產生區域之體積及/或控制氣體之滯留時間。氣體滯留時間亦可藉由控制副產物之排氣速率加以控制。
上部電極組件106之上表面106B係接地,並作為在第二電漿產生區域120中之第二電漿的電極。頂部電極組件132係由單獨的射頻電源供應136所充能,該射頻電源供應136可供應一或更多射頻信號至頂部電極組件132。此第二電漿係由包含一或更多用以形成第二電漿之成分氣體的第二進料氣體所產生。第二進料氣體係從圖1中之第二進料氣體輸送系統134直接饋入至第二電漿區域120中。
雖然第二電漿係使用平行板、電容耦合電漿配置所產生,但吾人應注意,本發明之實施例並不侷限於此電漿產生技術以產生第二電漿。吾人可預期第二電漿可藉由,例如,使用感應耦合電漿產生機制所產生,該感應耦合電漿產生機制採用一或更多射頻線圈或天線,以將射頻能量與第二進料氣體電感耦合。可替換地或可附加地,吾人可預期第二電漿可藉由使用,例如,微波源及適當的微波電漿產生腔室所產生。事實上,可採用任何適當的電漿產生技術以獨立產生第二電漿。
如前所述,上部電極組件106作為第一電漿產生區域102和第二電漿產生區域120之接地電極。再者,在一或更多實施例中,上部電極組件106執行使第一進料氣體進入第一電漿產生區域102中的氣體輸送功能。例如,上部電極組件106可包含噴淋頭式配置或注入噴射口式配置,以提供第一進料氣體至第一電漿產生區域內。若期望,上部電極組件可為受溫度控制,此係藉由提供加熱/冷卻線圈為之,該等加熱/冷卻線圈使適當的加熱/冷卻流體在內建於上部電極組件106的通道之中循環。
如前所述,第二電漿產生區域120中之第二電漿係使用不同的第二進料氣體所產生,該第二進料氣體具有與第一進料氣體不同的組成(例如流率及/或成分氣體及/或氣體滯留時間)。更重要地,期望的是來自第二電漿之中性物種可從第二電漿產生區域120遷移至第一電漿產生區域102,但電荷物種係受限於從第一電漿產生區域102遷移至第二電漿產生區域120中。為了實現此目標,可採用以下發明之一或更多者。
例如,在第二電漿區域中之操作條件可設定為著重第二進料氣體之解離勝過於離子化。為了詳細說明,解離通常係指電子與中性分子的相互作用,以打斷化學鍵並產生中性反應物種。例如,H2可解離成兩個氫原子,其兩者皆可為中性。另一方面,離子化通常係指電子與中性分子的相互作用,以產生正離子和電子。例如,使H2離子化產生一個H2+離子和一個電子。藉由設定用於第二電漿產生之輸入參數,以著重第二進料氣體之解離而非離子化,可在第二電漿產生區域中獲得更多已解離的中性物種。
作為另一可替代或可附加的創新作法,第二電漿產生區域120中的壓力可設定為高於第一電漿產生區域102中的壓力,以促進解離之中性物種從第二電漿產生區域120遷移至第一電漿產生區域102。在一或更多實施例中,第二電漿產生區域中的壓力可設定為防止中性物種再結合之狀態。
作為另一可替代或可附加的創新作法,設置在上部電極組件106中以允許解離之中性物種從第二電漿產生區域遷移至第一電漿產生區域120之孔或槽150可具有高的高寬比,以阻止電漿形成於孔中。此等孔 或槽可設計為可替代地或可附加地作為中性擴散障壁,以防止中性物種從第一電漿產生區域102遷移至第二電漿產生區域120。同樣重要的是對於在第一電漿產生區域中已遷移中性物種分佈的均勻性之關注。基於此原因,期望的作法是採用孔或槽的陣列,其中孔或槽均勻地或廣泛地分佈在基板表面上,以確保已遷移之中性物種相對均勻地分佈在該基板上,以使整個基板上之處理均勻性最佳化。
作為另一可替代或可附加的創新作法,第二電漿產生區域120中之壓力及/或第一電漿產生區域102中之壓力可設定以促進在孔或槽中之層流。層流狀態會減少遷移之中性物種以及孔或槽的壁之間碰撞的次數,從而減少中性物種被輸送至第一電漿產生區域102之前的再結合。
更重要地,控制第二電漿之解離的輸入參數,可獨立於用以產生第一電漿之輸入參數加以控制。因此,解離和離子化可以更加去耦合的方式加以調諧,導致更有效率的處理調諧及更廣的操作條件,包含從前使用先前技術之腔室所無法取得的處理條件,在此等腔室中用於解離和離子化的機制係為高度相依和耦合。
根據本發明之實施例,在增大的電漿處理腔室中之介電質蝕刻牽涉到使用其成分不同於第一進料氣體之第二進料氣體。本發明之發明人提出用以在兩個各自的電漿產生區域中產生電漿之各種第二進料氣體/第一進料氣體的組合。來自所建議之第二進料氣體的解離中性物種,可以一種去耦合的方式加以控制,其係與調節產生於第一電漿產生區域中之第一電漿的控制機制去耦合。
進入至第二電漿產生區域(可採用CCP類型之機制以產生電漿)之第二進料氣體和進入至第一電漿產生區域(亦可採用CCP類型之機制以產生電漿)之第一進料氣體之各種獨特的結合方式係討論於下。吾人應理解在其他實施例中,不同的電漿產生機制(如電感耦合電漿或ECR或微波)可用於產生第二電漿及/或第一電漿。亦無要求兩個電漿產生區域須採用相同的電漿產生技術/機制。
在一或更多實施例中,相較於構成第一進料氣體之該組成分氣體,第二進料氣體包含一組不同的成分氣體。在一或更多實施例中,成 分氣體為相互排斥的,若一成分氣體存在於第一進料氣體中,則該成分氣體係不用於第二進料氣體中,反之亦然。在其它實施例中,成分氣體並非相互排斥,因為一個特定的成分氣體(如氬氣)可同時存在於第一進料氣體和第二進料氣體中。
在一或更多實施例中,如碳氟化合物氣體之一或更多者(例如,CF4、C4F8、CHF3等)之聚合物沉積氣體可能不會用於第二進料氣體中,以避免聚合物堆積在用以將中性物種從第二電漿產生區域轉移至第一電漿產生區域之孔或槽中。在其它實施例中,如碳氟化合物氣體之一或更多者(例如,CF4、C4F8、CHF3等)之聚合物沉積氣體可用於第二進料氣體中且任何在用以將中性物種從第二電漿產生區域轉移至第一電漿產生區域之孔或槽中的聚合物堆積,可使用例如無晶圓自動清洗之清洗技術解決。
圖2顯示,根據本發明之一實施例,用以使用增大的電漿處理腔室進行介電質蝕刻的一般方法,該增大的電漿處理腔室實質上將對於進料氣體之解離的控制與對於進料氣體之離子化的控制去耦合。一般來說,本發明之實施例藉由將成分氣體分為兩種不同的進料氣體,而允許某些而非其它成分氣體之選擇性解離:饋送至第二電漿產生區域中的第二進料氣體和饋送至第一電漿產生區域中之第一進料氣體。再者,控制在第二電漿產生區域中之電漿產生及/或解離的輸入參數係獨立於控制在第一電漿產生區域中之電漿產生的輸入參數。以此方式,每一電漿可獨立地調諧,使得當一電漿受到調諧時,在兩個電漿之間的電漿結果之影響或相依性或耦合減少、最小或沒有。
參照圖2,在步驟202中,第二進料氣體係提供至第二電漿產生區域。在步驟204中,第一進料氣體係提供至第一電漿產生區域。在步驟206中,第二電漿係產生自第二進料氣體。同時,在步驟208中,第一電漿係產生自第一進料氣體。
在步驟206和步驟208中,處理條件(如在第二電漿產生區域中之較高壓力及/或在第二電漿產生區域中著重解離的處理模式,以及在第一電漿產生區域中著重離子化的處理模式,及其相似物等)誘導在第二電漿產生區域中之第二電漿的反應性中性物種遷移至在第一電漿產生區 域中之第一電漿中。
第二進料氣體之解離係藉由一組輸入參數獨立地控制至第二電漿產生區域中。吾人可預期,在第二電漿產生區域中之自由基物種的產生,可藉由改變射頻功率位準(例如,至頂部電極132之射頻功率)、第二進料氣體之流率、第二進料氣體滯留時間、及/或用以產生第二電漿之射頻頻率而加以調諧。
在第一電漿產生區域中之第一電漿的產生係藉由一組輸入參數獨立地控制至第一電漿產生區域中。以此方式,便可以去耦合的方式產生並調諧這兩個電漿。
來自第二電漿之解離的中性物種係接著用以增大第一電漿。在第一電漿產生區域中之增大的電漿係接著用以蝕刻在晶圓表面上之介電層(步驟210)。
在一或更多實施例中,碳氟化合物(FC)或氫氟碳化合物(HFC)來源氣體係用於第一氣體進料混合物中,結合H2作為第二氣體進料混合物之一部分。此等組合亦顯示於圖3中之表1的第1行和第2行,且在以氟為基礎的蝕刻應用中(例如,蝕刻SiOx、SiCOH、SiNx、SiCN等等)可十分有用,在此蝕刻應用中,第二電漿係用以增加在第一電漿中及/或在基板表面上之聚合反應的程度。
吾人皆知加入至FC或HFC混合物之少量的H2可導致更大的電漿聚合反應。根據一或更多實施例,第二電漿產生氫自由基,其係轉移至第一電漿,以高度去耦合的方式影響在晶圓處理區域中(亦即在第一電漿產生區域中)之聚合反應的程度。
吾人相信較低的氫通量將增加聚合反應,且隨著氫自由基通量增加(例如,藉由增加在第二電漿中的功率或壓力),最終氫自由基將因為氫自由基對於聚合物的蝕刻能力,開始降低聚合反應。吾人所期望的是從第二電漿所注入的氫自由基之效果將在性質上不同於僅將H2來源氣體加入至第一氣體混合物中。
在一或更多實施例中,表1之第1行的組合對於例如ARC(抗反射塗層)開口之應用可十分有用。在某些應用中,ARC層可代表例 如底部ARC,亦稱為BARC,或含矽ARC,亦稱為SiARC。表1之第1行中的此組合對於在基板表面上之孔或通孔的CD(關鍵尺寸)縮小亦十分有用,此類縮小通常需要非常低的功率(參見在表1的第1行中的功率狀態)以控制特徵部切面,且因此產生足夠的聚合物係為相當困難。在表1之第1行的情況下,藉由預解離在第二電漿產生區域中之H2,可獨立於在第一電漿產生區域中之離子通量或離子能量位準而改善對於聚合物形成之控制。
可替代地,對於以Si為基礎之材料的一般蝕刻(表1之第2行),H2可被輸入至第二電漿產生區域中,而CF4、CxFy、及/或CHxFy(x和y代表整數值)成分氣體可被輸入至第一電漿區域中。第一電漿產生區域之功率狀態可為任何合適的功率狀態(見表1之第2行)。在表1之第2行的情況下,藉由預解離在第二電漿產生區域中的H2,可附加之獨立的控制旋鈕係提供以用於對聚合物形成之去耦合控制。
此等實施例之一個好處是,由H2分子所導致之在晶圓處理區域中(亦即,在基板表面上之第一電漿產生區域)的真空紫外線(VUV)輻射之通量可大幅降低,此係相較於當H2為第一氣體混合物之一部分的情況,因為很大一部分之H2將在第二電漿中解離。這對於基板可能有好處,因為由H2所誘導的真空紫外線輻射十分強烈並已知會破壞低k介電材料。
基於類似的原因,在低k介電材料存在下的光阻剝除處理可設計為包含有益的氫自由基,同時大幅地排除由H2誘導的破壞性VUV輻射。已知氫自由基比氧自由基不易導致低k介電材料的損壞,但通常若在第一氣體混合物中不使用H2來源氣體則難以產生氫。在本發明中,H2為用以形成第二電漿之第二氣體混合物之一部分,以提供注入的氫自由基進入第一電漿中,但提供非常少的H2。用於第一電漿之來源氣體可包含N2或其他相當無害的及/或惰性的氣體,以維持電漿並提供例如離子以進行轟擊。
當期望將聚合化學品引入至第二電漿中時,若期望時,第二氣體混合物可包含成分聚合成分氣體之一部份或全部。在此情況下,聚合氣體之一部份或全部在進入第一電漿產生區域前,可在第二電漿產生區域中預解離。例如,典型的氧化物蝕刻化學品可包含C4F8、O2和Ar。在一或更多實施例中,C4F8之一部份或全部及/或Ar之一部份或全部可被輸入至 第二電漿產生區域進行預解離。僅在本實施例中,O2可被輸入至第一電漿產生區域中。相反地,在另一實施例中,O2可被輸入至第二電漿產生區域進行預解離,而C4F8和Ar可被輸入至第一電漿產生區域中。
另一類別之應用為以氟為基礎之蝕刻應用(例如,以蝕刻SiOx,SiCOH,SiNx,SiCN等),其中第二電漿係用以減少在第一電漿中之聚合反應的程度。此係藉由選擇碳氟化合物(FC)或氫氟碳化合物(HFC)來源氣體作為第一處理氣體混合物之一部分,並結合如O2、N2、NF3等阻聚合物氣體作為第二處理氣體混合物之一部份。常見的應用包含運用氟碳化合物之介電質蝕刻,如溝槽蝕刻、遮罩開口、障壁開口等等。此等應用係顯示於表1之第3行中,其中第一電漿產生區域之功率狀態係為低偏壓。
吾人皆知加入至FC或HFC混合物之少量的O2、N2、NF3會導致電漿聚合反應降低,因為此等氣體會蝕刻聚合物。在一或更多實施例中,第二電漿產生O、N、F、及/或NFx自由基,此等自由基被轉移至第一電漿,運用一定程度的控制和去耦合,影響在第一電漿中之聚合程度(藉由透過控制蝕刻劑或氧化劑影響聚合物移除之程度),這是先前技術單純地將阻聚合物氣體添加至第一處理氣體混合物所無法實現的。
在一或更多實施例中,NF3和Ar係輸入至第二電漿產生區域中進行預解離,而碳氟化合物氣體和O2係輸入至第一電漿產生區域中。在一實施例中,矽頂部電極係使用於第二電漿產生區域中,且來自第二電漿產生區域中之蝕刻反應、以化學方法產生的SiFx(例如SiF、SiF2、SiF3、SiF4等等)可從第二電漿產生區域注入至第一電漿產生區域中。此方法可對於SiFx之注入有更好且更獨立之控制,對於例如細胞蝕刻之應用可十分有用。
在另一類型之應用中,第二電漿具有不同的作用。取代如在先前的類別中注入化學活化的自由基物種,第二電漿在這些實施例中的作用係為注入超熱能量物種,如在介穩態激發電子態之原子和分子,以及在高度激發振動態之分子。此等應用係顯示於表1之第4行和第5行中。
例如,He、Ne、Ar、Kr及/或Xe可包含在第二處理氣體混合物中,以將此等原子之介穩態物種注入至晶圓處理電漿中。可替代地 或可附加地,N2可包含在第二處理氣體混合物中,因為已知N2在典型的電漿狀態下,可形成介穩態物種及振動激發態之可觀的密度,其具有相對較低之解離度。此等高能物種相較於在第一處理氣體混合物中以電子基態及熱化振動態引入之原子和分子,以不同的方式與第一電漿相互作用。尤其地,高能激發之原子和分子具有較低的離子化閾值,且將降低第一電漿之電子溫度(Te)及/或增加電漿密度。
第二電漿之控制參數,包含射頻功率和壓力,可用於獨立地改變至晶圓處理第一電漿之高能物種的注入。此等第二控制參數應能影響第一電漿條件,以產生與第一控制參數相當去耦合的結果。可附加地,能夠在不犧牲電漿密度之情況下產生較低電子溫度之第一電漿的能力,應能開啟高離子通量/低解離電漿模式,此為先前技術之硬體和方法通常所無法實現的。其應用包含高深寬比接觸窗(HARC)蝕刻或細胞蝕刻,其中功率狀態可為高偏壓且高密度電漿係位於第一電漿產生區域中。
另一應用可涉及低破壞光阻剝除(參照表1第6行),其中所期望的是進行光阻剝除時防止破壞低K材料(如在介層窗之側壁或底部)。在此應用中,H2及/或N2可被輸入至第二電漿產生區域中進行預解離以形成氫自由基(相較於H2,氫自由基不會產生破壞低K之VUV輻射)及/或氮自由基。再者,氫及/或氮自由基可在第一電漿產生區域中沒有相對應之離子通量增加的情況下增加。由於增加的離子通量往往會劣化低K材料,因此此有利於避免破壞低K材料。若期望的話,例如,CO2可被輸入至第一電漿產生區域中。在一實施例中,第一電漿產生區域中之較佳功率狀態為低偏壓。
另一類別之應用稍微不同,因為僅有第二電漿受到供電。此類別的應用之範例係顯示於表1第7行中,且當在多步驟的處理序列中只有一些步驟需要完整電漿處理的情況下十分有用。在這些實施例中,對於某些步驟而言,理想的情況是以下游電漿條件(例如,下游剝除或下游蝕刻)處理晶圓,俾使例如自由基之活化的中性物種到達基板,但不直接接觸電漿。此可實現高度化學處理模式,且不具有來自第一電漿之離子或電子轟擊。此處理模式可有利於,例如,固化聚合物(遮罩硬化)、將已蝕刻 之特徵部脫氟以防止在空氣中之金屬腐蝕、或在用於其他蝕刻之相同工具中剝除光阻或其相似物。以此方式,相同的蝕刻腔室可用於蝕刻及下游剝除/下游蝕刻兩者,而不需要如先前技術之硬體的情況下,使用用於下游剝除/下游蝕刻的另一腔室。
本發明之一或更多實施例關於用於調諧處理參數之簡化的遷移路徑,以實現目標處理參數之結果。隨著至第二電漿產生區域之射頻功率關閉,且第二進料氣體注入至第二電漿產生區域中,並得以擴散或遷移至第一電漿產生區域中(或所有進料氣體輸入至第一電漿產生區域中),此情況類似先前技術的腔室使用情形,即所有成分氣體係注入至單一電漿產生區域中。這提供腔室操作者進行最佳化處理時方便且熟悉的起始點。藉由打開至第二電漿產生區域之射頻功率,可提供一個獨立的控制旋鈕,以使用一種在先前技術中所無法達成的方式,控制第二進料氣體之解離。打開至第二電漿產生區域之射頻功率,提供腔室操作者對於去耦合之處理調諧的漸進式導引,此使得訓練得以簡化,此係由於改善的調諧處理之遞增對抗破壞的本質、以及最佳化係從類似於已知先前技術之起始點之故。
由前述內容可理解,藉由使輸入參數與其對於處理結果之影響去耦合,本發明之實施例可打開製程容許度。因此,相依的處理結果之間的取捨較少,且可更加迅速地進行處理調諧,以達成一組期望之處理結果,因為當處理結果更加地去耦合時,針對一個處理結果所做的變化對於另一處理結果之影響較小。在介電質蝕刻應用中,將解離與離子化去耦合使處理調諧更快,以達成高性能的處理結果目標,或獲得在先前技術之介電質蝕刻腔室中無法達到的晶圓處理狀態。已提出第一和第二進料氣體之各種組合,以改善不同的蝕刻應用或取得不同的蝕刻效果。
再者,本發明之實施例有助於逐漸轉移至現存之處理最佳化方法。工具操作人員可藉由關閉至第二電漿產生區域之功率,以現有的配方作為起始點,並藉由逐漸打開功率及/或改變至第二電漿產生區域之其他的輸入參數,逐漸利用本發明之實施例所提供之去耦合的優點。由於更具破壞性之方法的使用及訓練往往增加對於工具操作者的風險,因此本發明在此方面具有明顯的優勢。
本發明雖已透過數個較佳實施例加以說明,但仍有許多落於本發明範疇內之替換、修改及各種置換均等物。雖然在此提供各種範例,但關於本發明之此等範例應為說明性而非限制性。此外,在此提供之標題及摘要係為便利之目的且不應被用以解釋為請求項之範圍。再者,摘要係以高度簡化之形式撰寫且係以便利之目的提供,因此不應用於解釋或限制呈現於請求項之整體發明。若用語「組」係使用於本文中,則該用語欲具有其通常所理解之數學上的意義,以包含零、一、或一個以上之構件。亦應注意有許多實施本發明之方法及裝置的替代性方式。因此欲使以下隨附請求項解釋為包含所有落於本發明之真正精神及範疇內的此等替換、修改及各種置換均等物。
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟

Claims (21)

  1. 一種用於蝕刻在電漿處理腔室中之基板的方法,該電漿處理腔室具有至少第一電漿產生區域和由半障壁結構與該第一電漿產生區域分開之第二電漿產生區域,該方法包含:提供第一進料氣體至該第一電漿產生區域中;提供第二進料氣體至該第二電漿產生區域中,該第二進料氣體係不同於該第一進料氣體;從該第一進料氣體產生第一電漿;從該第二進料氣體產生第二電漿;使用至少該第一電漿和來自該第二電漿之中性物種蝕刻該基板,該中性物種穿過該半障壁結構,從該第二電漿產生區域遷移至該第一電漿產生區域。
  2. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,其中該蝕刻為介電質蝕刻。
  3. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,其中在該第二電漿產生區域中之壓力,係大於在該第一電漿產生區域中之壓力。
  4. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,其中該第二進料氣體為非聚合物形成氣體。
  5. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,更包含為該第二電漿產生區域設定輸入參數,以著重該第二進料氣體之解離,其中該第二進料氣體包含H2
  6. 如申請專利範圍第5項之用於蝕刻在電漿處理腔室中之基板的方法, 其中該第一進料氣體包含CF4、CxFy、及CHxFy其中至少一者,其中x和y為整數值。
  7. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,更包含為該第二電漿產生區域設定輸入參數,以著重該第二進料氣體之解離,其中該第二進料氣體包含O2、N2和NF3其中至少一者。
  8. 如申請專利範圍第7項之用於蝕刻在電漿處理腔室中之基板的方法,其中該第一進料氣體包含氬氣和含碳氟化合物之氣體其中至少一者。
  9. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,更包含為該第二電漿產生區域設定輸入參數,以著重該第二進料氣體之解離,其中該第二進料氣體包含氬氣和N2其中至少一者。
  10. 如申請專利範圍第9項之用於蝕刻在電漿處理腔室中之基板的方法,其中該第一進料氣體包含氬氣、O2和含碳氟化合物之氣體其中至少一者。
  11. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,更包含為該第二電漿產生區域設定輸入參數,以著重該第二進料氣體之解離,其中該第二進料氣體包含N2
  12. 如申請專利範圍第9項之用於蝕刻在電漿處理腔室中之基板的方法,其中該第一進料氣體包含CO2
  13. 如申請專利範圍第1項之用於蝕刻在電漿處理腔室中之基板的方法,更包含為該第二電漿產生區域設定輸入參數,以著重該第二進料氣體之解離,其中該第二進料氣體包含H2且其中該第一進料氣體包含N2
  14. 一種用於蝕刻在電漿處理腔室中之基板的方法,該電漿處理腔室具有 至少第一電漿產生區域和由半障壁結構與該第一電漿產生區域分開之第二電漿產生區域,該方法包含:提供第一進料氣體至該第一電漿產生區域中;提供第二進料氣體至該第二電漿產生區域中,該第二進料氣體係不同於該第一進料氣體;從該第一進料氣體產生第一電漿;從該第二進料氣體產生第二電漿;使用至少該第一電漿和來自該第二電漿之中性物種蝕刻該基板,該中性物種穿過該半障壁結構,從該第二電漿產生區域遷移至該第一電漿產生區域;其後關閉至該第一電漿產生區域之功率源,從而抑制該第一電漿之形成;提供另一第二進料氣體進入該第二電漿產生區域以產生另一第二電漿,其後,在來自該另一第二電漿之該物種遷移穿過該障壁結構後,使用來自該另一第二電漿之物種在該基板上進行下游電漿處理。
  15. 如申請專利範圍第14項之用於蝕刻在電漿處理腔室中之基板的方法,其中該蝕刻代表介電質蝕刻。
  16. 如申請專利範圍第15項之用於蝕刻在電漿處理腔室中之基板的方法,其中該另一第二進料氣體包含H2
  17. 如申請專利範圍第14項之用於蝕刻在電漿處理腔室中之基板的方法,更包含為該第二電漿產生區域設定輸入參數,以著重該第二進料氣體以及該另一第二進料氣體其中至少一者之解離。
  18. 如申請專利範圍第14項之用於蝕刻在電漿處理腔室中之基板的方法,其中該下游電漿處理代表去氟化。
  19. 一種用於蝕刻在電漿處理腔室中之基板的方法,該電漿處理腔室具有至少第一電漿產生區域和由半障壁結構與該第一電漿產生區域分開之第二電漿產生區域,該方法包含:提供第一進料氣體至該第一電漿產生區域中;提供第二進料氣體至該第二電漿產生區域中,該第二進料氣體係不同於該第一進料氣體;從該第一進料氣體產生第一電漿,該產生該第一電漿係採用電容耦合機制;從該第二進料氣體產生第二電漿;使用至少該第一電漿和來自該第二電漿之中性物種蝕刻該基板,該中性物種穿過該半障壁結構,從該第二電漿產生區域遷移至該第一電漿產生區域;
  20. 如申請專利範圍第19項之用於蝕刻在電漿處理腔室中之基板的方法,其中該產生該第二電漿係採用電容耦合機制。
  21. 如申請專利範圍第19項之用於蝕刻在電漿處理腔室中之基板的方法,其中該半障壁結構包含一組孔,在該組孔中之每一孔具有高的高寬比,用以抑制在該每一孔中之電漿的形成。
TW102130651A 2012-08-27 2013-08-27 在增大的電漿處理系統中之電漿加強蝕刻 TWI578408B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261693382P 2012-08-27 2012-08-27
US13/626,793 US9039911B2 (en) 2012-08-27 2012-09-25 Plasma-enhanced etching in an augmented plasma processing system

Publications (2)

Publication Number Publication Date
TW201415560A true TW201415560A (zh) 2014-04-16
TWI578408B TWI578408B (zh) 2017-04-11

Family

ID=50147080

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102130651A TWI578408B (zh) 2012-08-27 2013-08-27 在增大的電漿處理系統中之電漿加強蝕刻
TW105142615A TWI621186B (zh) 2012-08-27 2013-08-27 在增大的電漿處理系統中之電漿加強蝕刻

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105142615A TWI621186B (zh) 2012-08-27 2013-08-27 在增大的電漿處理系統中之電漿加強蝕刻

Country Status (6)

Country Link
US (2) US9039911B2 (zh)
JP (1) JP2014057057A (zh)
KR (1) KR102280914B1 (zh)
CN (2) CN107424900A (zh)
SG (2) SG2013065065A (zh)
TW (2) TWI578408B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI748360B (zh) * 2019-04-22 2021-12-01 日商日立全球先端科技股份有限公司 電漿處理方法及電漿處理裝置
TWI749109B (zh) * 2016-11-28 2021-12-11 日商東京威力科創股份有限公司 基板處理裝置及隔熱板

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10032609B1 (en) * 2013-12-18 2018-07-24 Surfx Technologies Llc Low temperature atmospheric pressure plasma applications
US10800092B1 (en) 2013-12-18 2020-10-13 Surfx Technologies Llc Low temperature atmospheric pressure plasma for cleaning and activating metals
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2018044713A1 (en) 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2018156985A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
WO2018156975A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020149859A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JP2002503031A (ja) * 1998-02-09 2002-01-29 アプライド マテリアルズ インコーポレイテッド 種密度を個別制御するプラズマアシスト処理チャンバ
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
CN100360117C (zh) 2002-06-21 2008-01-09 转化医药公司 具有提高的溶出度的药物组合物
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
KR101247198B1 (ko) 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US8986558B2 (en) 2008-09-01 2015-03-24 Japan Science And Technology Agency Plasma etching method, plasma etching device, and method for producing photonic crystal
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US20120104274A1 (en) 2009-07-16 2012-05-03 Canon Anelva Corporation Ion beam generating apparatus, substrate processing apparatus and method of manufacturing electronic device
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
SG183267A1 (en) 2010-02-09 2012-09-27 Intevac Inc An adjustable shadow mask assembly for use in solar cell fabrications
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
TWI525698B (zh) 2011-10-31 2016-03-11 Canon Anelva Corp 磁性膜之離子束蝕刻方法及離子束蝕刻裝置
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749109B (zh) * 2016-11-28 2021-12-11 日商東京威力科創股份有限公司 基板處理裝置及隔熱板
TWI748360B (zh) * 2019-04-22 2021-12-01 日商日立全球先端科技股份有限公司 電漿處理方法及電漿處理裝置

Also Published As

Publication number Publication date
CN103632954B (zh) 2017-08-11
JP2014057057A (ja) 2014-03-27
US20150206775A1 (en) 2015-07-23
KR102280914B1 (ko) 2021-07-23
TWI578408B (zh) 2017-04-11
KR20140027895A (ko) 2014-03-07
CN107424900A (zh) 2017-12-01
CN103632954A (zh) 2014-03-12
US9039911B2 (en) 2015-05-26
SG10201601331PA (en) 2016-03-30
US20140054269A1 (en) 2014-02-27
TW201711110A (zh) 2017-03-16
US9418859B2 (en) 2016-08-16
TWI621186B (zh) 2018-04-11
SG2013065065A (en) 2014-03-28

Similar Documents

Publication Publication Date Title
TWI578408B (zh) 在增大的電漿處理系統中之電漿加強蝕刻
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
US10483127B2 (en) Methods for high precision plasma etching of substrates
KR102465689B1 (ko) 듀얼 플레넘 샤워헤드를 사용하는 준안정 활성화된 라디칼의 선택적인 스트립 및 에칭 시스템들 및 방법들
US8877080B2 (en) Using vacuum ultra-violet (VUV) data in microwave sources
US8323521B2 (en) Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US9443701B2 (en) Etching method
JP4388020B2 (ja) 半導体プラズマ処理装置及び方法
US20160358784A1 (en) Plasma-enhanced etching in an augmented plasma processing system
KR101679371B1 (ko) 드라이 에칭 방법
KR102060671B1 (ko) 플라즈마를 이용한 객체 처리 장치
KR20170000340A (ko) 에칭 방법
US9418863B2 (en) Method for etching etching target layer
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20220165546A1 (en) Plasma etch tool for high aspect ratio etching
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
CN109075068B (zh) 蚀刻方法