CN103632954B - 加强等离子体处理系统中的等离子体增强蚀刻 - Google Patents

加强等离子体处理系统中的等离子体增强蚀刻 Download PDF

Info

Publication number
CN103632954B
CN103632954B CN201310379780.0A CN201310379780A CN103632954B CN 103632954 B CN103632954 B CN 103632954B CN 201310379780 A CN201310379780 A CN 201310379780A CN 103632954 B CN103632954 B CN 103632954B
Authority
CN
China
Prior art keywords
plasma
primary
generating region
gas
plasma generating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310379780.0A
Other languages
English (en)
Other versions
CN103632954A (zh
Inventor
埃里克·赫德森
安德鲁·D·贝利三世
拉金德尔·迪恩赛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201710574814.XA priority Critical patent/CN107424900A/zh
Publication of CN103632954A publication Critical patent/CN103632954A/zh
Application granted granted Critical
Publication of CN103632954B publication Critical patent/CN103632954B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本发明涉及加强等离子体处理系统中的等离子体增强蚀刻,具体涉及一种蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域。所述方法包括从初级等离子体产生区域中的初级原料气体产生初级等离子体。该方法还包括从次级离子体产生区域中的次级原料气体产生次级等离子体,以使从次级等离子体中产生的物质中的至少一些能够迁移至初级等离子体产生区域。所述方法另外包括在用来自次级等离子体的迁移物质来加强初级等离子体之后用该初级等离子体蚀刻衬底。

Description

加强等离子体处理系统中的等离子体增强蚀刻
优先权
本申请根据35USC.119(e)要求由Eric A.Hudson于2012年8月27日申请的美国申请No.61/693,382,题为“加强等离子体处理系统中的等离子体增强蚀刻”的共同拥有的临时专利申请的优先权,其所有内容通过引用并入本文。
技术领域
本发明总体上涉及半导体蚀刻领域,更具体地涉及等离子体增强蚀刻。
背景技术
等离子体增强处理长时间以来用于将衬底加工成集成电路管芯,然后进一步加工成用于各种电子器件中的集成电路。等离子体增强处理包括,例如,等离子体增强蚀刻、等离子体增强沉积、等离子体增强清洗等。
在等离子体增强蚀刻的领域中,等离子体通常从可包括不同组成气体的原料气体产生。原料气体由能量源激励以形成等离子体从而蚀刻衬底表面。通过使用各种掩膜,可在衬底的各层上创建不同的图案。等离子体本身可以使用一种或多种等离子体产生技术来创建,所述等离子体产生技术包括,例如,电感耦合等离子体、电容耦合等离子体、微波等离子体等,
用于蚀刻介质晶片膜的商用等离子体室主要是根据平行板电容耦合等离子体(CCP)。在这种类型的室中,在一个或多个RF频率处的RF激励是从一个或多个RF源施加到一个或多个电极以从所提供的蚀刻源气体(原料)产生蚀刻等离子体。室的蚀刻特性通过众多输入参数中的改变来控制,输入参数包括,例如,压强、原料气体的选择、每种原料气体的流率、RF源的功率等。
即使有了这些众多的控制参数,等离子体的化学和物理特性是相互依存并难以独立地控制的,这是公知的。换句话说,改变输入参数(如RF功率或压强)往往导致多个等离子体参数的变化和/或多个蚀刻结果参数的变化。各种等离子体特性和/或各种晶片蚀刻结果之间的相互依赖关系往往在现代电介质蚀刻的应用中采用的窄间隙、电容耦合等离子体处理室中被放大。
为了详细说明,考虑只基于CF4原料气体和单个的RF激励频率的蚀刻工艺的简单例子。随着RF功率的增加,等离子体表面相互作用的聚合程度会改变,通常增加到最大,然后下降。这种性能反映了在较低的RF功率的CF4的分解以形成聚合自由基物质,如CF2。在较高的RF功率下,这些自由基的次级分解形成如C+F等较少的聚合物质。这种现象使用RF功率设置提供对在等离子体中的聚合度的一些控制。
然而,在输入RF功率中的变化也会影响等离子体的物理性质,例如等离子体密度、离子通量和离子能量。这是因为等离子体化学性质的控制,如聚合反应,被与控制等离子体的物理性质(如等离子体密度)相同的参数(如RF功率)影响,使得这样的化学和物理性质强烈地相互依存。
如果操纵一个或多个输入参数时,可以去耦(decouple)对等离子体特性的影响,那么更精确地控制晶片蚀刻结果和更宽的工艺窗口是可能的。例如,如果特定的聚合物质的密度可以独立于离子通量或电子温度而控制(即,以去耦的方式),那么可以实现更精确地控制晶片蚀刻结果和更宽的工艺窗口。
提高等离子体特性和/或处理蚀刻结果的去耦,以优化蚀刻,从而满足当前和未来的蚀刻规格,是本发明的各个实施方式的许多目标之一。
发明内容
在本发明的一种实施方式中,提供了一种用于蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域,该方法包括:提供初级原料气体进入所述初级等离子体产生区域;提供次级原料气体进入所述次级等离子体产生区域,所述次级原料气体与初级原料气体是不同的;从所述初级原料气体产生初级等离子体;从所述次级原料气体产生次级等离子体;至少使用所述初级等离子体和来自所述次级等离子体的中性物质来蚀刻所述衬底,所述中性物质从所述次级等离子体产生区域通过所述半阻挡结构迁移至所述初级等离子体产生区域。
在本发明的另一种实施方式中,提供了一种用于蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域,该方法包括:提供初级原料气体进入所述初级等离子体产生区域;提供次级原料气体进入所述次级等离子体产生区域,所述次级原料气体与初级原料气体是不同的;从所述初级原料气体产生初级等离子体;从所述次级原料气体产生次级等离子体;至少使用所述初级等离子体和来自所述次级等离子体的中性物质来蚀刻所述衬底,所述中性物质从所述次级等离子体产生区域通过所述半阻挡结构迁移至所述初级等离子体产生区域;随后关闭所述初级等离子体发生区域的功率源,从而抑制所述初级等离子体的形成;提供另一次级原料气体到所述次级等离子体发生区域以产生另一次级等离子体;随后在来自所述另一次级等离子体的物质迁移穿过所述半阻挡结构之后,用来自所述另一次级等离子体的所述物质在所述衬底上进行下游等离子体处理。
在本发明的又一种实施方式中,提供了一种用于蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域,该方法包括:提供初级原料气体进入所述初级等离子体产生区域;提供次级原料气体进入所述次级等离子体产生区域,所述次级原料气体与所述初级原料气体是不同的;从所述初级原料气体产生初级等离子体,所述产生所述初级等离子体采用电容耦合机制;从所述次级原料气体产生次级等离子体;至少使用所述初级等离子体和来自所述次级等离子体的中性物质来蚀刻所述衬底,所述中性物质从所述次级等离子体产生区域通过所述半阻挡结构迁移至所述初级等离子体产生区域。
附图说明
在附图中通过示例的方式而不是通过限制的方式示出本发明,在附图中相似的标号指相似的元件,并且其中:
图1示出了根据本发明的一个实施方式的包括两个等离子体产生区域的加强等离子体处理室的示意图。
图2示出了根据本发明的一个实施方式的用于使用加强等离子体处理室进行电介质蚀刻的一般方法。
图3示出了根据本发明的各个实施方式的用于使用加强等离子体处理室进行电介质蚀刻的参数的不同的组合。
具体实施方式
现在将参照其在附图中示出的几个实施方式详细描述本发明。在下面的描述中,阐述了许多具体的细节,以便提供本发明的透彻理解。然而,显而易见,对于本领域的技术人员而言,没有一些或所有这些具体细节也可以实施本发明。在其他情况下,没有详细描述公知的处理步骤和/或结构,以避免不必要地混淆本发明。
下文中描述了包括方法和技术的各个实施方式。应当牢记的是,本发明也可涵盖包括计算机可读介质的制造件,在该计算机可读介质上存储用于实施本发明的技术的实施方式的计算机可读指令。计算机可读介质可包括,例如,半导体的、磁的、光-磁的、光的、或用于存储计算机可读代码的其他形式的计算机可读介质。此外,本发明还包括用于实施本发明的装置。这种装置可包括专用的和/或可编程的电路,以执行有关本发明的实施方式的任务。这样的装置的例子包括通用的计算机和/或被适当编程的专用计算设备,并可包括适用于与本发明的实施方式有关的各种任务的计算机/计算装置和专用/可编程电路的组合。
一般来说,室中的蚀刻工艺优化往往涉及权衡方案,在该室中输入参数其效果耦合到处理结果参数上。调谐蚀刻处理以达到相对于一个蚀刻结果参数(例如,蚀刻速率)的蚀刻规范通常会导致另一个蚀刻的结果参数(如蚀刻选择性)的变化,并常常是不利变化。在某些情况下,不能发现可以接受的权衡方案,且用给定的配方根本不能达到所需的蚀刻。在其他情况下,需要耗时的迭代优化步骤以找到达到在各种蚀刻结果参数中可接受的权衡方案的输入参数的合适的组合。
本发明实施方式设法减少选择性蚀刻结果参数间的相互依赖性。通过使某些输入参数其效果较少地耦合到处理结果参数上,单独的处理结果参数可以以更独立于其他处理结果参数的方式调谐。换言之,本发明的实施方式设法通过改变某些输入参数,基本上独立于或较独立于其他处理结果参数影响某些独立的处理结果参数。这种解耦的净效应是输入参数(即控制参数)的更快调谐,以用所需的成组的目标处理结果参数实现处理结果。在一个或多个实施方式中,通过去耦处理结果参数实现更宽的处理窗口。在这些情况下,可以实现新的和先前不可获得的衬底处理条件,包括先前在现有技术的室中不可获得的方式,在现有技术的室中当改变一个或多个输入参数时,处理结果参数耦合性较强。
在一个或多个实施方式中,产生次级等离子体,并采用次级等离子体以影响主要负责蚀刻衬底的初级等离子体。在电介质蚀刻的特定情况下,硬件的改进涉及到用在单独的等离子体产生区域中产生的次级等离子体加强平行板电容耦合室。次级等离子体注入化学和/或物理激发的中性物质进入初级晶片处理等离子体区域,产生用于处理衬底的增强初级等离子体。
在一个或多个实施方式中,初级等离子体(设置在晶片表面的正上方的晶片处理等离子体区中)和次级等离子体(产生在单独的次级等离子体产生区域,并注入到晶片处理等离子体区域)由半阻挡结构电气和物理分离,该半阻挡结构允许中性物质通过以从次级等离子体产生区域进入初级等离子体产生区域,但防止带电粒子、等离子体和/或电场从初级等离子体产生区域到次级等离子体产生区域的大量转移。
在一个或多个实施方式中,次级等离子体具有独立的激发源,例如RF功率源或微波源,可以控制该独立的激发源以在不直接地或不显著地影响在初级等离子体产生区域中的带电粒子或电场的情况下,影响次级等离子体。所采用的以产生次级等离子体的技术并不限定于任何特定的等离子体产生技术,并且可包括,例如,电感耦合等离子体、电容耦合等离子体、微波等离子体、ECR(电子回旋等离子体)等。
在一个实施方式中,初级等离子体产生区域中的初级等离子体(即,设置在衬底表面上蚀刻衬底表面的等离子体)通过电容耦合的机制利用平行板装置而产生。在本实施方式中,将衬底设置在由一个或多个RF信号激励的卡盘上。该初级等离子体产生区域的上部电极接地,并且可以采用一个或多个约束环,以进一步约束初级等离子体和/或控制排气速率。初级等离子体用其自身的成组输入参数和其初级原料气体来产生,该初级原料气体可以包括用于形成初级等离子体的成组的组成原料气体。此CCP(电容耦合等离子体)装置或多或少是典型的电容性耦合的等离子体处理室。
除了初级等离子体,用不同的成组控制参数并利用可包括用于产生次级等离子体的成组的组成原料气体的次级原料气体,在独立的次级等离子体产生区域产生次级等离子体。中性物质通过上述的半阻挡从次级等离子体转移到初级等离子体,影响衬底的处理条件并因而改变处理状况。在一个或多个实施方式中,该系统被设计以最大限度地减弱初级等离子体的性能对次级等离子体的影响,同时最大限度地增强次级等离子体的性能对初级等离子体的影响。
由于次级等离子体的等离子体性能实质上与控制参数解耦,该控制参数影响初级等离子体,因此,被引入用于产生次级等离子体的新的控制参数表示额外的处理控制参数,该额外的处理控制参数并没有紧密地耦合到初级等离子体控制参数。
在这种方式中,本发明的实施方式实现解耦控制参数中的显著改善,并提供更大的独立调节单独的处理结果的能力。这导致较少限制的权衡方案和较快地优化蚀刻从而获得目前解耦性更强的成组的处理结果的能力。此外,本发明还可以打开使用传统的方法不能实现的初级等离子体性质的方式,以提供新的处理能力。
另外,在一个或多个特定的实施方式中,与次级等离子体相关的控制参数集中在控制次级原料气体的离解,同时与初级等离子体相关的控制参数都集中在控制初级原料气体的电离。由于控制离解的机制与控制电离的机制解耦,因而可以实现改善的工艺优化和新的操作窗口。
参照下面的附图和讨论,可以更好地理解本发明的实施方式的特点和优点。
如图1所示,根据本发明的一个实施方式,增强等离子体室100具有两个独立的等离子体产生区域。如图1所示的初级等离子体区域102,其上下端别由衬底104和上部电极组件106组限制。也可以有选择地采用成组的约束环(常规的和未示出的)以包围初级等离子体区域102,从而防止在初级等离子体产生区域外部不需要的等离子体点燃和/或控制来自初级等离子体产生区域102的副产物废气的排出速率。
在图1所示的实施方式中,将衬底104搁在作为初级等离子体产生区域102的供电电极的卡盘108上。卡盘108通过使用一个或多个RF信号由RF功率源110供电。上电极组件106的下表面106A接地,并作为初级等离子体产生区域102中的初级等离子体的第二电极。此初级等离子体使用平行板电容耦合等离子体机构从包含用于形成初级等离子体的一种或多种组成气体的初级原料气体形成。所述初级原料气体直接从初级原料气体输送系统152输送到初级等离子体区域102,如图1所示。
在图1的示例中,次级等离子体区域120也是另一种平行板电容耦合装置,该装置上下端分别由上电极组件106的上表面106B和顶电极组件132限制。在图1的示例中,次级等离子体产生区域120由室壁134限制,尽管也可以可选地采用成组的约束环(常规的和未示出),以包围次级等离子体区域120,从而防止次级等离子体产生区域外部不需要的等离子体点燃和/或控制来自次级等离子体产生区域120的副产物废气的排出速率。顶电极组件132可以是可移动的,以控制次级等离子体产生区域的体积和/或控制气体停留时间。气体停留时间也可通过控制副产物的排出速率来控制。
上电极组件106的上表面106B接地,并作为次级等离子产生区域120中的次级等离子体的一个电极。顶电极组件132由可供给一个或多个RF信号至顶电极组件132的独立的RF电源136通电。该次级等离子体从包含用于形成次级等离子体的一种或多种组成气体的次级原料气体形成。次级原料气体直接从图1中的次级原料气体输送系统134输送到次级等离子体区域120。
虽然使用平行板电容耦合等离子体产生装置产生次级等离子体,但是应该指出的是本发明的实施方式不限于用于产生次级等离子体的该等离子体产生技术。可以设想,例如,使用采用一个或多个RF线圈或天线以电感耦合RF能量至次级原料气体的感应耦合等离子体产生机制产生次级等离子体。替代地或附加地,可以预期的是,例如,使用微波源和适当的微波等离子体产生室可产生次级等离子体。事实上,可以采用任何合适的等离子体产生技术以独立地产生次级等离子体。
如前所述,上电极组件106作为初级等离子体产生区域102和次级等离子体产生区域120两者的接地电极。另外,在一个或多个实施方式中,上电极组件106进行用于将初级原料气体输送到初级等离子体生成区域102的气体输送功能。例如,上电极组件106可包括喷头式装置或喷射孔式装置,该装置用于提供初级原料气体到初级等离子体产生区域。如果需要,上电极组件可以通过提供环绕合适的加热/冷却流体的加热/冷却线圈进行温度控制,该加热/冷却流体通过内置于上电极组件106中的沟道。
如前所述,使用与初级原料气体有不同组成(例如,流率和/或组成气体和/或气体停留时间)的不同次级原料气体产生次级等离子体产生区域中的次级等离子体。更重要的是,来自次级等离子体的中性物质被允许从次级等离子体产生区域120迁移到初级等离子体产生区域102,同时防止带电荷的物质从初级等离子体产生区域102迁移到次级等离子体产生区域120,这是合乎期望的。为了达成这一目标,可采用下面所述的一个或多个的创新。
例如,次级等离子体区域中的操作条件可被设置为加强次级原料气体的离解,使离解超过电离。为了详细说明,离解一般是指电子与中性分子的相互作用以打破化学键,并产生中性反应物质。例如,H2可以被离解成两个氢原子,两者都可以是中性的。另一方面,电离通常是指电子与中性分子以产生正离子和电子的相互作用。例如,电离H2产生H2+离子和电子。可通过设置用于次级等离子体产生的输入参数以加强次级原料气体的离解,使离解超过电离,可以在次级等离子体产生区域获得更多的中性物质。
作为另一种替代的或额外的创新,次级等离子体产生区域120中的压强被设置为高于初级等离子体产生区域102中的压强,以促进离解的中性物质从次级等离子体产生区域120迁移到初级等离子体产生区域102。在一个或多个实施方式中,可以将次级等离子体产生区域中的压强以阻碍中性物质复合的方式设置。
作为另一种替代的或额外的创新,设置在上电极组件106中以允许离解的中性物质从次级等离子体产生区域120迁移到初级等离子体产生区域102的孔或槽150可以具有高深宽比以阻碍等离子体在孔中形成。这些孔或槽可被设计为替代地或附加地作为中性的扩散阻挡层,以防止中性物质从初级等离子体产生区域102向次级等离子体产生区域120迁移。同样重要的是,考虑在初级等离子体产生区域中的中性物质的均匀分布。出于这个原因,理想的是,采用具有在衬底上方均匀或广泛分布的孔或槽的孔或槽阵列,以确保在整个衬底上迁移的中性物质的相对均匀的分布,从而优化整个衬底的处理均匀性。
作为另一种替代的或额外的创新,在次级等离子体产生区域120的压强和/或初级等离子体产生区域102中的压强可以被设置以促进孔或槽中的层流。层流条件会减少迁移的中性物质与孔或槽的壁之间的碰撞,从而减少中性物质在被传递到初级等离子体产生区域102之前复合。
更重要的是,控制次级等离子体的离解的输入参数可以独立于用于产生初级等离子体的输入参数来控制。因此,可以以更加去耦的方式调谐离解和电离,从而导致更有效的工艺调谐和更广泛的操作条件,该条件包括用现有技术的室不能获得的工艺条件,通过现有技术的室,离解和离子化的机制是高度相互依赖和耦合的。
在根据本发明的实施方式中,加强等离子体处理室中的电介质蚀刻涉及使用与初级原料气体的组成不同的次级原料气体。本发明人在本发明中提出用于在两个相应的等离子体产生区域中产生等离子体的次级原料气体/初级原料气体的各种组合。从建议的次级原料气体中离解的中性物质可以以与控制初级等离子体产生区域中的初级等离子体的产生的控制机制去耦的方式来控制。
在下面讨论进入次级等离子体产生区域(可采用用于产生等离子体的CCP型机制)的次级原料气体和进入初级等离子体产生区域(也可以采用用于产生等离子体的CCP型机制)的初级原料气体的各种独特组合。应当理解,在其他实施方式中,可以采用不同的等离子体产生机制(如电感耦合等离子体或ECR或微波)以产生次级等离子体和/或初级等离子体。也没有要求必须采用相同的等离子体产生技术/机制用于两种等离子体产生区域。
在一个或多个实施方式中,当与包含初级原料气体的成组的组成气体比较时,次级原料气体包括不同的成组的组成气体。在一个或多个实施方式中,如果在初级原料气体中存在组成气体,而在次级原料气体中没有采用该组成气体,反之亦然,那么组成气体在这样的意义下是相互排斥的。在其它实施方式中,如果给定的组成气体(如氩气)可在初级原料气体和次级原料气体中都存在,那么组成气体不是相互排斥的。
在一个或多个实施方式中,如碳氟化合物气体中的一种或多种(例如,CF4,C4F8,CHF3等)之类的聚合物沉积气体不可以在次级原料气体中使用,以避免在孔或槽中堆积聚合物,该孔或槽用于将中性物质从次级等离子体产生区域转移到初级等离子体产生区域。在其它实施方式中,也可以在次级原料气体中采用聚合物沉积气体,如碳氟化合物气体的一种或多种(例如,CF4,C4F8,CHF3等),并且任何在孔或槽中堆积的聚合物可用诸如无晶片自动清洁等清洁技术来处理,该孔或槽用于将中性物质从次级等离子体产生区域转移到初级等离子体产生区域。
如图2所示为,根据本发明的一个实施方式,使用加强等离子体处理室进行电介质蚀刻的一般方法,该方法对控制原料气体的离解与控制原料气体的电离进行实质性的解耦。一般来说,通过分离组成气体成两个不同的原料气体:被送入次级等离子体产生区域的次级原料气体和被送入初级等离子体产生域的初级原料气体,本发明的实施方式允许某些组成气体选择性离解而其他的组成气体不离解。另外,控制在次级等离子体产生区域中等离子体产生和/或离解的输入参数独立于控制在初级等离子体产生区域中等离子体产生的输入参数。在这种方式中,每种等离子体可以独立地调谐,当一个等离子体被调谐时,产生于两个等离子体之间的影响或相互依赖或耦合减弱、最小化或没有。
参照图2,在步骤202中,将次级原料气体提供到次级等离子体产生区域。在步骤204中,将初级原料气体提供到初级等离子体产生区域。在步骤206中,从次级原料气体产生次级等离子体。同时,在步骤208中,从初级原料气体产生初级等离子体。
在步骤206和步骤208的过程中,工艺条件(如次级等离子体产生区域中的较高的压强和/或加强次级等离子体产生区域中的离解的处理方式以及加强在初级等离子体产生区域中的电离的处理方式,等等)诱导反应性中性物质从次级等离子体产生区域中的次级等离子体迁移到初级等离子体产生区域中的初级等离子体。
次级原料气体的离解是通过进入次级等离子体产生区域的成组的输入参数来独立控制的。可以设想,在次级等离子体产生区域中的自由基物质的产生可通过以下方式来调谐:改变射频功率电平(例如,到上电极132的RF功率),次级原料气体的流率,次级原料气体停留时间,和/或用来产生次级等离子体的RF频率。
初级等离子体产生区域中的初级等离子体的产生是通过进入初级等离子体产生区域的成组的输入参数来独立控制的。以这种方式,两种等离子体可以产生并且以解耦的方式调谐。
然后采用从次级等离子体离解的中性物质来加强初级等离子体。然后采用初级等离子体产生区域中加强的等离子体来蚀刻晶片表面上的电解质层(步骤210)。
在一个或多个实施方式中,在初级气体原料混合物中采用碳氟化合物(FC)或氢氟碳化合物(HFC)源气体,并组合作为次级气体原料混合物的一部分的H2。这些组合都如在图3中的表1的行1和2所示,并且在氟基蚀刻的应用(例如,以蚀刻SiOx、SICOH、SiNx、SiCN等)中是有用的,在该应用中次级等离子体用于增大在初级等离子体中和/或在衬底表面上的聚合的范围。
众所周知,少量的H2添加到FC或HFC混合物可以导致更强的等离子体聚合。根据一个或多个实施方式,次级等离子体产生H自由基,H自由基被转移到初级等离子体,以高度解耦的方式在晶片处理区域(即,在初级等离子体产生区域)影响聚合的程度。
相信,较低的H通量会增加聚合反应,然后随着H自由基通量的增加(例如,通过增加功率或次级等离子体中的压强),最终,H自由基会开始减少聚合反应,这是由于H自由基能蚀刻聚合物。所期望的是,从数量上看,从次级等离子体注入的H自由基与简单加入到初级气体混合物的H2源气体的效果不同。
在一个或多个实施方式中,表1种第1行的组合对如ARC(防反射涂层)开口等应用可能是有用的。在某些应用中,ARC层可以表示,例如底部ARC,也被称为BARC,或含硅ARC,也称为SiARC。表1中第1行的组合,对于在衬底表面上的孔或通孔的CD(关键尺寸)也可能是有用的,在该衬底表面通常需要非常低的功率(参见在表1中的第1行中的功率方式)以控制特征刻面,并且因此难以产生足够的聚合物。在表1中的第1行的情况下,通过预离解在次级等离子体产生区域中的H2,聚合物的形成的控制可独立于初级等离子体产生区域中的离子通量或离子能量水平而得到改善。
替代地,对于Si基材料的一般的蚀刻(表1,行2),H2可以被输入到次级等离子体产生区域,同时CF4、CxFy和/或CHxFy的(x和y代表整数值)组成气体可被输入到初级等离子体区域。初级等离子体产生区域的功率方式可以是任何合适的功率方式(表1,行2)。通过预离解在表1第2行的情况下的次级等离子体产生区域中的H2,提供了另外的独立的控制旋钮(knob)用于聚合物形成的去耦控制。
这些实施方式的一个好处是:与H2是初级气体混合物的一部分的情况相比,在晶片处理区域(即,衬底表面正上方的初级等离子体产生区域),因为在次级等离子体中H2中的很大一部分会离解,因此由H2分子而导致的真空紫外(VUV)辐射的通量会大大降低。这对于衬底会有好处,因为H2诱导的VUV辐射强烈并且公知地会损坏低k电介质材料。
出于类似的原因,在低k介电材料的存在下的光致抗蚀剂剥离工艺可以被设计为包括有益的H自由基,同时在很大程度上不包括损坏性的H2-诱导的VUV辐射。相比于O自由基,H自由基公知对低k介电材料造成损伤较小,但通常在初次气体混合物中不使用H2源气体是难以产生H的。在本发明的情况下,H2是次级气体混合物的一部分以形成次级等离子体,从而提供注入的H自由基但很少的H2到初级等离子体中。例如,初级等离子体的源气体可以包括N2或其他完全无害的和/或惰性的气体,以维持等离子体并提供离子用于轰击。
如果引入聚合化学物到次级等离子体中是可取的,那么如果需要的话,次级气体混合物可以包括聚合组成气体中的一些或全部。在这种情况下,聚合气体中的一些或全部在进入次级等离子体产生区域之前可以在初级等离子体产生区域中预离解。例如,典型的氧化物蚀刻化学物可包括C4F8、O2和Ar。在一个或多个实施方式中,一些或全部的C4F8和/或一些或全部的Ar可被输入次级等离子体产生区域用于预离解。在这个实施方式中,仅仅O2可以被输入次级等离子体产生区域。相反,在另一个实施方式中,O2可以被输入次级等离子体产生区域用于预离解,而C4F8和Ar可以被输入到初级等离子体产生区域。
另一类应用是氟基蚀刻应用(例如,以蚀刻SiOx、SiCOH、SiNx、SiCN等),其中,次级等离子体用于减少初级等离子体中的聚合的程度。这通过选择碳氟化合物(FC)或氢碳氟化合物(HFC)源气体作为初级工艺气体混合物,并结合作为次级工艺气体混合物的一部分的如O2、N2、NF3等聚合物抑制气体来实现。常见的应用包括这样的依赖于碳氟化合物的电介质蚀刻,如沟槽蚀刻、掩模开口、阻挡层开口等。这些应用如表1的第3行所示,其中初级等离子体产生区域的功率方式是低偏置。
众所周知,加入到FC或HFC混合物的少量O2、N2、NF3导致降低的等离子体聚合,这是因为这些气体作用以侵蚀聚合物。在一个或多个实施方式中,次级等离子体产生转移到初级等离子体的O、N、F、和/或NFx自由基,用通过现有技术中经由简单地增加聚合物抑制气体到初级工艺气体混合物中无法实现的控制和去耦程度,影响在初级等离子体中的聚合的程度(通过蚀刻剂或氧化剂控制影响聚合物去除的程度)。
在一个或多个实施方式中,NF3和Ar被输入次级等离子体产生区域用于预离解,同时碳氟化合物气体和O2被输入到初级等离子体产生区域。在一个实施方式中,在次级等离子体产生区域中采用硅顶电极,且从次级等离子体产生区域中的蚀刻反应化学产生的SiFx(如SiF、SiF2、SiF3、SIF4等)可以从次级等离子体产生区域注入到初级等离子体产生区域。例如,这种方法可以允许SiFx注入的更好的和/或更独立的控制,这对电池蚀刻应用可能是有用的。
另一类别的应用依赖于次级等离子体的不同的作用。在这些实施方式中,次级等离子体的作用是注入超热能量的物质,如亚稳激发电子态的原子和分子,以及高激发振动态的分子,而不是如在先前的类别中那样注入化学激活的自由基物质。这些应用在表1的第4行和第5行显示。
为了注入亚稳状态的这些原子到晶片处理等离子体中,次级工艺气体混合物可以包括例如氦、氖、氩、氪和/或氙。替代地或附加地,N2可以包括在次级工艺气体混合物中,因为在典型的等离子体条件下N2公知地形成具有相对低的离解度的且强度相当大的亚稳态和振动激发态。相比于被引入电子接地态和热化振动状态的初级处理气体混合物中的原子和分子,这些有能量物质各不相同地与初级等离子体进行相互作用。特别地,能量激发的原子和分子具有较低的电离阈值,并且将趋于降低初级等离子体的电子温度(Te)和/或增加等离子体密度。
包括RF功率和压强的次级等离子体的控制参数,可用于独立地改变有能量的物质向晶片处理初级等离子体中的注入。这些次级控制参数应当影响初级等离子体条件以产生与初级控制参数完全解耦的结果。此外,在不牺牲等离子体密度而产生较低的电子温度的初级等离子体的能力应该打开高能量离子通量/低离解的等离子体方式,通常该方式用现有技术的硬件和方法不能获得。应用包括高深宽比(HARC)蚀刻或电池蚀刻,在这种蚀刻中功率方式可为具有初级等离子体产生区域中的高密度等离子体的高偏置。
另一应用可涉及低损伤光刻胶剥离(请参阅表1的第6行),其中防止对低K材料的损伤(如在侧壁或通孔底部),同时进行光刻胶剥离是合乎期望的。在此应用中,可以将H2和/或N2输入次级等离子体产生区域,用于预离解以形成氢自由基(与H2相比,倾向于不产生低K损伤的VUV辐射)和/或氮自由基。另外,可增加氢和/或氮自由基,而不相应增加初级等离子体产生区域中的离子通量。由于离子通量增加趋向于剥蚀低K值材料,这对避免对低K材料的损坏是有利的。例如,如果需要的话,CO2可被输入到初级等离子体产生区域。在一个实施方式中,在初级等离子体产生区域中优选的功率方式是低偏置。
另一类别的应用的不同之处在于只有次级等离子体被供应功率。该类别的应用的例子在表1的第7行中示出,并在只需要对多步骤的处理序列中的一些步骤进行完整的等离子体处理的情况下是有用的。在这些实施方式中,对于某些步骤,用下游等离子体条件(例如,下游剥离或下游蚀刻)处理晶片,使得活化的中性物质(如自由基)到达衬底,但没有直接的等离子体接触,这将是合乎期望的。这实现了无来自初级等离子体的离子或电子轰击的高度化学处理方式。此处理方式例如,对固化聚合物(掩模硬化)以脱氟蚀刻特征从而防止在空气中的金属腐蚀,或剥离光刻胶,或在采用用于其他蚀刻的相同工具中的类似操作可能是有用的。以这种方式,可以采用相同的蚀刻室用于蚀刻和下游剥离/下游蚀刻,而不需要使用另一室用于下游剥离/下游蚀刻,而现有技术硬件的情况下则需要使用另一室用于下游(downstream)剥离/下游蚀刻。
本发明的一个或多个实施方式涉及简化的迁移路径,其用于调整工艺参数,以得到目标工艺的参数结果。随着进入次级等离子体产生区域的RF功率的关闭并且次级原料气体注入到次级等离子体产生区域,并仅仅被允许扩散或迁移到初级等离子体产生区域(或所有的原料气体输入到初级等离子体产生区域),情况类似于现有技术的室的使用情况,其中所有组成气体被注入到单个的等离子体产生区域。这对于开始优化处理的操作者提供了方便和熟悉的起点。通过接通到次级等离子体产生区域的RF功率,提供独立控制的旋钮,用于以现有技术中不可用的方式控制次级原料气体的离解。接通到次级等离子体产生区域的RF功率对室操作者提供了逐渐引入的解耦处理调谐,从而由于改进的调谐处理的增量的性质相对破坏性的性质,以及从公知的现有技术类的起点开始的优化的事实,简化了训练。
从前述可以理解的是,本发明实施方式通过使得输入参数其效果较少地耦合到处理结果上来打开处理窗口。因此,相互依存的过程的结果之间的权衡方案是较少的,并且可以较快地进行处理调谐以实现所需的成组的处理结果,这是因为当处理结果较多地去耦时,针对一个处理结果的改变对另一处理结果的影响较小。在介电蚀刻应用中,电离与离解的去耦允许更快的处理调谐,以实现高性能的处理结果目标或允许访问用现有技术的介质蚀刻室无法获得的晶片加工条件。已经提出了各种初级和次级原料气体的组合用于改善不同的蚀刻应用或用于不同的蚀刻效果。
此外,本发明的实施方式促进了现有工艺的优化方法的增量迁移。工具操作员可通过关闭到次级等离子体产生区域的功率,用当前配方作为起点开始,并通过逐渐打开到次级等离子体产生区域的功率和/或改变到次级等离子体产生区域的其他输入参数,以逐渐利用本发明的实施方式的去耦的好处。相对于往往会增加工具操作者的采纳风险的更具破坏性的方法,在培训和使用方面,这有明显的优势。
虽然已经根据一些优选的实施方式描述了本发明,但存在落入本发明的范围的变化、置换和等同方案。虽然本文提供了各种实施例,但这些实施例意图是说明性的,而不是对于本发明的限制。另外,本发明的标题和发明内容是为了方便而提供,不应该被用来解释本发明权利要求的范围。此外,摘要是以高度简缩形式来描写的,并且在本发明中为了方便而提供,因此不应被用来解释或限制在权利要求中描述的整体的发明。如果本文采用“组”等术语,那么这类术语具有其通常所理解的数学上的意义,包括零、一或一个以上的成员。还应当指出,有许多实施本发明的方法和装置的替代的方式。因此,所附的权利要求书被解释为包括落入在本发明的真实主旨和范围之内的所有这样的变化、置换和等同方案。

Claims (24)

1.一种用于蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域,该方法包括:
提供初级原料气体进入所述初级等离子体产生区域;
提供次级原料气体进入所述次级等离子体产生区域,所述次级原料气体与初级原料气体是不同的;
从所述初级原料气体产生初级等离子体;
从所述次级原料气体产生次级等离子体;
至少使用所述初级等离子体和来自所述次级等离子体的中性物质来蚀刻所述衬底,所述中性物质从所述次级等离子体产生区域通过所述半阻挡结构迁移至所述初级等离子体产生区域,
其中所述半阻挡结构阻挡带电粒子和等离子体从初级等离子体产生区域到次级等离子体产生区域的转移。
2.根据权利要求1所述的方法,其中,所述蚀刻是电介质蚀刻。
3.根据权利要求1所述的方法,其中,所述次级等离子体产生区域中的压强大于所述初级等离子体产生区域中的压强。
4.根据权利要求1所述的方法,其中,所述次级原料气体是非聚合物形成气体。
5.根据权利要求1所述的方法,其进一步包括设置用于所述次级等离子体产生区域的输入参数以加强所述次级原料气体的离解,其中,所述次级原料气体包括氢气。
6.根据权利要求5所述的方法,其中,所述初级原料气体包括CF4、CxFy和CHxFy中的至少一种,其中x和y是整数值。
7.根据权利要求1所述的方法,其进一步包括设置用于所述次级等离子体产生区域的输入参数以加强所述次级原料气体的离解,其中,所述次级原料气体至少包含O2、N2和NF3中的至少一种。
8.根据权利要求7所述的方法,其中,所述初级原料气体包括氩气和含碳氟化合物气体中的至少一种。
9.根据权利要求1所述的方法,其进一步包括设置用于所述次级等离子体产生区域的输入参数以加强所述次级原料气体的离解,其中,所述次级原料气体包括氩气和氮气中的至少一种。
10.根据权利要求9所述的方法,其中,所述初级原料气体包括氩气、氧气和含碳氟化合物气体中的至少一种。
11.根据权利要求1所述的方法,其进一步包括设置用于所述次级等离子体产生区域的输入参数以加强所述次级原料气体的离解,其中,所述次级原料气体包括氮气。
12.根据权利要求9所述的方法,其中所述初级原料气体包含二氧化碳。
13.根据权利要求1所述的方法,其还包括设置用于所述次级等离子体产生区域的输入参数以加强所述次级原料气体的离解,其中,所述次级原料气体包括氢气,并且其中所述初级原料气体包括氮气。
14.根据权利要求1所述的方法,其中从所述等离子体处理室之外提供初级原料气体进入所述初级等离子体产生区域。
15.根据权利要求1-14中任一项所述的方法,其中独立控制用来控制次级等离子体离解的输入参数和用来产生初级等离子体的输入参数。
16.一种用于蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域,该方法包括:
提供初级原料气体进入所述初级等离子体产生区域;
提供次级原料气体进入所述次级等离子体产生区域,所述次级原料气体与初级原料气体是不同的;
从所述初级原料气体产生初级等离子体;
从所述次级原料气体产生次级等离子体;
至少使用所述初级等离子体和来自所述次级等离子体的中性物质来蚀刻所述衬底,所述中性物质从所述次级等离子体产生区域通过所述半阻挡结构迁移至所述初级等离子体产生区域;
随后关闭所述初级等离子体发生区域的功率源,从而抑制所述初级等离子体的形成;
提供另一次级原料气体到所述次级等离子体发生区域以产生另一次级等离子体;
随后在来自所述另一次级等离子体的物质迁移穿过所述半阻挡结构之后,用来自所述另一次级等离子体的所述物质在所述衬底上进行下游等离子体处理。
17.根据权利要求16所述的方法,其中,所述蚀刻表示电介质蚀刻。
18.根据权利要求17所述的方法,其中,所述另一次级原料气体包括氢气。
19.根据权利要求16所述的方法,其进一步包括设置用于所述次级等离子体产生区域的输入参数以加强所述次级原料气体和所述另一次级原料气体中的至少一种的离解。
20.根据权利要求16所述的方法,其中所述下游等离子体处理表示脱氟。
21.一种用于蚀刻等离子体处理室中的衬底的方法,该等离子体处理室至少具有初级等离子体产生区域和通过半阻挡结构与所述初级等离子体产生区域分开的次级等离子体产生区域,该方法包括:
提供初级原料气体进入所述初级等离子体产生区域;
提供次级原料气体进入所述次级等离子体产生区域,所述次级原料气体与所述初级原料气体是不同的;
从所述初级原料气体产生初级等离子体,所述产生所述初级等离子体采用电容耦合机制;
从所述次级原料气体产生次级等离子体;
至少使用所述初级等离子体和来自所述次级等离子体的中性物质来蚀刻所述衬底,所述中性物质从所述次级等离子体产生区域通过所述半阻挡结构迁移至所述初级等离子体产生区域,
其中所述半阻挡结构阻挡带电粒子和等离子体从初级等离子体产生区域到次级等离子体产生区域的转移。
22.根据权利要求21所述的方法,其中,所述产生所述次级等离子体采用电容耦合机制。
23.根据权利要求21所述的方法,其中,所述半阻挡结构包括成组的孔,所述成组的孔中的每个孔具有高的深宽比,以抑制所述每个孔中等离子体的形成。
24.根据权利要求21-23中任一项所述的方法,其中独立控制用来控制次级等离子体离解的输入参数和用来产生初级等离子体的输入参数。
CN201310379780.0A 2012-08-27 2013-08-27 加强等离子体处理系统中的等离子体增强蚀刻 Active CN103632954B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710574814.XA CN107424900A (zh) 2012-08-27 2013-08-27 加强等离子体处理系统中的等离子体增强蚀刻

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261693382P 2012-08-27 2012-08-27
US61/693,382 2012-08-27
US13/626,793 US9039911B2 (en) 2012-08-27 2012-09-25 Plasma-enhanced etching in an augmented plasma processing system
US13/626,793 2012-09-25

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710574814.XA Division CN107424900A (zh) 2012-08-27 2013-08-27 加强等离子体处理系统中的等离子体增强蚀刻

Publications (2)

Publication Number Publication Date
CN103632954A CN103632954A (zh) 2014-03-12
CN103632954B true CN103632954B (zh) 2017-08-11

Family

ID=50147080

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710574814.XA Pending CN107424900A (zh) 2012-08-27 2013-08-27 加强等离子体处理系统中的等离子体增强蚀刻
CN201310379780.0A Active CN103632954B (zh) 2012-08-27 2013-08-27 加强等离子体处理系统中的等离子体增强蚀刻

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710574814.XA Pending CN107424900A (zh) 2012-08-27 2013-08-27 加强等离子体处理系统中的等离子体增强蚀刻

Country Status (6)

Country Link
US (2) US9039911B2 (zh)
JP (1) JP2014057057A (zh)
KR (1) KR102280914B1 (zh)
CN (2) CN107424900A (zh)
SG (2) SG2013065065A (zh)
TW (2) TWI578408B (zh)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10800092B1 (en) * 2013-12-18 2020-10-13 Surfx Technologies Llc Low temperature atmospheric pressure plasma for cleaning and activating metals
US10032609B1 (en) * 2013-12-18 2018-07-24 Surfx Technologies Llc Low temperature atmospheric pressure plasma applications
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9449796B2 (en) 2014-10-24 2016-09-20 Applied Materials, Inc. Plasma processing system including a symmetrical remote plasma source for minimal ion energy
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9922840B2 (en) * 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US10504746B2 (en) 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR20190038945A (ko) * 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10431470B2 (en) 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10446405B2 (en) 2017-02-23 2019-10-15 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020149859A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1716530A (zh) * 2004-06-30 2006-01-04 应用材料有限公司 稳定等离子体处理的方法和设备

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4600464A (en) 1985-05-01 1986-07-15 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
JP2604684B2 (ja) 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
JP3360461B2 (ja) 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3364675B2 (ja) 1997-09-30 2003-01-08 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
EP1055249A1 (en) * 1998-02-09 2000-11-29 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2001274143A (ja) 2000-03-28 2001-10-05 Tdk Corp ドライエッチング方法、微細加工方法及びドライエッチング用マスク
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
AU2001288232A1 (en) 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20050025791A1 (en) 2002-06-21 2005-02-03 Julius Remenar Pharmaceutical compositions with improved dissolution
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7976673B2 (en) 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
JP4111274B2 (ja) 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211547A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7138067B2 (en) * 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
CN101223624B (zh) 2005-09-09 2011-06-15 株式会社爱发科 离子源和等离子体处理装置
KR100653073B1 (ko) 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7520999B2 (en) 2006-05-03 2009-04-21 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with dynamic adjustment of the plasma source power applicator and the workpiece relative to one another
US7998307B2 (en) * 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7611936B2 (en) 2007-05-11 2009-11-03 Freescale Semiconductor, Inc. Method to control uniformity/composition of metal electrodes, silicides on topography and devices using this method
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
WO2010023925A1 (ja) 2008-09-01 2010-03-04 独立行政法人科学技術振興機構 プラズマエッチング方法、プラズマエッチング装置及びフォトニック結晶製造方法
US8475673B2 (en) 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
WO2011007546A1 (ja) 2009-07-16 2011-01-20 キヤノンアネルバ株式会社 イオンビーム発生装置、基板処理装置及び電子デバイスの製造方法
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
KR20120137361A (ko) 2010-02-09 2012-12-20 인테벡, 인코포레이티드 태양 전지 제조용의 조정가능한 섀도우 마스크 어셈블리
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
US20130168352A1 (en) 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1716530A (zh) * 2004-06-30 2006-01-04 应用材料有限公司 稳定等离子体处理的方法和设备

Also Published As

Publication number Publication date
KR20140027895A (ko) 2014-03-07
TW201415560A (zh) 2014-04-16
US20140054269A1 (en) 2014-02-27
TW201711110A (zh) 2017-03-16
SG10201601331PA (en) 2016-03-30
US9039911B2 (en) 2015-05-26
SG2013065065A (en) 2014-03-28
CN103632954A (zh) 2014-03-12
CN107424900A (zh) 2017-12-01
TWI621186B (zh) 2018-04-11
JP2014057057A (ja) 2014-03-27
US20150206775A1 (en) 2015-07-23
KR102280914B1 (ko) 2021-07-23
TWI578408B (zh) 2017-04-11
US9418859B2 (en) 2016-08-16

Similar Documents

Publication Publication Date Title
CN103632954B (zh) 加强等离子体处理系统中的等离子体增强蚀刻
JP4388020B2 (ja) 半導体プラズマ処理装置及び方法
US9666414B2 (en) Process chamber for etching low k and other dielectric films
KR20210042939A (ko) 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
KR102390726B1 (ko) 유기막을 에칭하는 방법
KR20010040736A (ko) 종의 밀도를 개별적으로 제어할 수 있는 플라즈마어시스티드 프로세싱 챔버
KR101937727B1 (ko) 에칭 방법
KR102060671B1 (ko) 플라즈마를 이용한 객체 처리 장치
US20160358784A1 (en) Plasma-enhanced etching in an augmented plasma processing system
KR20050042018A (ko) 높은 어스펙트비의 반도체장치 에칭방법
KR970005035B1 (ko) 플라즈마발생방법 및 그 장치
KR101835683B1 (ko) 다층막을 에칭하는 방법
US9129902B2 (en) Continuous plasma ETCH process
KR102280572B1 (ko) 플라즈마 처리 방법
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
KR101919641B1 (ko) 높은 에칭 레이트를 제공하기 위한 방법
KR20160134537A (ko) 에칭 방법
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
KR20180018824A (ko) 조정 가능한 원격 해리
US11257680B2 (en) Methods for processing a workpiece using fluorine radicals
US20060016395A1 (en) Plasma processing apparatus
KR101813321B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR20240004206A (ko) 기판 처리 방법 및 기판 처리 장치
CN112201557A (zh) 基板处理装置和方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant