CN1716530A - 稳定等离子体处理的方法和设备 - Google Patents
稳定等离子体处理的方法和设备 Download PDFInfo
- Publication number
- CN1716530A CN1716530A CNA2005100798351A CN200510079835A CN1716530A CN 1716530 A CN1716530 A CN 1716530A CN A2005100798351 A CNA2005100798351 A CN A2005100798351A CN 200510079835 A CN200510079835 A CN 200510079835A CN 1716530 A CN1716530 A CN 1716530A
- Authority
- CN
- China
- Prior art keywords
- plasma
- substrate
- chamber
- atomic group
- stability device
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 58
- 238000012545 processing Methods 0.000 title claims description 14
- 239000000758 substrate Substances 0.000 claims abstract description 79
- 238000005530 etching Methods 0.000 claims abstract description 15
- 239000003381 stabilizer Substances 0.000 claims abstract description 8
- 239000007789 gas Substances 0.000 claims description 28
- 239000000463 material Substances 0.000 claims description 18
- 238000009826 distribution Methods 0.000 claims description 14
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 14
- 229910052721 tungsten Inorganic materials 0.000 claims description 14
- 239000010937 tungsten Substances 0.000 claims description 14
- 239000006227 byproduct Substances 0.000 claims description 7
- 238000009413 insulation Methods 0.000 claims description 6
- 230000015572 biosynthetic process Effects 0.000 claims description 5
- 238000001020 plasma etching Methods 0.000 claims description 5
- 238000009832 plasma treatment Methods 0.000 claims description 4
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 4
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 4
- 230000008093 supporting effect Effects 0.000 claims description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 3
- 239000004411 aluminium Substances 0.000 claims description 3
- 229910052782 aluminium Inorganic materials 0.000 claims description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 2
- 230000006698 induction Effects 0.000 claims 2
- 238000000605 extraction Methods 0.000 claims 1
- 229910000041 hydrogen chloride Inorganic materials 0.000 claims 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 claims 1
- 229910052757 nitrogen Inorganic materials 0.000 claims 1
- 239000010453 quartz Substances 0.000 claims 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims 1
- 230000008569 process Effects 0.000 abstract description 18
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 3
- 150000002500 ions Chemical class 0.000 description 10
- 230000015654 memory Effects 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 241000894007 species Species 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 229910052804 chromium Inorganic materials 0.000 description 3
- 239000011651 chromium Substances 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 239000004744 fabric Substances 0.000 description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000001976 improved effect Effects 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000005728 strengthening Methods 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 101000911390 Homo sapiens Coagulation factor VIII Proteins 0.000 description 1
- 230000004308 accommodation Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- -1 chlorine oxonium compound Chemical class 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 102000057593 human F8 Human genes 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000012797 qualification Methods 0.000 description 1
- 229940047431 recombinate Drugs 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000009418 renovation Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F4/00—Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23F—NON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
- C23F1/00—Etching metallic material by chemical means
- C23F1/08—Apparatus, e.g. for photomechanical printing surfaces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Abstract
本发明提供一种利用空间分布经修改(spatiallymodified)的等离子体蚀刻衬底的方法和设备。在一个实施例中,该方法包括提供在衬底支承底座上方配置有等离子体稳定器的处理腔室。衬底被放置到底座上。处理气体被引入处理腔室,而等离子体就由此处理气体形成。用等离子体蚀刻衬底,而该等离子体具有由等离子体稳定器限定的离子密度与原子团密度比。
Description
技术领域
本发明的实施例通常涉及衬底的等离子体处理的方法和设备,尤其涉及到用稳定等离子体蚀刻衬底的方法和设备。
背景技术
集成电路已经发展成复杂的装置,其可在单个芯片上包括数以百万计的晶体管、电容器和电阻器。芯片设计的发展不断要求更快的电路和更大的电路密度。由于电路能够执行的功能的速度与数量随着电路结构密度的增长而增长,因此,电路密度具有显著的重要性。一些影响集成电路的速度和电路密度的设计属性包括用于形成层的材料的电阻与厚度,该层包括形成在衬底上的电路结构。
金属材料被用来形成电路结构,如有线线路互连、通路、电极等。金属结构对集成电路的功能性是关键。钨是一种常用于制作电路结构的金属。钨可用传统的化学气相沉积(CVD)法精确沉积,并且钨通常具有低电阻系数。电路设计人员已经发现钨是可与多晶硅相邻使用的优良材料,因为钨表现出良好的抗多晶硅渗透性,这就使得钨在衬底处理和装置使用过程中保持其物理属性。
为了使电路密度最大化,就必须最小化包括电路结构的层,包括那些包括钨的层。但是,在处理这样薄的层时,必须小心以避免在处理过程中损坏这些层。受损的层会导致电路结构有缺陷,衬底次品增加。
蚀刻是容易损坏这些薄层的一种工艺。通常用氟化物来去除曝露的钨和其他物质。等离子体可用于增强蚀刻工艺。但是,保持等离子体稳定很困难。增加等离子体稳定性的一个方法是增加供应到腔室的功率。另一个方法是减小被蚀刻的衬底与腔室顶端之间的间隙。遗憾的是,增加功率和减小间隙都会导致增强对衬底的离子轰击,这可能严重损坏正在衬底上形成的电路。
因此,本领域需要一种在衬底上蚀刻材料的改进方法和设备。
发明内容
本发明主要提供一种利用空间分布经修改的等离子体来蚀刻衬底的方法和设备。在一个实施例中,所述方法包括提供带有等离子体稳定器的处理腔室,该稳定器置于衬底支承底座上方。衬底被放在所述底座上面。处理气体被引进处理腔室,且等离子体就由该处理气体形成。所述衬底用等离子体蚀刻,等离子体具有由等离子体稳定器限定的离子密度与原子团密度比。
在本发明的另一个实施例中,提供一种用空间分布经修改的等离子体蚀刻衬底的设备。该设备包括其中置有衬底支承底座的处理腔室。RF电源被提供以在该腔室内形成等离子体。等离子体稳定器被配置在腔室内的所述底座上方。该等离子体稳定器控制带电的和电中性等离子体物质的空间分布。该等离子体稳定器可包括一个基本扁平的构件,其与腔室电绝缘。该构件具有多个穿透其中形成的孔眼。
附图说明
为了使本发明的上述特征能被详细地理解,可通过参考实施例对本发明(在上文已有简要概述)给出更具体的说明,一些实施例由附图示出。但是,应当注意,附图仅仅示出本发明的典型实施例,因此不能认为是限定本发明的范围,因为本发明允许其他同样有效的实施例。
图1是带有等离子体稳定器的蚀刻反应器的示意图;
图2是图1所示等离子体稳定器的一个实施例的局部透视图;和
图3是钨蚀刻方法的流程图。
具体实施方式
本发明提供一种改进蚀刻工艺的方法和设备。该设备包括放置在等离子体处理腔室内的等离子体稳定器。该等离子体稳定器在处理过程中控制腔室内带电及电中性物质的空间分布,这样,在腔室上部处理区(等离子体稳定器上方)形成密集稳定的等离子体,并在下部处理区(在等离子体稳定器与置于衬底支承底座上的衬底之间)形成具有受控特性的等离子体。
图1描述的是带有等离子体稳定器170的蚀刻反应器100的示意图。可适合用于此处所公开的示教原理的合适反应器包括,如去耦等离子体源(Decoupled Plasma Source)(DPS)I及DPSII反应器,均由加利福尼亚州Santa Clara的Applied Materials公司提供。DPS及DPSII反应器还可用作Centura集成半导体晶片处理系统的处理模块,该处理系统也由Applied Materials公司提供。此处所示反应器100的具体实施例是用于说明目的,而不能用于限定本发明的范围。
反应器100通常包括处理腔室102和控制器146。处理腔室102在导电体(壁)104内有衬底底座124。腔室102有基本扁平的绝缘顶板108。腔室102的其他实施例可具有其他类型的顶板,如穹形顶板。天线110安装在顶板108上方。天线110包括一个或多个可有选择地控制的感应线圈元件(图1说明性地示出两个共轴元件110a和110b)。天线110通过第一匹配网络114耦合至等离子体电源112。等离子体电源112通常可产生高达3000W左右的功率,在大约50KHz到大约13.56MHz范围内频率可调。
衬底底座(阴极)124通过第二匹配网络142耦合至偏压电源140。偏压电源140通常是个高达500W左右的电源,频率大约为13.56MHz,能产生持续功率或者脉冲功率。或者,电源140可以是DC电源或者脉冲DC电源。
在一个实施例中,衬底支承底座124包括静电夹盘160。静电夹盘160包括至少一个夹钳电极132并受夹盘电源166控制。在可选实施例中,衬底底座124可以包括衬底保持机构,如基座夹环(susceptor clampring)、机械夹盘等。
提升装置138用来降低衬底122至衬底支承底座124上或举起衬底122离开衬底支承底座124。通常,提升装置138包括多个穿过相应导孔136的起模顶杆130(一个起模顶针被示出)。
工作时,衬底122的温度通过稳定衬底底座124的温度加以控制。在一个实施例中,衬底支承底座124包括电阻加热器144和散热器128。电阻加热器144通常包括至少一个加热元件134,并由加热器电源168调节。来自气体源156的后部气体(backside gas)(如,氦气)通过气体导管158提供给在衬底122下的底座表面中形成的通道。后部气体用来促进底座124和衬底122间的热传递。处理过程中,底座124可由嵌入式电阻加热器144加热至稳态温度,并与后部气体氦一起,共同促进衬底122的均匀加热。利用这样的热控制,衬底122的温度可保持在0到350摄氏度之间的某一温度。
等离子体稳定器170安装在腔室102内底座124的上方。等离子体稳定器170在处理过程中控制腔室102里带电物质与电中性物质的空间分布,因此在腔室上部处理区(等离子体稳定器170上方)形成密集稳定的等离子体,并在下部处理区(在等离子体稳定器170与置于衬底支承底座124上的衬底122之间)形成具有受控特性的等离子体。
等离子体稳定器170与腔壁104和底座124电绝缘,且通常包括基本扁平的平板172和多个支柱176。平板172由支柱176支承,位于腔室102内底座124的上方。平板172限定一个或多个开口(孔眼),开口在平板172表面限定所需的开孔面积。等离子体稳定器170的开孔面积控制从处理腔室102的上部处理区178中形成的等离子体到位于等离子体稳定器170和衬底122之间的下部处理区180的离子数量。因此,等离子体稳定器170的开孔面积控制处理腔室102中等离子体的带电物质与电中性物质的空间分布。开孔面积越大,越多的离子可穿过等离子体稳定器170。同样,孔眼174的大小影响处理区180里的离子密度。
等离子体稳定器170的孔眼174,或者开孔面积,还影响由于扩散至处理腔室102的上部处理区178(RF功率在此沉积到等离子体中)而产生于衬底122表面上的蚀刻副产物的量。选择孔眼174的大小,以允许在上部处理区178产生的足够多的离子和原子团到达衬底122的表面,并防止蚀刻副产物显著使RF功率在等离子体中的沉积不稳定。
另外,开孔面积越大,等离子体的带电物质与电中性物质的空间分布变得越均匀。较大的开孔面积也减弱等离子体的稳定性。因此,通过控制等离子体稳定器170的开孔面积,等离子体的稳定性就被控制。而且,上部和下部处理区178,180中带电与电中性物质的空间分布得到控制,从而控制蚀刻的均匀性和选择性。
图2描述等离子体稳定器170的一个特定的实施例。在该实施例中,等离子体稳定器170包括具有一个或多个孔眼174的平板172,和多个支柱176。平板172应当足够厚以保证其坚固,并足够薄以阻止等离子体中形成的离子重组。平板172可由陶瓷(如氧化铝)、石英、阳极氧化铝,或其他与工艺化学性质和环境相容的材料制造。在另一个实施例中,平板172可包括筛网或筛孔,其中,该筛网或筛孔的开孔面积相当于一个或多个孔眼174提供的所需开孔面积。或者,也可以利用平板与筛网或筛孔的组合。
一个或多个孔眼174可在大小、在平板172的表面上的间距与几何排列上变化以获得所需开孔面积。孔眼174应当足够大,以允许等离子体充分穿透平板172,并可被排列以在平板172的表面限定从大约2%至大约90%的开孔面积。在一个实施例中,孔眼174的直径大于0.2英寸(0.51cm)。在一个实施例中,一个或多个孔眼174包括多个直径大约半英寸(1.25cm)的孔,其排列成方格网图案。可以设想,可利用其他尺寸的孔或大小不一的孔,把这些孔排列成其他几何图案或任意图案。在另一个实施例中,一个或多个孔眼174可包括单个孔眼174。在一个实施例中,单个孔眼174可与置于底座124上的衬底122具有大致相同的尺寸和形状。
孔的大小、形状和图案可取决于下部处理区180中所需的离子密度而变化。例如,为了增大处理区180里的原子团与离子的密度比,可使用更多的直径小的孔。在其他情况下,孔可被制作得更大,或者多个较大的孔周围点缀着小的孔,以增大处理区180里的离子密度与原子团密度比。或者,较大孔可位于平板172的特定区域,以限定处理区180内离子分布的轮廓。还可以设想,这些孔可与平板的表面非垂直,也就是,它们可与平板成一角度。
等离子体稳定器170被支承的高度可变化,以进一步控制蚀刻工艺。等离子体稳定器170与顶板108的距离越近,上部处理区178就越小。小的上部处理区178促使等离子体更稳定。在一个实施例中,等离子体稳定器170位于距顶板108大约1英寸(2.54cm)处。通过将等离子体稳定器170定位于更接近底座124,因此也就更接近衬底122处,可以得到更快的蚀刻速率。或者,通过定位等离子体稳定器170于距底座124较远处,可以得到较低的但是控制得更好的蚀刻速率。在一个实施例中,等离子体稳定器170位于距底座124大约2英寸处。或者,等离子体稳定器170可具有轮廓形状,以在某些区域离顶板108较近,而在其他区域离顶板108则较远。因而以所需的方式调整处理腔室102的上部处理区178以控制等离子体的形状或轮廓。
为了保持平板172相对于衬底122的空间分离关系,平板172由多个置于底座124上的支柱176支承。支柱176通常沿底座124的外部周界或环形边126分布,可由与平板172相同的材料制成。在一个实施例中,可利用三个支柱176为等离子体稳定器170提供稳定支承。支柱176通常将平板172保持在与衬底122或底座124基本平行的方向。但是,可以设想,可使用长度不一的支柱176使其方向具有角度。
支柱176的上端可被压配合进入在平板172上形成的对应的孔。或者,支柱176的上端可穿入平板172或者穿入固定在平板172底面的卡扣。与处理环境相容的其他传统固定方法也可用来把支柱176固定至平板172。
支柱176可支撑在底座124或环形边126上。或者,支柱176可延伸进入在底座124或环形边126里形成的容纳孔(未示出)。也可考虑利用其他固定方法,如螺纹连接、螺栓连接、焊接等,来把等离子体稳定器170固定至底座124或环形边126。如果固定到环形边126上,等离子体稳定器170可以是易于置换的工艺套件的一部分,以便于使用、维护、置换等。可以设想,等离子体稳定器170可以经配置以易于在现有处理腔室里进行改型翻新。
或者,只要平板172与接地通路绝缘,也可以利用其他方式,如使用固定到腔壁104的支架(未示出)或者处理腔室102里的其他结构,将平板172支承在底座124的上方。
回到图1,一种或多种处理气体由气体控制板(gas panel)120提供至处理腔室102。处理气体通常通过位于衬底底座124上方的一个或多个入口116(如,开口、喷射器等)提供。在图1所示实施例中,处理气体是利用环形气体通道118被提供给入口116的。气体通道118可在腔壁104或者耦合至腔壁104的气环(如所示的)里形成。在蚀刻工艺过程中,通过由等离子体电源112施加功率至天线110来把处理气体激励成等离子体。
腔室102里的压力通过节流阀162和真空泵164来控制。腔壁104的温度利用穿越腔壁104的含液体管道(未示出)加以控制。通常,腔壁104由金属形成(如铝、不锈钢等)并耦合至地线106。处理腔室102还包括过程控制、内部诊断、终点检测等常规系统。这些系统一起作为支持系统154示出。
控制器146包括中央处理单元(CPU)150、存储器148和CPU150的辅助电路152,并且促进了对处理腔室102各部件及蚀刻工艺的控制,下文将进行更详细的描述。控制器146可以是任意一种形式的可用于工业环境下控制各种腔室和子处理器的通用计算机处理器。CPU150的存储器,或计算机可读介质642可以是一种或多种已有存储器,如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或其他任何形式的本地或远程数字存储器。辅助电路152耦合至CPU150,以传统方式支持处理器。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路和子系统等。本创造性方法通常作为一个软件程序储存在存储器148里。或者,该软件程序还可储存在并/或由从CPU(未示出)执行,该从CPU远离CPU150所控制的硬件。
利用等离子体稳定器170蚀刻位于衬底上的钨层的一个示例性方法300在图3的流程图中描述并结合图1说明。方法300开始于步骤302,衬底122被放置到处理腔室102中,在等离子体稳定器170下方的支承底座124上面。衬底122通常是一个半导体衬底,其上有至少部分曝露的钨层。从大约0到大约200W的偏压功率可由偏压电源140施加到静电夹盘160,以帮助在处理过程中保持衬底122在底座124上的合适位置。在一个实施例中,施加大约50W的偏压功率。尽管钨被描述成能利用本发明的等离子体稳定器170有利蚀刻的材料的一个范例,其他材料,特别是金属,也能利用稳定器170和由此生成的等离子体有利地蚀刻。
在步骤304,处理气体被引入处理腔室102。该处理气体可以是六氟化硫(SF6),还可包括氮气(N2)。SF6可以以大约20至大约300标准立方厘米每分钟(sccm)的速率提供。在一个实施例中,SF6以大约48sccm的速率提供。N2可以以大约0至大约30sccm的速率提供。在一个实施例中,N2以大约12sccm的速率提供。其他适合蚀刻的处理气体包括氯气(Cl2)、三氟化氮(NF3)、四氟化碳(CF4)、氯化氢(HCl)等。这些处理气体可以与SF6相似的速率范围提供,也可以是气体混合物的一部分,或者与其他处理气体如N2,一同引入。处理腔室102内的压力通常被控制在大约3到50mTorr的范围内。在一个实施例中,处理腔室102内的压力控制在大约10mTorr。
在步骤306,通过从等离子体电源112施加RF功率至天线110形成等离子体。提供的功率范围通常在大约100至大约1200W之间。在一个实施例中,RF功率以大约600W的功率级被施加到天线110,频率大约为13.56MHz。
等离子体在步骤306中形成时,等离子体稳定器170在上部处理区178中提供密集稳定的等离子体。这样,使衬底122能在较低的压力和功率要求下被蚀刻。特别地,在不含等离子体稳定器170的蚀刻腔室里的覆钨衬底上进行的等离子体稳定性测量表明,在从大约0到大约60mTorr的压力范围,维持稳定的等离子体需要大约1500W及更高的RF功率。在大约10到大约30mTorr的压力范围内,稳定等离子体需要的功率显著更高,并且在有些情形下,即使功率级超过3000W,等离子体也不能稳定。一套类似的等离子体稳定性测量在同一个但装有等离子体稳定器170的腔室内进行。利用等离子体稳定器170,发现在从大约0到大约60mTorr的压力范围里,以大约500W的RF功率,等离子体就能稳定。这样,等离子体稳定器170的使用就拓宽了该工艺窗口(process window),使蚀刻工艺能在以前不能进行蚀刻的压力与功率级下进行。而且,更稳定的等离子体,结合对上部和下部处理区178、180里带电与电中性物质的空间分布的控制,提高了蚀刻的均匀性与选择性。
对于等离子体处理的其他应用,处理衬底产生的副产物可对处理结果造成不利影响。例如,利用氯和氧等离子体蚀刻铬的时候,由衬底生成的铬的氯氧化合物蚀刻副产物能被等离子体分离,这就抑制了蚀刻工艺。在该项应用中,等离子体稳定器的使用阻止了蚀刻副产物到达RF功率沉积的区域,因此提高了铬蚀刻工艺的均匀性与选择性。
等离子体处理的其他应用如CVD、PVD、门电路氮化和等离子体注入等的稳定性与均匀性的类似改进可通过利用上述等离子体稳定器来实现。
尽管上文是针对本发明的几个实施例,但不偏离本发明的基本范围还可以设计出其他和更多的实施例,并且本发明的范围由权利要求确定。
Claims (31)
1.一种等离子体处理衬底的设备,其包括:
处理腔室;
位于其中的衬底支承底座;
用来在所述腔室内形成等离子体的RF电源;和
等离子体稳定器,其位于所述腔室内所述底座上方,并适合于控制等离子体的带电物质与电中性物质的空间分布。
2.如权利要求1所述设备,其中所述RF电源感应耦合至所述处理腔室。
3.如权利要求1所述设备,其中所述等离子体稳定器位于所述处理腔室的顶板下大约1.25cm处。
4.如权利要求1所述设备,其中所述等离子体稳定器还包括:
与所述腔室电绝缘的构件;和
穿透该构件形成的多个孔眼。
5.如权利要求4所述设备,其中所述孔眼的直径大约为1.25cm。
6.如权利要求4所述设备,其中所述构件与所述底座的衬底支承面不平行。
7.如权利要求4所述设备,其中所述孔眼的孔大小、形状、位置和在所述构件表面上的分布限定在靠近衬底处所需的离子密度与原子团密度比。
8.如权利要求4所述设备,其还包括:
在所述底座上方支承所述构件的多个支承支柱。
9.如权利要求8所述设备,其中所述支柱支承所述构件并使之与所述底座基本平行并隔开。
10.如权利要求8所述设备,其还包括:
沿所述支承底座的上表面周边安置的环形边,其上伸出多个支承支柱。
11.如权利要求4所述设备,其中所述构件至少是由陶瓷、石英或阳极氧化铝中的一种材料制成。
12.如权利要求4所述设备,其中所述等离子体稳定器与地面电绝缘。
13.如权利要求1所述设备,其中,形成的等离子体在所述等离子体稳定器上方的第一处理区的离子密度与原子团密度比不同于在所述稳定器下方的第二处理区的离子密度与原子团密度比。
14.如权利要求13所述设备,其中所述离子密度与原子团密度比在所述第二处理区较低。
15.一种蚀刻的方法,其包括:
提供处理腔室,所述处理腔室具有适合接收衬底于其上的衬底支承底座和置于所述底座上方的等离子体稳定器;
放置衬底于所述底座之上;
引入处理气体至所述处理腔室内;
由所述处理气体形成等离子体;和
用等离子体蚀刻所述衬底,该等离子体的离子密度与原子团密度比由所述等离子体稳定器限定。
16.如权利要求15所述方法,其中,引入处理气体至所述处理腔室内的步骤还包括:
引入从由六氟化硫、三氟化氮、四氟化碳和氯化氢组成的组中选择的气体。
17.如权利要求15所述方法,其中,引入处理气体至所述处理腔室内的步骤还包括:
引入六氟化硫至所述处理腔室内。
18.如权利要求15所述方法,其中,形成等离子体的步骤还包括:
感应耦合RF功率至靠近所述处理腔室放置的天线。
19.如权利要求15所述方法,其中,蚀刻所述衬底的步骤还包括:
限定孔大小、形状、位置和在所述等离子体稳定器表面上的分布,以控制靠近所述衬底处的离子密度与原子团密度比。
20.如权利要求15所述方法,其中,蚀刻所述衬底的步骤还包括:
基本阻止蚀刻副产物扩散至所述等离子体稳定器上方的处理腔室第一区域。
21.一种蚀刻位于衬底上的钨层的方法,其包括:
提供处理腔室,所述处理腔室具有适合接收衬底于其上的衬底支承底座和置于所述底座上方的等离子体稳定器;
放置衬底于所述底座之上;
引入六氟化硫和氮气至所述处理腔室内;
由该处理气体形成等离子体;和
用等离子体蚀刻所述衬底,该等离子体的离子密度与原子团密度比由所述等离子体稳定器限定。
22.如权利要求21所述方法,其中所述蚀刻步骤还包括:
通过控制开孔面积占所述等离子体稳定器的百分比来控制离子密度与原子团密度比。
23.如权利要求21所述方法,其中所述形成等离子体的步骤还包括:
在所述等离子体稳定器上方的第一处理区中限定的所述处理腔室的一个区域里形成等离子体。
24.如权利要求23所述方法,其中,所述等离子体稳定器还包括:
一个构件,其具有穿透其中而形成的多个孔眼。
25.如权利要求23所述方法,其中蚀刻所述衬底的步骤还包括:
将所述衬底曝露在等离子体中,该等离子体在所述腔室的上部处理区有第一离子密度与原子团密度比,并在所述腔室的下部处理区有第二离子密度与原子团密度比。
26.如权利要求25所述方法,其中,所述第二离子密度与原子团密度比低于所述第一离子密度与原子团密度比。
27.如权利要求23所述方法,其中蚀刻所述衬底的步骤还包括:
基本阻止蚀刻副产物扩散至所述第一处理区。
28.一种用于等离子体蚀刻的设备,其包括:
处理腔室;
置于所述腔室内的衬底支承底座;
用来在所述腔室内形成等离子体的RF电源;和
控制等离子体的带电物质与电中性物质的空间分布的装置。
29.一种蚀刻的方法,其包括:
在腔室的第一区域形成包括离子和原子团的等离子体;
从该等离子体中滤出原子团;和
主要用从该等离子体中滤出的原子团蚀刻衬底。
30.如权利要求29所述方法,其中滤出原子团的步骤还包括:
在所述腔室第一区域形成具有第一离子密度与原子团密度比的等离子体;和
从该等离子体中提取离子,以在所述腔室的第二区域形成具有第二离子密度与原子团密度比的等离子体。
31.如权利要求29所述方法,其还包括:
基本阻止蚀刻副产物扩散至所述第一区域。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/880,754 | 2004-06-30 | ||
US10/880,754 US8349128B2 (en) | 2004-06-30 | 2004-06-30 | Method and apparatus for stable plasma processing |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2011101891550A Division CN102280341A (zh) | 2004-06-30 | 2005-06-29 | 稳定等离子体处理的方法和设备 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1716530A true CN1716530A (zh) | 2006-01-04 |
CN1716530B CN1716530B (zh) | 2011-08-17 |
Family
ID=35512822
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2005100798351A Active CN1716530B (zh) | 2004-06-30 | 2005-06-29 | 稳定等离子体处理的方法和设备 |
CN2011101891550A Pending CN102280341A (zh) | 2004-06-30 | 2005-06-29 | 稳定等离子体处理的方法和设备 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2011101891550A Pending CN102280341A (zh) | 2004-06-30 | 2005-06-29 | 稳定等离子体处理的方法和设备 |
Country Status (4)
Country | Link |
---|---|
US (3) | US8349128B2 (zh) |
KR (1) | KR100859313B1 (zh) |
CN (2) | CN1716530B (zh) |
TW (1) | TWI290963B (zh) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101448580B (zh) * | 2006-03-24 | 2011-02-23 | 应用材料公司 | 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺 |
CN102798149A (zh) * | 2012-07-26 | 2012-11-28 | 中国人民解放军装备学院 | 发动机等离子体凹腔稳燃器 |
CN103003926A (zh) * | 2010-06-25 | 2013-03-27 | 应用材料公司 | 具有减少的离子流的预清洁腔室 |
CN103632954A (zh) * | 2012-08-27 | 2014-03-12 | 朗姆研究公司 | 加强等离子体处理系统中的等离子体增强蚀刻 |
CN104704613A (zh) * | 2012-10-09 | 2015-06-10 | 应用材料公司 | 用离子防护件处理基板的方法和设备 |
US9431269B2 (en) | 2013-07-11 | 2016-08-30 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9633846B2 (en) | 2013-04-05 | 2017-04-25 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
CN104035276B (zh) * | 2006-10-30 | 2018-07-27 | 应用材料公司 | 用于光掩模等离子体蚀刻的方法和装置 |
US10224221B2 (en) | 2013-04-05 | 2019-03-05 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
CN109690727A (zh) * | 2016-09-12 | 2019-04-26 | 瓦里安半导体设备公司 | 自由基与反应性中性离子束的角度控制 |
CN112368797A (zh) * | 2017-02-15 | 2021-02-12 | 良率工程系统公司 | 等离子体扩散设备和系统及在处理炉中扩散等离子体的方法 |
WO2024045389A1 (zh) * | 2022-08-31 | 2024-03-07 | 江苏鲁汶仪器有限公司 | 晶圆刻蚀方法 |
Families Citing this family (173)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101127294B1 (ko) | 2003-02-14 | 2012-03-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 수소-함유 라디칼을 이용한 자연 산화물 세정 |
US20060000802A1 (en) * | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
KR100699680B1 (ko) * | 2005-12-28 | 2007-03-23 | 동부일렉트로닉스 주식회사 | 반도체 소자 제조장치 및 이를 이용한 반도체 소자제조방법 |
GB0616131D0 (en) * | 2006-08-14 | 2006-09-20 | Oxford Instr Plasma Technology | Surface processing apparatus |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
CN101884069B (zh) * | 2007-12-06 | 2012-12-12 | 因特瓦克公司 | 用于构图介质的商业制造的系统和方法 |
US8329055B2 (en) * | 2008-10-02 | 2012-12-11 | Varian Semiconductor Equipment Associates, Inc. | Plasma uniformity control using biased array |
US8834732B2 (en) * | 2008-10-02 | 2014-09-16 | Varian Semiconductor Equipment Associates, Inc. | Plasma uniformity control using biased array |
KR101069384B1 (ko) * | 2008-11-14 | 2011-09-30 | 세메스 주식회사 | 플라즈마 안테나 및 이를 포함하는 플라즈마 처리 장치 |
US20100270262A1 (en) * | 2009-04-22 | 2010-10-28 | Applied Materials, Inc. | Etching low-k dielectric or removing resist with a filtered ionized gas |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20110315319A1 (en) * | 2010-06-25 | 2011-12-29 | Applied Materials, Inc. | Pre-clean chamber with reduced ion current |
JP6100691B2 (ja) * | 2010-10-28 | 2017-03-22 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高純度アルミニウムコーティングの硬質陽極酸化処理 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP5685094B2 (ja) * | 2011-01-25 | 2015-03-18 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US9064815B2 (en) * | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8802545B2 (en) * | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9105705B2 (en) * | 2011-03-14 | 2015-08-11 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
KR101926571B1 (ko) | 2011-05-31 | 2018-12-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 |
CN107452592B (zh) | 2011-05-31 | 2019-11-12 | 应用材料公司 | 边缘保护板、边缘保护组件以及用于处理基板的设备 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) * | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
CN104347339B (zh) * | 2013-08-09 | 2017-04-05 | 中微半导体设备(上海)有限公司 | 用于感应耦合等离子体腔室中射频窗的加热器 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US20160177442A1 (en) * | 2014-12-18 | 2016-06-23 | Ervin Beloni | Gas shield for vapor deposition |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US20170178758A1 (en) * | 2015-12-18 | 2017-06-22 | Applied Materials, Inc. | Uniform wafer temperature achievement in unsymmetric chamber environment |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9960049B2 (en) | 2016-05-23 | 2018-05-01 | Applied Materials, Inc. | Two-step fluorine radical etch of hafnium oxide |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
JP6847610B2 (ja) * | 2016-09-14 | 2021-03-24 | 株式会社Screenホールディングス | 熱処理装置 |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
TWM563652U (zh) | 2016-10-13 | 2018-07-11 | 美商應用材料股份有限公司 | 用於電漿處理裝置的腔室部件及包含其之裝置 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10790121B2 (en) | 2017-04-07 | 2020-09-29 | Applied Materials, Inc. | Plasma density control on substrate edge |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
WO2023076078A1 (en) * | 2021-10-29 | 2023-05-04 | Lam Research Corporation | Phased array antennas and methods for controlling uniformity in processing a substrate |
Family Cites Families (105)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4496420A (en) * | 1984-04-06 | 1985-01-29 | Bmc Industries, Inc. | Process for plasma desmear etching of printed circuit boards and apparatus used therein |
US4600464A (en) * | 1985-05-01 | 1986-07-15 | International Business Machines Corporation | Plasma etching reactor with reduced plasma potential |
JPS62299031A (ja) | 1986-06-18 | 1987-12-26 | Nec Corp | 平行平板型エツチング装置の電極構造 |
JPH02184029A (ja) | 1989-01-11 | 1990-07-18 | Fujitsu Ltd | ドライエッチング装置 |
JPH07101685B2 (ja) * | 1989-01-26 | 1995-11-01 | 富士通株式会社 | マイクロ波プラズマ処理装置 |
US5075256A (en) * | 1989-08-25 | 1991-12-24 | Applied Materials, Inc. | Process for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer |
JP2888258B2 (ja) | 1990-11-30 | 1999-05-10 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
JPH04240725A (ja) | 1991-01-24 | 1992-08-28 | Sumitomo Electric Ind Ltd | エッチング方法 |
JPH05326452A (ja) | 1991-06-10 | 1993-12-10 | Kawasaki Steel Corp | プラズマ処理装置及び方法 |
KR100276093B1 (ko) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
SG44770A1 (en) | 1993-02-24 | 1997-12-19 | Givaudan Roure Int | Cyclic compounds |
US5662770A (en) * | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP3257741B2 (ja) * | 1994-03-03 | 2002-02-18 | 東京エレクトロン株式会社 | プラズマエッチング装置及び方法 |
US5900103A (en) | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
JPH08148473A (ja) | 1994-11-15 | 1996-06-07 | Toshiba Corp | プラズマ処理装置 |
US5811022A (en) * | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US5605637A (en) * | 1994-12-15 | 1997-02-25 | Applied Materials Inc. | Adjustable dc bias control in a plasma reactor |
US5688358A (en) * | 1995-03-08 | 1997-11-18 | Applied Materials, Inc. | R.F. plasma reactor with larger-than-wafer pedestal conductor |
US5673922A (en) * | 1995-03-13 | 1997-10-07 | Applied Materials, Inc. | Apparatus for centering substrates on support members |
KR100197649B1 (ko) | 1995-09-29 | 1999-06-15 | 김영환 | 박막 증착장치 |
US5614026A (en) * | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5904571A (en) * | 1996-06-28 | 1999-05-18 | Lam Research Corp. | Methods and apparatus for reducing charging during plasma processing |
US6048435A (en) * | 1996-07-03 | 2000-04-11 | Tegal Corporation | Plasma etch reactor and method for emerging films |
AU4741497A (en) * | 1996-09-30 | 1998-04-24 | Lam Research Corporation | Apparatus for reducing polymer deposition on substrate support |
JPH10270430A (ja) * | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | プラズマ処理装置 |
US6071372A (en) * | 1997-06-05 | 2000-06-06 | Applied Materials, Inc. | RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls |
JPH1167727A (ja) | 1997-08-19 | 1999-03-09 | Hitachi Ltd | プラズマ処理装置及びその方法 |
US5972781A (en) * | 1997-09-30 | 1999-10-26 | Siemens Aktiengesellschaft | Method for producing semiconductor chips |
JP2001520452A (ja) * | 1997-10-15 | 2001-10-30 | 東京エレクトロン株式会社 | プラズマの密度分布を調節する装置及び方法 |
US6077404A (en) * | 1998-02-17 | 2000-06-20 | Applied Material, Inc. | Reflow chamber and process |
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
US6203657B1 (en) * | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US6335293B1 (en) * | 1998-07-13 | 2002-01-01 | Mattson Technology, Inc. | Systems and methods for two-sided etch of a semiconductor substrate |
US6261406B1 (en) * | 1999-01-11 | 2001-07-17 | Lsi Logic Corporation | Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface |
US6251217B1 (en) | 1999-01-27 | 2001-06-26 | Applied Materials, Inc. | Reticle adapter for a reactive ion etch system |
US20020033233A1 (en) * | 1999-06-08 | 2002-03-21 | Stephen E. Savas | Icp reactor having a conically-shaped plasma-generating section |
US6375748B1 (en) * | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6287643B1 (en) * | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6364949B1 (en) * | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
KR20010039233A (ko) | 1999-10-29 | 2001-05-15 | 윤종용 | 반도체 스퍼터링 설비의 웨이퍼 에칭 장치 |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
US6553332B2 (en) * | 1999-12-22 | 2003-04-22 | Texas Instruments Incorporated | Method for evaluating process chambers used for semiconductor manufacturing |
US6676800B1 (en) * | 2000-03-15 | 2004-01-13 | Applied Materials, Inc. | Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation |
WO2001075188A2 (en) * | 2000-03-30 | 2001-10-11 | Tokyo Electron Limited | Method of and apparatus for gas injection |
US6514378B1 (en) * | 2000-03-31 | 2003-02-04 | Lam Research Corporation | Method for improving uniformity and reducing etch rate variation of etching polysilicon |
US6635117B1 (en) * | 2000-04-26 | 2003-10-21 | Axcelis Technologies, Inc. | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system |
US6559026B1 (en) | 2000-05-25 | 2003-05-06 | Applied Materials, Inc | Trench fill with HDP-CVD process including coupled high power density plasma deposition |
US20040011468A1 (en) * | 2000-05-30 | 2004-01-22 | Jun Hirose | Gas introduction system for temperature adjustment of object to be processed |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US20020185226A1 (en) * | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
WO2002023610A1 (fr) * | 2000-09-14 | 2002-03-21 | Tokyo Electron Limited | Dispositif d'usinage par plasma, plaque d'electrodes, porte-electrodes et bague protectrice du dispositif |
WO2002033729A2 (en) * | 2000-10-16 | 2002-04-25 | Tokyo Electron Limited | Plasma reactor with reduced reaction chamber |
JP4366856B2 (ja) * | 2000-10-23 | 2009-11-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP4877884B2 (ja) * | 2001-01-25 | 2012-02-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20020121501A1 (en) * | 2001-03-05 | 2002-09-05 | Choquette Scott F. | Reduction of sodium contamination in a semiconductor device |
US20020142612A1 (en) * | 2001-03-30 | 2002-10-03 | Han-Ming Wu | Shielding plate in plasma for uniformity improvement |
US6761796B2 (en) * | 2001-04-06 | 2004-07-13 | Axcelis Technologies, Inc. | Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing |
US6974523B2 (en) * | 2001-05-16 | 2005-12-13 | Lam Research Corporation | Hollow anode plasma reactor and method |
US6652711B2 (en) * | 2001-06-06 | 2003-11-25 | Tokyo Electron Limited | Inductively-coupled plasma processing system |
KR20020095324A (ko) * | 2001-06-14 | 2002-12-26 | 삼성전자 주식회사 | 고주파 파워를 이용하는 반도체장치 제조설비 |
KR100422446B1 (ko) * | 2001-07-12 | 2004-03-12 | 삼성전자주식회사 | 건식식각장치의 이그저스트링 |
US6868800B2 (en) * | 2001-09-28 | 2005-03-22 | Tokyo Electron Limited | Branching RF antennas and plasma processing apparatus |
EP1444726A4 (en) | 2001-10-22 | 2008-08-13 | Unaxis Usa Inc | METHOD AND DEVICE FOR Etching PHOTOMASCIC SUBSTRATES USING PULSED PLASMA |
KR20030041495A (ko) * | 2001-11-20 | 2003-05-27 | 주식회사 하이닉스반도체 | 반도체 소자 및 제조 방법 |
WO2003054912A1 (en) * | 2001-12-20 | 2003-07-03 | Tokyo Electron Limited | Method and apparatus comprising a magnetic filter for plasma processing a workpiece |
US20030194510A1 (en) * | 2002-04-16 | 2003-10-16 | Applied Materials, Inc. | Methods used in fabricating gates in integrated circuit device structures |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
WO2003089990A2 (en) * | 2002-04-19 | 2003-10-30 | Applied Materials, Inc. | Process for etching photomasks |
US7086347B2 (en) * | 2002-05-06 | 2006-08-08 | Lam Research Corporation | Apparatus and methods for minimizing arcing in a plasma processing chamber |
JP2003338491A (ja) * | 2002-05-21 | 2003-11-28 | Mitsubishi Electric Corp | プラズマ処理装置および半導体装置の製造方法 |
US20040031565A1 (en) * | 2002-08-13 | 2004-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas distribution plate for processing chamber |
KR100465877B1 (ko) * | 2002-08-23 | 2005-01-13 | 삼성전자주식회사 | 반도체 식각 장치 |
US7459098B2 (en) * | 2002-08-28 | 2008-12-02 | Kyocera Corporation | Dry etching apparatus, dry etching method, and plate and tray used therein |
US7252738B2 (en) * | 2002-09-20 | 2007-08-07 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US6837966B2 (en) | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US20030047536A1 (en) * | 2002-10-02 | 2003-03-13 | Johnson Wayne L. | Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma |
US20040069227A1 (en) * | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
CN2587251Y (zh) * | 2002-11-07 | 2003-11-26 | 来福太(厦门)塑胶制品有限公司 | 一种折叠椅 |
JP2004165298A (ja) | 2002-11-11 | 2004-06-10 | Canon Sales Co Inc | プラズマ処理装置及びプラズマ処理方法 |
JP4479222B2 (ja) * | 2002-11-22 | 2010-06-09 | 沖電気工業株式会社 | 化合物半導体層の表面処理方法及び半導体装置の製造方法 |
US7582186B2 (en) * | 2002-12-20 | 2009-09-01 | Tokyo Electron Limited | Method and apparatus for an improved focus ring in a plasma processing system |
JP2004214336A (ja) * | 2002-12-27 | 2004-07-29 | Tokyo Electron Ltd | プラズマエッチング方法およびプラズマエッチング装置 |
US6806949B2 (en) * | 2002-12-31 | 2004-10-19 | Tokyo Electron Limited | Monitoring material buildup on system components by optical emission |
US6805779B2 (en) * | 2003-03-21 | 2004-10-19 | Zond, Inc. | Plasma generation using multi-step ionization |
CN1777691B (zh) * | 2003-03-21 | 2011-11-23 | 东京毅力科创株式会社 | 用于减少处理过程中基片背部的淀积的方法和装置 |
WO2004095502A2 (en) * | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Plasma processing system and method |
US6806651B1 (en) * | 2003-04-22 | 2004-10-19 | Zond, Inc. | High-density plasma source |
JP2004349419A (ja) * | 2003-05-21 | 2004-12-09 | Tokyo Electron Ltd | プラズマ処理装置の異常原因判定方法及び異常原因判定装置 |
US7241345B2 (en) * | 2003-06-16 | 2007-07-10 | Applied Materials, Inc. | Cylinder for thermal processing chamber |
US20040261718A1 (en) | 2003-06-26 | 2004-12-30 | Kim Nam Hun | Plasma source coil for generating plasma and plasma chamber using the same |
US20050011447A1 (en) * | 2003-07-14 | 2005-01-20 | Tokyo Electron Limited | Method and apparatus for delivering process gas to a process chamber |
US20050066902A1 (en) * | 2003-09-26 | 2005-03-31 | Tokyo Electron Limited | Method and apparatus for plasma processing |
US7581511B2 (en) * | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
JP4179614B2 (ja) * | 2003-10-16 | 2008-11-12 | ソフトバンクモバイル株式会社 | 移動体通信端末用外部装置、移動体通信端末及び移動体通信端末用外部表示システム |
US7244336B2 (en) * | 2003-12-17 | 2007-07-17 | Lam Research Corporation | Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift |
US7449220B2 (en) * | 2004-04-30 | 2008-11-11 | Oc Oerlikon Blazers Ag | Method for manufacturing a plate-shaped workpiece |
US20050241767A1 (en) * | 2004-04-30 | 2005-11-03 | Ferris David S | Multi-piece baffle plate assembly for a plasma processing system |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
KR200413170Y1 (ko) | 2006-01-13 | 2006-04-07 | 삼성에버랜드 주식회사 | 클린룸 엘리베이터의 도어슈 차폐구조 |
US7964818B2 (en) * | 2006-10-30 | 2011-06-21 | Applied Materials, Inc. | Method and apparatus for photomask etching |
US7909961B2 (en) * | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7943005B2 (en) * | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
-
2004
- 2004-06-30 US US10/880,754 patent/US8349128B2/en active Active
-
2005
- 2005-06-20 TW TW094120494A patent/TWI290963B/zh not_active IP Right Cessation
- 2005-06-29 CN CN2005100798351A patent/CN1716530B/zh active Active
- 2005-06-29 KR KR1020050056803A patent/KR100859313B1/ko active IP Right Grant
- 2005-06-29 CN CN2011101891550A patent/CN102280341A/zh active Pending
-
2013
- 2013-01-04 US US13/734,532 patent/US8801896B2/en active Active
-
2014
- 2014-08-08 US US14/455,409 patent/US20140345803A1/en not_active Abandoned
Cited By (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101448580B (zh) * | 2006-03-24 | 2011-02-23 | 应用材料公司 | 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺 |
CN104035276B (zh) * | 2006-10-30 | 2018-07-27 | 应用材料公司 | 用于光掩模等离子体蚀刻的方法和装置 |
CN103003926A (zh) * | 2010-06-25 | 2013-03-27 | 应用材料公司 | 具有减少的离子流的预清洁腔室 |
CN103003926B (zh) * | 2010-06-25 | 2016-05-25 | 应用材料公司 | 具有减少的离子流的预清洁腔室 |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
CN102798149A (zh) * | 2012-07-26 | 2012-11-28 | 中国人民解放军装备学院 | 发动机等离子体凹腔稳燃器 |
CN102798149B (zh) * | 2012-07-26 | 2014-07-30 | 中国人民解放军装备学院 | 发动机等离子体凹腔稳燃器 |
CN103632954B (zh) * | 2012-08-27 | 2017-08-11 | 朗姆研究公司 | 加强等离子体处理系统中的等离子体增强蚀刻 |
CN103632954A (zh) * | 2012-08-27 | 2014-03-12 | 朗姆研究公司 | 加强等离子体处理系统中的等离子体增强蚀刻 |
US9418859B2 (en) | 2012-08-27 | 2016-08-16 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
CN104704613A (zh) * | 2012-10-09 | 2015-06-10 | 应用材料公司 | 用离子防护件处理基板的方法和设备 |
US9633846B2 (en) | 2013-04-05 | 2017-04-25 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication |
US10224221B2 (en) | 2013-04-05 | 2019-03-05 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US11171021B2 (en) | 2013-04-05 | 2021-11-09 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9431269B2 (en) | 2013-07-11 | 2016-08-30 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US10134605B2 (en) | 2013-07-11 | 2018-11-20 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
CN109690727A (zh) * | 2016-09-12 | 2019-04-26 | 瓦里安半导体设备公司 | 自由基与反应性中性离子束的角度控制 |
CN109690727B (zh) * | 2016-09-12 | 2020-11-06 | 瓦里安半导体设备公司 | 工件加工设备 |
CN112368797A (zh) * | 2017-02-15 | 2021-02-12 | 良率工程系统公司 | 等离子体扩散设备和系统及在处理炉中扩散等离子体的方法 |
WO2024045389A1 (zh) * | 2022-08-31 | 2024-03-07 | 江苏鲁汶仪器有限公司 | 晶圆刻蚀方法 |
Also Published As
Publication number | Publication date |
---|---|
TWI290963B (en) | 2007-12-11 |
TW200600609A (en) | 2006-01-01 |
KR20060048674A (ko) | 2006-05-18 |
US20130118687A1 (en) | 2013-05-16 |
CN1716530B (zh) | 2011-08-17 |
US20140345803A1 (en) | 2014-11-27 |
US8801896B2 (en) | 2014-08-12 |
KR100859313B1 (ko) | 2008-09-19 |
US20060000805A1 (en) | 2006-01-05 |
CN102280341A (zh) | 2011-12-14 |
US8349128B2 (en) | 2013-01-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1716530B (zh) | 稳定等离子体处理的方法和设备 | |
JP6461482B2 (ja) | 半導体製造用の内部プラズマグリッド | |
JP5580512B2 (ja) | プラズマ放射分布の磁気コントロール増強のためのプラズマ閉じ込めバッフルおよび流量平衡器 | |
JP4565743B2 (ja) | 半導体処理室用電極及びその製造方法 | |
US4668365A (en) | Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition | |
KR20160140467A (ko) | 에칭 방법 | |
US7780866B2 (en) | Method of plasma confinement for enhancing magnetic control of plasma radial distribution | |
KR102309941B1 (ko) | 피처리체를 처리하는 방법 | |
KR102309936B1 (ko) | 피처리체를 처리하는 방법 | |
KR20150100522A (ko) | 에칭 방법 | |
KR101937727B1 (ko) | 에칭 방법 | |
JP2014505362A (ja) | 半導体基板の可変密度プラズマ処理 | |
US9938616B2 (en) | Physical vapor deposition of low-stress nitrogen-doped tungsten films | |
US9330935B2 (en) | Plasma etching method and plasma etching apparatus | |
US20130000848A1 (en) | Pedestal with edge gas deflector for edge profile control | |
KR20210011493A (ko) | 고 종횡비 구조체들의 효율적인 세정 및 에칭 | |
TWI822918B (zh) | 電漿處理方法及電漿處理裝置 | |
US20040261714A1 (en) | Plasma processing apparatus | |
WO1999013489A2 (en) | Apparatus for improving etch uniformity and methods therefor | |
KR100716690B1 (ko) | 반도체 시료의 처리 장치 및 처리 방법 | |
US20220336224A1 (en) | Method of etching film and plasma processing apparatus | |
CN111799170A (zh) | 蚀刻方法和等离子体处理装置 | |
JP2023529651A (ja) | フラットボトムシャドーリング | |
KR20230029926A (ko) | 알루미늄 플루오라이드 플라즈마 노출된 표면을 가진 리모트 플라즈마 소스 샤워헤드 어셈블리 | |
CN111261514A (zh) | 基片处理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |