KR101926571B1 - 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 - Google Patents

유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 Download PDF

Info

Publication number
KR101926571B1
KR101926571B1 KR1020167017345A KR20167017345A KR101926571B1 KR 101926571 B1 KR101926571 B1 KR 101926571B1 KR 1020167017345 A KR1020167017345 A KR 1020167017345A KR 20167017345 A KR20167017345 A KR 20167017345A KR 101926571 B1 KR101926571 B1 KR 101926571B1
Authority
KR
South Korea
Prior art keywords
opening
substrate
aperture
insert
opening member
Prior art date
Application number
KR1020167017345A
Other languages
English (en)
Other versions
KR20160079932A (ko
Inventor
사라브제트 싱흐
그래엄 자미에슨 스코트
아제이 쿠마
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160079932A publication Critical patent/KR20160079932A/ko
Application granted granted Critical
Publication of KR101926571B1 publication Critical patent/KR101926571B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

여기에서 설명된 실시예들은 이동가능한 개구를 가지는 이온 에칭 챔버를 이용하여 기판을 에칭하는 장치 및 방법들을 제공한다. 이온 에칭 챔버는, 프로세싱 구역을 둘러싸는 챔버 본체, 프로세싱 구역에 배치되고 기판 수용 표면을 가지는 기판 지지부, 기판 수용 표면을 향하는 챔버 본체의 벽에 배치된 플라즈마 소스, 플라즈마 소스와 기판 수용 표면 사이에 배치된 이온-라디칼 차폐부(shield), 및 이온-라디칼 차폐부와 기판 수용 표면 사이의 이동가능한 개구 부재를 갖는다. 이동가능한 개구 부재는, 리프트 링 및 리프트 링으로부터 개구 부재로의 리프트 지지부들을 포함하는 리프트 조립체에 의해 작동된다. 이온-라디칼 차폐부는 개구 부재를 통해 배치된 차폐부 지지부들에 의해 지지된다. 개구 크기, 형상, 및/또는 중심 축 위치가 삽입체들을 이용하여 변화될 수 있다.

Description

유도성 커플링된 플라즈마(ICP) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구{DYNAMIC ION RADICAL SIEVE AND ION RADICAL APERTURE FOR AN INDUCTIVELY COUPLED PLASMA(ICP) REACTOR}
여기에서 설명된 실시예들은 반도체 제조 방법들 및 장치에 관한 것이다. 더 구체적으로, 기판 에칭 방법들 및 장치가 개시된다.
패턴 에칭은 반도체 제조의 주된 요소이다. 기판은 일반적으로, 기판의 표면 내로 패턴을 에칭하기 위해 뉴트럴들(neutrals) 및 반응성 이온들의 플라즈마에 노출된다. 전형적으로, 그러한 프로세스들은, 반도체 기판들의 포토리소그래픽 패터닝에서 후속하여 이용되는 기판 내로 패턴을 에칭하기 위해 이용된다. 기판은 일반적으로, 일 사이드(side) 상에 크롬 및/또는 몰리브덴-도핑된 실리콘 질화물의 층을 가지는 유리 또는 석영이다. 층은 반사 방지 코팅 및 감광성 레지스트로 커버되고, 패터닝된 UV 광에 대한 노출에 의해 패터닝된다. 레지스트의 노출된 부분들이 용해되고, 아래놓인 크롬 층이 플라즈마 에칭에 의해 패터닝된다.
플라즈마 에칭 동안에, 플라즈마는 일반적으로, 기판 근처에 형성된다. 플라즈마로부터의 반응성 이온들 및 라디칼들이 기판 표면과 반응하여, 표면으로부터 재료를 제거한다. 기판 표면 상의 위치에서의 재료 제거 또는 에칭의 레이트(rate)는 그 위치 근처의 반응성 종의 밀도에 비례한다. 마이크로로딩(microloading), 종횡비에서의 변화, 플라즈마 영향들, 및 챔버 영향들로 인해, 기판의 표면에 걸친 반응성 종의 밀도의 균일성이 종종 변화되어, 기판에 걸친 에칭 레이트를 변화시킨다. 많은 경우들에서, 에칭 레이트는 기판의 중심 근처에서 더 높고 주변부 근처에서 더 낮은 것으로 관찰된다.
에칭 레이트 균일성을 처리하는 이전의 방법들은, 에칭 레이트 제어의 화학적 방법들, 전구체 온도 및 플라즈마의 열적 프로파일을 제어하는 열적 방법들, 및 챔버 내의 상이한 위치들에 배치된 전극들을 특징으로 하는 전자기적 방법들을 포함한다. 그러나, 동적인 조정가능한 방식으로 플라즈마의 밀도 프로파일에 영향을 미치는 방법들 및 장치에 대한 필요성이 남아있다.
여기에서 설명된 실시예들은 이동가능한(movable) 개구를 가지는 이온 에칭 챔버를 이용하여 기판을 에칭하는 장치 및 방법들을 제공한다. 이온 에칭 챔버는, 프로세싱 구역을 둘러싸는 챔버 본체, 프로세싱 구역에 배치되고 기판 수용 표면을 가지는 기판 지지부, 기판 수용 표면을 향하는 챔버 본체의 벽에 배치된 플라즈마 소스, 플라즈마 소스와 기판 수용 표면 사이에 배치된 이온-라디칼 차폐부(shield), 및 이온-라디칼 차폐부와 기판 수용 표면 사이의 이동가능한 개구 부재를 갖는다. 이동가능한 개구 부재는, 리프트 링 및 리프트 링으로부터 개구 부재로의 리프트 지지부들을 포함하는 리프트 조립체에 의해 작동된다. 이온-라디칼 차폐부는 개구 부재를 통해 배치된 차폐부 지지부들에 의해 지지된다. 개구 크기, 형상, 및/또는 중심 축 위치가 삽입체(insert)들을 이용하여 변화될 수 있다.
리프트 링은 개구 부재를 기판 지지부 상에 배치된 기판에 더 가까이 또는 그 기판으로부터 더 멀리 이동시키기 위해 선형 액추에이터에 의해 작동될 수 있다. 기판을 프로세싱하는 여기에서 설명된 방법은, 이온 에칭 챔버의 기판 수용 표면과 이온-라디칼 차폐부 사이에 개구 부재를 배치하는 단계, 및 개구 부재를 기판 수용 표면에 더 가까이 또는 기판 수용 표면으로부터 더 멀리 이동시킴으로써, 기판 수용 표면 근처의 반응성 종의 밀도 프로파일을 제어하는 단계를 포함한다.
다른 실시예에서, 개구 부재가 고정된 부재로부터 지지되면서, 이온-라디칼 차폐부를 개구 부재에 더 가까이 또는 개구 부재로부터 더 멀리 이동시키기 위해, 리프트 링이 이온-라디칼 차폐부에 커플링될 수 있다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 일 실시예에 따른 프로세싱 챔버의 개략적인 측단면도이다.
도 2는 일 실시예에 따른 개구 조립체의 부분적인 사시도이다.
도 3a-3c는 다양한 프로세싱 위치들에서 개구 조립체를 도시하는 측단면도들이다.
도 4a는 일 실시예에 따른 개구 부재의 평면도이다.
도 4b는 다른 실시예에 따른 개구 부재의 측단면도이다.
도 5는 다른 실시예에 따른 프로세싱 챔버의 측단면도이다.
이해를 용이하게 하기 위하여, 도면들에 대해 공통인 동일한 엘리먼트들을 지시하기 위해 가능한 경우에 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 엘리먼트들이 구체적인 언급 없이 다른 실시예들에 대해 유익하게 활용될 수 있다는 것이 고려된다.
여기에서 설명된 실시예들은 이동가능한 개구 부재를 이용하여 기판을 에칭하기 위한 방법 및 장치를 제공한다. 도 1은 일 실시예에 따른 프로세싱 챔버(100)의 개략적인 측단면도이다. 여기에서 개시된 교시들과 함께 이용하도록 적응될 수 있는 적합한 프로세싱 챔버들은, 예를 들어, Decoupled Plasma Source (DPS®) II 반응기, 또는 TetraTM 계열의 기판 에칭 시스템들을 포함하며, 이들 모두는 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 여기에서 도시된 프로세싱 챔버(100)의 특정한 실시예는 예시적인 목적들을 위해 제공되고, 본 발명의 범위를 제한하기 위해 이용되지 않아야 한다. 본 발명이, 다른 제조자들로부터의 챔버들을 포함하여, 다른 플라즈마 프로세싱 챔버들에서 활용될 수 있다는 것이 고려된다.
프로세싱 챔버(100)는 일반적으로, 챔버 벽들(102) 및 챔버 덮개(104)에 의해 정의되는 프로세싱 볼륨(106)을 포함한다. 프로세싱 챔버(100)는 프로세싱 볼륨(106)에 플라즈마를 공급 또는 생성하기 위해 플라즈마 소스(122)를 포함한다. 플라즈마 소스(122)는, 프로세싱 볼륨(106)에 유도성 커플링된 플라즈마를 생성하기 위해 챔버 덮개(104) 위에 배치된 안테나(110)를 포함할 수 있다. 안테나(110)는 하나 또는 둘 이상의 동축 코일들(110a, 110b)을 포함할 수 있다. 안테나(110)는 매칭 네트워크(114)를 통해 플라즈마 전력 소스(112)에 커플링될 수 있다.
지지 조립체(108)는 상승된 부분(130) 상에 프로세스되는 기판(1)을 지지하기 위해 프로세싱 볼륨(106) 내에 배치된다. 상승된 부분(130)은 프로세싱 볼륨(106) 내의 원하는 위치에 기판(1)을 위치시키기 위한 스테이지로서 기능할 수 있다. 상승된 부분(130)의 상단 표면(182)은 기판 수용 표면으로서 기능한다. 지지 조립체(108)는 정전기 척(116)을 포함할 수 있으며, 그 정전기 척(116)은 전기 연결부(128)에 의해 척 전력 공급부(126)에 연결된 적어도 하나의 클램핑 전극(118)을 가진다. 지지 조립체(108)는, 서셉터 클램프 링, 기계적인 척, 진공 척 등과 같은 다른 기판 유지 메커니즘들을 포함할 수 있다. 지지 조립체(108)는 온도 제어를 위한 히트 싱크(129) 및 히터 전력 공급부(120)에 커플링된 저항성 히터(124)를 포함할 수 있다.
일부 실시예들에서, 척 전력 공급부(126)가 RF 생성기일 수 있고, 따라서, 임피던스 매치 회로(127)가 척 전력 공급부(126)와 클램핑 전극(118) 사이에 개재될 수 있다. 척 전력 공급부(126)로부터의 바이어스 전력 또는 플라즈마 전력 소스(112)로부터의 소스 전력, 또는 양자 모두는 펄스형 또는 연속형일 수 있다. 척 전력 공급부(126) 및/또는 플라즈마 전력 소스(112)는, 약 10 μsec의 최소 펄스 지속시간을 가지는 약 10% 내지 약 90%의 듀티 사이클(duty cycle), 약 1 kHz 내지 약 10 kHz의 주파수로 펄스형 RF 전력을 제공하도록 동작가능할 수 있다. 매치 회로(114) 및/또는 매치 회로(127)는 약 50 Ω의 부하에서 안정된 플라즈마를 제공하도록 동작가능할 수 있다.
지지 조립체(108)는 또한, 외부 로봇과 같은 외부 이송 디바이스와 상승된 부분(130) 사이에서 기판(1)을 이송하기 위한 어댑터(134)를 포함한다. 어댑터(134)는 정전기 척(116) 위에 배치되고, 상승된 부분(130)이 통과하여 연장하게 허용하는 개구부(136)를 가질 수 있다. 어댑터(134)는 리프트 메커니즘(138)에 커플링된 복수의 리프트 핀들(140)에 의해 정전기 척(116)으로부터 리프트될 수 있다. 예시적인 어댑터들이 "Mask Etch Processing Apparatus"라는 명칭의 미국 특허 U.S. 7,128,806에 설명되어 있다.
프로세싱 챔버(100)는 또한, 지지 조립체(108) 위에 배치된 이온-라디칼 차폐부(142)를 포함할 수 있다. 이온-라디칼 차폐부(142)는 챔버 벽들(102) 및 지지 조립체(108)로부터 전기적으로 격리될 수 있다. 이온-라디칼 차폐부(142)는 복수의 관통 홀들(148)을 가지는 실질적으로 평탄한 플레이트(146), 및 평탄한 플레이트(146)를 지지하고 평탄한 플레이트(146)를 지지 조립체(108) 위로 특정 거리에 위치시키는 복수의 차폐부 지지부들(150)을 포함한다. 복수의 차폐부 지지부들(150)은 정전기 척(116), 어댑터(134), 또는 배플(156) 상에 배치될 수 있다. 복수의 관통 홀들(148)은 평탄한 플레이트(146)의 개방 영역(152)으로 한정될 수 있다. 개방 영역(152)은, 프로세싱 볼륨(106)의 상측 볼륨(154)에 형성된 플라즈마로부터 이온-라디칼 차폐부(142)와 지지 조립체(108) 사이에 위치된 하측 볼륨(144)으로 통과하는 이온들의 양을 제어한다. 관통 홀들(148)에 의해 커버되는 영역 범위가 상단 표면(182)의 영역 범위보다 더 클 수 있다. 예시적인 이온-라디칼 차폐부들이 "Method and Apparatus for Substrate Plasma Etching"이라는 명칭의 미국 특허 번호 7,909,961에서 발견될 수 있다.
프로세싱 볼륨(106)을 향하여 하나 또는 둘 이상의 프로세싱 가스들을 공급하기 위해, 유입구들(160)에 가스 패널(158)이 연결된다. 진공 펌프(164)가 스로틀 밸브(162)를 통해 프로세싱 볼륨(106)에 커플링된다. 배플(156)은, 프로세싱 볼륨(106)에서의 컨덕턴스(conductance) 비대칭들을 보상하고 균등한 유동 분포를 가능하게 하기 위해 스로틀 밸브(162) 상류에서 지지 조립체(108) 주위에 배치될 수 있다.
개구 조립체(166)는 리프트 링(172)에 커플링된 지지 핀들일 수 있는 복수의 리프트 지지부들(170) 상에서 지지 조립체(108)와 이온-라디칼 차폐부(142) 사이에 지지되는 개구 부재(168)를 포함한다. 개구 부재(168)는 상승된 부분(130)의 상단 표면(182)과 개구 부재 사이의 프로세싱 존(145)으로부터 하측 볼륨(144)을 분리시킨다. 샤프트(174)를 통해 리프트 링(172)에 커플링된 액추에이터(176), 예컨대 선형 액추에이터, 예를 들어 수압 실린더, 공압 실린더, 또는 전기 구동형 스크류 액추에이터가 개구 부재(168)를 지지 조립체(108)에 더 가까이 또는 지지 조립체(108)로부터 더 멀리 이동시킨다. 개구 부재(168)를 이동시키는 것은 지지 조립체(108) 상의 기판 근처의 반응성 종의 분포를 조정한다.
엣지 차폐부(188)가 개구 부재(168)에 커플링될 수 있다. 일반적으로, 엣지 차폐부(188)는, 개구 부재(168)를 지나서 지지 조립체(108)를 향하는 연장부를 가지는 환형 부재이다. 엣지 차폐부(188)의 연장부는, 지지 조립체(108) 및 그 위에 배치된 임의의 기판으로 개구 부재(168) 주위에서 유동하는 프로세스 가스들을 방지한다.
개구 부재(168)는 개구 부재(168)의 중심 구역에 형성된 개구(178)를 가지고, 그 개구(178)를 통해 프로세스 가스들이 유동하여 기판(1)과 접촉한다. 개구는 기판(1)의 대응하는 치수보다 더 큰 치수를 가지는 것으로 도 1에 도시되어 있으나, 일부 실시예들에서, 개구의 치수는 기판(1)의 대응하는 치수보다 더 작을 수 있거나 또는 기판(1)의 대응하는 치수와 대략 동일한 크기일 수 있다. 개구의 치수 및 기판에 대한 그 개구의 근접도(proximity)는 기판 표면에 걸친 반응성 종의 분포에 영향을 미친다. 일부 실시예들에서, 개구 부재(168)는, 상승된 부분(130)의 상단 표면(182)에 원하는 분포로 반응성 종을 포커스하는 포커스 플레이트일 수 있다.
리프트 링(172)은 지지 조립체(108)의 방사상 외측에서 프로세싱 볼륨(106)에 배치된다. 리프트 링(172)은 실질적으로 수평인 배향으로 샤프트(174) 상에 장착된다. 샤프트(174)는 프로세싱 볼륨(106)에서 수직으로 리프트 링(172)을 이동시키기 위해 액추에이터(176)에 의해 구동된다. 3개 또는 그 초과의 리프트 지지부들(170)이 리프트 링(172)으로부터 상향 연장하고, 개구 부재(168)를 지지 조립체(108) 위에 위치시킨다. 3개 또는 그 초과의 리프트 지지부들(170)은 개구 부재(168)를 리프트 링(172)에 고정적으로 부착한다. 개구 부재(168)는 프로세싱 볼륨(106)에서 리프트 링(172)과 함께 수직으로 이동하고, 그에 따라, 개구 부재(168)가 기판(1) 위로 원하는 거리에 위치될 수 있고 그리고/또는 외부 기판 핸들링 디바이스가 기판(1)을 이송하기 위해 개구 부재(168)와 지지 조립체(108) 사이에서 프로세싱 볼륨(106)에 진입할 수 있다.
3개 또는 그 초과의 리프트 지지부들(170)은 기판(1)이 프로세싱 챔버(100) 내외로 이송되게 허용하도록 위치될 수 있다. 일 실시예에서, 3개 또는 그 초과의 리프트 지지부들(170) 각각은, 기판(1)에 대한 접근(access)을 최대화하기 위해, 이온-라디칼 차폐부를 지지하는 복수의 차폐부 지지부들(150) 중 하나에 가까이 위치될 수 있다.
개구 부재(168)는, 개구 부재(168)가 프로세싱 볼륨(106)에서의 프로세싱 가스 또는 플라즈마의 하향 유동을 차단할 수 있도록, 챔버 벽(102)의 내측 치수와 실질적으로 유사한 크기의 평면형 플레이트일 수 있다. 일 실시예에서, 챔버 벽(102)이 원통형이고, 개구 부재(168)는 챔버 벽(102)의 내경보다 약간 더 작은 외경을 가지는 디스크일 수 있다. 개구(178)는 정전기 척(116)의 상승된 부분(130)과 정렬되고, 기판(1)에 실질적으로 평행하게 위치될 수 있다. 개구(178)는, 프로세싱 가스 또는 활성 종이, 기판(1)이 위치된 상승된 부분(130)을 향하여 하향 유동하기 위한 제한된 경로를 제공하며, 따라서, 기판(1)의 플라즈마-노출을 제어한다.
개구 부재(168)의 개구(178)는, 도 5b와 관련하여 더 상세히 설명되는 바와 같이, 삽입체와 같은 제 2 부재를 지지하도록 윤곽화될(contoured) 수 있는 엣지(179)를 갖는다. 윤곽의 횡단면 형상은 베벨형(beveled), 곡선형, 또는 단차형 중 하나일 수 있다. 제 2 부재가 개구 부재(168)와 실질적으로 평행한 관계로 개구(178)에서 지지될 수 있도록, 엣지(179)의 윤곽이 이온-라디칼 차폐부(142)를 향한다. 엣지(179)가 베벨을 가지는 실시예에서, 베벨은 개구 부재(168)의 평면에 대하여 약 75°까지의 임의의 각도로 머시닝된 직선 베벨일 수 있다. 다른 실시예들에서, 원하는 경우에, 베벨은 곡선형 또는 면을 가지는 형태(faceted)일 수 있다. 일부 실시예들에서, 엣지(179)는, 베벨된 부분 및 직선 부분을 가지고, 부분적으로 베벨될 수 있다. 예를 들어, 이온-라디칼 차폐부(142)를 향하는 개구 부재(168)의 표면에 근접한 엣지(179)의 제 1 부분이 베벨될 수 있는 한편, 상승된 부분(130)의 상단 표면(182)을 향하는 개구 부재(168)의 표면에 근접한 엣지(179)의 제 2 부분은 직선일 수 있다(즉, 상단 표면(182)에 실질적으로 수직일 수 있다). 그러한 부분적으로 베벨된 엣지는, 개구 부재(168)에 네스트되는(nested) 크기 설정(sizing) 삽입체의 안정성을 개선할 수 있다.
개구(178)는, 프로세싱되는 기판(1)의 형상과 실질적으로 유사하게 성형될 수 있다. 기판(1)의 표면에 걸친 반응성 종의 분포에 영향을 미치기 위한 적합한 프로세스 윈도우를 제공하도록, 개구(178)는 기판(1)의 상단 표면(112)보다 약간 더 클 수 있다. 예를 들어, 개구(178)는 약 6 x 6 인치보다 더 클 수 있다. 상승된 부분(130)의 상단 표면(182)과 개구 부재(168) 사이의 거리(180)는 기판(1)의 원하는 플라즈마-노출을 달성하기 위해 조정될 수 있다.
리프트 링(172)을 동작시킴으로써, 개구 부재(168)는 이온-라디칼 차폐부(142) 아래에 그리고 지지 조립체(108) 위에 이동가능하게 위치될 수 있다. 이온-라디칼 차폐부(142)의 평탄한 플레이트(146)를 지지하는 복수의 차폐부 지지부들(150)을 수용하기 위해, 개구 부재(168)는 복수의 개구부들(184)을 가질 수 있다. 개구부들(184)은 관통 홀들, 컷아웃들(cutouts), 노치들(notches), 또는 개구 부재(168)가 차폐부 지지부들(150)에 충격을 주지 않으면서 자유롭게 이동하게 허용하도록 형성된 다른 타입들의 개구부들일 수 있다.
프로세싱 동안에, 플라즈마는 일반적으로, 프로세싱 볼륨(106)에 형성된다. 라디칼들 및 이온들과 같은 플라즈마에서의 종은 평탄한 플레이트(146) 및 개구 부재(168)의 개구(178)를 통해 기판(1)으로 통과한다. 개구 부재(168)는, 하측 볼륨(144)으로부터 프로세싱 존(145)으로의 라디칼들 및 이온들을 위한 유동 경로를 생성함으로써, 기판(1)의 상측 표면에 근접한 라디칼들 및 이온들의 분포를 제어한다. 개구(178)는 개구(178)를 통과하는 종이 기판(1)의 엣지 및/또는 사이드들에 도달하지 않도록 성형 및/또는 위치될 수 있다. 개구(178)는 또한, 기판(1)에 걸친 활성 종의 밀도를 제어하도록, 성형, 크기 설정, 및/또는 위치될 수 있다. 일 실시예에서, 개구 부재(168)를 기판(1)보다 이온-라디칼 차폐부(142)에 더 가까이 위치시킴으로써, 기판(1)의 중심 구역 근처의 활성 종의 밀도가 감소될 수 있고, 기판의 주변 구역 근처의 밀도가 증가될 수 있다.
개구 부재(168)는 프로세싱 케미스트리(chemistry)와 양립가능한 재료들로부터 형성될 수 있다. 일 실시예에서, 개구 부재(168)는, 석영 또는 세라믹들, 예컨대, 특히, 알루미나, 이트리아(이트륨 산화물), 및 K140(Kyocera로부터 입수가능한 상표등록 재료)(이들의 조합들 및 합금들을 포함함)으로부터 형성될 수 있다. 일부 실시예들에서, 개구 부재(168)는 코팅될 수 있다. 세라믹 코팅된 금속 재료, 예를 들어, 알루미나(Al2O3) 또는 이트리아(Y2O3)와 같은 증착된 또는 분무된 세라믹 코팅으로 코팅된 알루미늄 또는 양극 처리된(anodized) 알루미늄이 유용할 수 있다.
개구 부재(168)는 챔버로부터 전기적으로 격리될 수 있거나, 또는, 원하는 경우에, 바이어스 전압을 제공하기 위해, 또는 플라즈마 프로세싱에 대한 노출로부터의 전압의 상승을 제거하기 위해 전기적으로 에너자이징될(energized) 수 있다. 전압 상승을 제거하기 위해, 전기 연결부(181)가 챔버 벽(102)과 같은 접지에 대한 경로와 함께 제공될 수 있다. 도시되지 않은 스위치와 같은 제어 엘리먼트가 제공될 수 있다. 전력 소스를 전기 연결부(181)에 커플링시킴으로써, 바이어스 전압이 개구 부재(168)로 인가될 수 있다. 또한 임피던스 매치 회로일 수 있거나 또는 임피던스 매치 회로를 포함할 수 있는 필터 회로(183)와 함께 RF 소스(177)가 도 1에 도시되어 있다. 개구 부재(168)를 바이어스하기 위해, 개구 부재(168)가 세라믹 코팅된 금속 부재인 경우에 금속 부분과 같은 개구 부재(168)의 전도성 부분에 전기 연결부(181)가 일반적으로 커플링된다.
도 2는 챔버 덮개(104), 챔버 벽들(102), 및 지지 조립체(108)가 제거된, 일 실시예에 따른 개구 조립체(266)의 부분 사시도이다.
복수의 리프트 지지부들(170)은 배플(156)과 평탄한 플레이트(146) 사이에 개구 부재(168)를 위치시키기 위해 배플(156)을 관통한다. 복수의 관통 홀들(184)은 배플(156) 상의 평탄한 플레이트(146)를 지지하는 차폐부 지지부들(150)을 수용한다. 차폐부 지지부들(150) 및 리프트 지지부들(170)의 엇갈린(staggered) 배열은 개구 부재(168)가 배플(156) 및 평탄한 플레이트(146)로부터 독립적으로 이동하게 허용한다.
개구 부재(168)는 리프트 링(172)에 의해 수직으로 이동된다. 리프트 링(172)은 사이드 연장부(202)를 가지는 링 형상의 본체(204)를 포함할 수 있다. 링 형상의 본체(204)는, 지지 조립체(108)(도 1)를 둘러싸기에 충분히 큰 내측 개구부(206)를 가진다. 사이드 연장부(202)는 링 형상의 본체(204)로부터 방사상 외측에 위치된다. 사이드 연장부(202)는, 리프트 루프(172)가 사이드로부터 액추에이터와 연결하게 허용한다. 사이드 구동형 배열은, 리프트 링(172) 및 개구 부재(168)가 배플(156) 및 이온-라디칼 차폐부(142)의 평탄한 플레이트(146)로부터 분리된 구동형 메커니즘을 가질 수 있게 하고, 따라서, 프로세싱 챔버(100)의 프로세스 유연성을 개선한다.
기판(1)의 표면에 걸쳐 활성 종의 분포를 제어하기 위해 그리고/또는 기판(1) 및 다른 챔버 컴포넌트들의 이동들을 가능하게 하기 위해, 개구 부재(168)가 지지 조립체(108)(도 1) 위로 상이한 거리들에 위치될 수 있다.
도 3a는 하측 프로세싱 위치에서의 개구 부재(168)를 도시하는 측단면도이다. 하측 표면(306)은 지지 조립체(108)의 상승된 부분(130) 위로 거리(302)에 위치된다. 하측 프로세싱 위치에서, 거리(302)는 약 1.0 인치 미만, 예컨대 약 0.4 인치 내지 약 0.6 인치, 예를 들어 약 0.42 인치이고, 이는, 개구 부재(168)를 프로세스되는 기판(1)에 가까이 배치한다. 하측 프로세싱 위치에서, 개구 부재(168)는 개구(178)를 통해 유동하는 라디칼들 및 이온들이 측방향으로 확산되는 것을 제약하여, 기판(1)에 걸친 활성 종의 비교적 균일한 밀도를 발생시킨다.
도 3b는 상측 프로세싱 위치에서의 개구 부재(168)를 도시하는 측단면도이다. 하측 표면(306)은 지지 조립체(108)의 상승된 부분(130) 위로 거리(304)에 위치된다. 상측 프로세싱 위치에서, 개구 부재(168)는, 개구(178)를 통해 유동하는 라디칼들 및 이온들이 기판(1)과 접촉하기 전에 측방향으로 확산되게 허용한다. 라디칼들 및 이온들이 측방향으로 확산됨에 따라, 기판(1)의 주변 부분 근처의 활성 종의 밀도가 기판(1)의 중심 부분 근처의 활성 종의 밀도보다 더 낮아지게 된다. 따라서, 개구 부재(168)와 기판(1) 사이의 거리를 조정하는 것은, 기판(1) 근처의 활성 종의 밀도 분포를 제어할 수 있다. 상측 프로세싱 위치에서, 거리(302)는 적어도 약 1.5 인치, 예컨대 약 1.6 인치 내지 약 2.2 인치, 예를 들어 약 2.1 인치일 수 있다.
도 3c는, 기판(1)이 지지 조립체(108)로 그리고 지지 조립체(108)로부터 이송될 수 있도록 하는 이송 위치에서의 개구 부재(168)를 도시하는 측단면도이다. 리프트 링(172) 및 개구 부재(168)는, 기판 이송을 위해 개구 부재(168)와 상승된 부분(130) 사이에 공간을 생성하도록 상승된다.
부가적으로, 개구 부재(168)와 상승된 부분(130) 사이의 거리는, 각각의 기판에 대하여 최적의 반응성 종 균일성을 달성하기 위해, 연속적인 기판들의 프로세싱 사이에 또는 프로세싱 동안에 동적으로 조정될 수 있다. 개구 부재(168)와 상승된 부분(130) 사이의 거리가 최대화되는 경우에, 중심 에칭 레이트와 주변 에칭 레이트 사이의 차이가 최대화될 것이고, 그 거리가 최소화되는 경우에, 에칭 레이트 차이가 최소화될 것이다. 이러한 특징은 에칭 레이트 균일성에 대한 패턴 영향들을 보상하기 위해 이용될 수 있다.
도 4a는 개구 부재(168)의 평면도이다. 도 4b는 개구 부재(168)의 측단면도이다. 개구 부재(168)는 평면형 디스크 형상의 본체(402)를 가진다. 평면형 디스크 형상의 본체(402)는 원통형 측벽들을 가지는 프로세싱 챔버에서의 이용을 위해 원형일 수 있다. 개구(178)는 평면형 디스크 형상의 본체(402)의 중심 영역을 통해 형성된다. 개구(178)는 정사각형(squared) 기판(1)을 프로세싱하기 위해 정사각형일 수 있다. 개구는 일반적으로, 플라즈마 챔버에서 프로세스될 기판들의 형상을 따르도록 성형된다. 개구(178)는 내측 벽들(404)에 의해 정의되고, 내측 벽들(404)은 여기에서 설명된 실시예들에서 베벨형이나, 다른 실시예들에서는 실질적으로 수직일 수 있다. 일 실시예에서, 도 4a에서 개구(178)를 통해 기판(1)이 가시적이도록, 개구(178)의 크기가 기판(1)의 크기보다 약간 더 클 수 있다. 예를 들어, 개구(178)는 6 x 6 인치 크기보다 약간 더 클 수 있다. 프로세싱 동안에, 개구(178)는 기판(1)의 균일한 프로세싱을 제공하기 위해 기판(1)과 동축 정렬되도록 구성된다. 원하는 경우에, 기판(1)의 중심 주위에서 대칭적이지 않은 밀도 프로파일을 달성하기 위해, 개구(178)가 기판(1)의 중심 축으로부터 오프셋(offset)될 수 있다는 것이 주지되어야 한다.
일 실시예에서, 3개 또는 그 초과의 관통 홀들(184)이 평면형 디스크 형상의 본체(402)의 주변부를 따라 형성된다. 관통 홀들(184)은 이온-라디칼 차폐부(142)를 위한 차폐부 지지부들(150)을 수용하도록 구성된다. 리프트 지지부들(170)과 같은 지지 특징부(feature)들이 위치들(406)에서 평면형 디스크 형상의 본체(402)에 부착될 수 있다. 대안적으로, 위치들(406)은 리프트 지지부들(170)과 같은 지지 부재들을 수용하도록 적응된 리세스들(recesses)일 수 있다. 위치들(406)은, 기판(1)이 이웃 리프트 지지부들(170) 사이의 공간을 통해 이송될 수 있도록, 관통 홀들(184)에 가까이 위치될 수 있다.
개구 부재(168) 및 개구(178)가 각각 챔버의 형상 및 기판의 형상에 따라 상이한 형상들을 가질 수 있다는 것이 주지되어야 한다.
도 4b를 참조하면, 하나 또는 둘 이상의 링-형상의 삽입체들(408)이 개구 부재(168)와 함께 이용될 수 있다. 삽입체(408)는, 삽입체(408) 및 개구 부재(168)가 평행한 메이팅 배향에 있는 경우에 삽입체(408)가 개구(178)를 통과할 수 없도록, 개구(178)의 윤곽화된 벽(179)과 매치되도록 윤곽화된 외측 엣지, 및 개구(178)의 치수보다 약간 더 큰 외측 치수를 갖는다. 삽입체(408)가 개구(178)의 윤곽화된 엣지(179) 상에 놓이고, 이는, 개구(178)의 크기를 감소시키고, 가능하게는, 개구(178)의 형상 및/또는 중심 축 위치를 변화시킨다.
다양한 삽입체들(408)이 상이한 크기의 개구들을 가질 수 있고, 원하는 경우에, 개구 크기, 형상, 및/또는 중심 축 위치를 변화시키기 위해 다수의 삽입체들(408)이 이용될 수 있다. 예를 들어, 제 1 삽입체는, 개구 부재(168)의 개구(178)보다 치수가 약 1/8" 내지 약 1/4" 더 작은 제 1 개구를 가질 수 있다. 제 2 삽입체는, 제 1 개구보다 약 1/8" 내지 약 1/4" 더 작은 제 2 개구를 가질 수 있고, 제 1 개구 내에 네스트될 수 있다. 원하는 경우에, 약 3"까지 개구 크기를 감소시키기 위해, 약 5개까지의 삽입체들이 개구 부재(168)의 개구(178) 내에 네스트될 수 있다. 하나 또는 둘 이상의 삽입체들을 이용하여 개구의 개방 영역을 변화시키는 것은, 주요 챔버 컴포넌트들을 변경하기 위해 챔버를 서비스 중단시킬 필요 없이 상이한 기판들 및 챔버들에 대하여 개구 부재(168)의 성능을 조정하기 위해 이용될 수 있는 제어의 방법을 부가한다.
도 5는 다른 실시예에 따른 프로세싱 챔버(500)의 개략적인 측단면도이다. 도 5의 실시예는 일반적으로 도 1의 실시예와 유사하나, 도 5의 개구 부재(568)가 기판(1)보다 더 작은 개구(578)를 가지고, 도 1의 리프트 지지부들(170) 및 차폐부 지지부들(184)이 도 5에서 리프트 지지부들(570) 및 개구 지지부(584)와 교환된다. 리프트 지지부들(570)은 이온-라디칼 차폐부(146)를 리프트 링(172)에 커플링시키는 한편, 개구 지지부들(584)은 어댑터(134)로부터 개구 부재(568)를 지지한다. 도 5의 실시예에서, 이온-라디칼 차폐부(146)가 기판(1)에 더 가까이 또는 기판(1)으로부터 더 멀리 이동될 수 있는 한편, 개구 부재(568)는 기판(1)에 대하여 정지 상태로 유지된다.
도 5의 실시예는 기판(1)의 표면에 걸친 반응성 종의 분포를 제어하는 다른 방법을 포함한다. 이온-라디칼 차폐부(142)가 개구 부재(568)에 대하여 이동됨에 따라, 개구(578)를 통과하는 반응성 종의 밀도 프로파일이 변화되어, 기판(1)에서의 밀도 프로파일을 변화시킨다. 개구 부재(568) 및 이온-라디칼 차폐부(146) 양자 모두가 작동되는 실시예들이 고려되는 것이 주지되어야 한다.
전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않고 안출될 수 있다.

Claims (15)

  1. 기판의 표면에 걸쳐 활성 종의 분포를 제어하기 위해 플라즈마 에칭 챔버 내의 기판 위로 상이한 거리들에 위치될 수 있는 개구 부재로서,
    상기 개구 부재는 디스크를 포함하고, 상기 디스크는 상기 디스크의 중심 부분을 관통하여 형성되는 개구를 갖고, 상기 개구는 6 x 6 인치보다 더 큰 직사각형 형상을 갖고 그리고 상기 개구는 상기 개구 내부에 네스트되는(nested) 삽입체를 지지하기 위해 윤곽화된(contoured) 내측 벽을 갖고, 상기 삽입체는 상기 개구 부재와 평행한 관계에 있는,
    개구 부재.
  2. 제 1 항에 있어서,
    상기 디스크는 석영 또는 세라믹의 조합들 및 합금들을 포함하여 석영 또는 세라믹을 포함하는,
    개구 부재.
  3. 제 1 항에 있어서,
    상기 윤곽화된 내측 벽은 베벨형(beveled), 곡선형, 또는 단차형 중 하나인 횡단면 형상을 갖는,
    개구 부재.
  4. 제 1 항에 있어서,
    상기 삽입체는 상기 개구의 상기 윤곽화된 내측 벽과 매치되는(match) 윤곽화된 외측 에지를 갖고, 상기 삽입체는 상기 삽입체의 중심 부분을 관통하는 제 2 개구를 갖는,
    개구 부재.
  5. 제 1 항에 있어서,
    지지 부재들과 짝을 짓는(mate) 상기 디스크의 주변 부분에서 복수의 리세스들을 더 포함하는,
    개구 부재.
  6. 제 1 항에 있어서,
    상기 디스크의 주변 부분에 형성되는 복수의 개구부들을 더 포함하는,
    개구 부재.
  7. 기판의 표면에 걸쳐 활성 종의 분포를 제어하기 위해 플라즈마 에칭 챔버 내의 기판 위로 상이한 거리들에 위치될 수 있는 개구 부재로서,
    내부에 형성되는 개구를 갖는 디스크 - 상기 개구는 내부에 있는 삽입체를 지지하도록 윤곽화된 에지를 갖고, 상기 윤곽화된 에지는 베벨형, 곡선형, 또는 단차형 중 하나임 - ; 및
    복수의 네스팅(nesting) 개구 삽입체들 - 각각의 네스팅 개구 삽입체는 상이한 개구 크기를 갖고, 각각의 네스팅 개구 삽입체는 상기 개구의 상기 윤곽화된 에지와 짝을 짓는 윤곽화된 외측 에지를 포함함 -
    을 포함하는,
    개구 부재.
  8. 제 7 항에 있어서,
    상기 복수의 네스팅 개구 삽입체들은 다섯개 또는 다섯개 미만의 네스팅 개구 삽입체들인,
    개구 부재.
  9. 제 8 항에 있어서,
    제 1 네스팅 개구 삽입체는, 상기 개구 부재의 개구보다 1/8 인치 내지 1/4 인치 더 작은 제 1 개구를 가지고 상기 개구 내에 네스트되고(nest), 제 2 네스팅 개구 삽입체는, 상기 제 1 개구보다 1/8 인치 내지 1/4 인치 더 작은 제 2 개구를 가지고 상기 제 1 개구 내에 네스트되는,
    개구 부재.
  10. 제 7 항에 있어서,
    상기 복수의 네스팅 개구 삽입체들은 상기 개구 부재의 개구를 3 인치까지 감소시키는,
    개구 부재.
  11. 제 7 항에 있어서,
    상기 윤곽화된 에지는 베벨형이고, 상기 베벨은 상기 개구 부재의 평면에 대하여 75°까지의 각도로 머시닝된(machined) 직선 베벨인,
    개구 부재.
  12. 제 7 항에 있어서,
    상기 윤곽화된 에지는 베벨형이고, 상기 베벨은 곡선형 또는 면을 가지는 형태(faceted)인,
    개구 부재.
  13. 기판의 표면에 걸쳐 활성 종의 분포를 제어하기 위해 플라즈마 에칭 챔버 내의 기판 위로 상이한 거리들에 위치될 수 있는 개구 부재로서,
    석영 또는 세라믹의 조합들 및 합금들을 포함하여 석영 또는 세라믹을 포함하는 디스크 - 상기 디스크는 상기 디스크의 중심 부분을 관통하여 형성되는 직사각형 개구를 가지고, 상기 개구는 베벨형, 곡선형, 또는 단차형 벽을 가짐 - ; 및
    상기 디스크의 주변 부분에 형성되는 복수의 리세스들
    을 포함하는,
    개구 부재.
  14. 제 13 항에 있어서,
    상기 리세스들은 개구부들인,
    개구 부재.
  15. 제 13 항에 있어서,
    상기 개구의 벽은 베벨형이고, 상기 베벨은 상기 개구 부재의 평면에 대하여 75°까지의 각도로 머시닝된(machined) 직선 베벨인,
    개구 부재.

KR1020167017345A 2011-05-31 2012-04-25 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 KR101926571B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161491679P 2011-05-31 2011-05-31
US61/491,679 2011-05-31
PCT/US2012/034915 WO2012166264A2 (en) 2011-05-31 2012-04-25 Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137033202A Division KR101744668B1 (ko) 2011-05-31 2012-04-25 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구

Publications (2)

Publication Number Publication Date
KR20160079932A KR20160079932A (ko) 2016-07-06
KR101926571B1 true KR101926571B1 (ko) 2018-12-10

Family

ID=47260155

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167017345A KR101926571B1 (ko) 2011-05-31 2012-04-25 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
KR1020137033202A KR101744668B1 (ko) 2011-05-31 2012-04-25 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020137033202A KR101744668B1 (ko) 2011-05-31 2012-04-25 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구

Country Status (6)

Country Link
US (2) US9287093B2 (ko)
JP (2) JP6046128B2 (ko)
KR (2) KR101926571B1 (ko)
CN (2) CN105977126B (ko)
TW (2) TWI550710B (ko)
WO (1) WO2012166264A2 (ko)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8691702B2 (en) * 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
CN103796413B (zh) * 2012-11-01 2017-05-03 中微半导体设备(上海)有限公司 等离子反应器及制作半导体基片的方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN116110846A (zh) 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
KR102689380B1 (ko) 2016-01-26 2024-07-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107633991B (zh) * 2017-09-20 2019-10-11 武汉华星光电半导体显示技术有限公司 一种干法刻蚀设备
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10784091B2 (en) 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7215305B2 (ja) * 2019-04-04 2023-01-31 日本電産株式会社 プラズマ処理装置用の治具、および、プラズマ処理システム
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
CN112713075B (zh) * 2019-10-25 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体隔离环、等离子体处理装置与基片处理方法
US20230245865A1 (en) * 2021-05-20 2023-08-03 Lam Research Corporation Movable disk with aperture for etch control

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3138693B2 (ja) 1999-01-05 2001-02-26 茨城日本電気株式会社 データ圧縮回路
JP2001104774A (ja) 1999-10-05 2001-04-17 Sony Corp プラズマ処理装置
JP2004165645A (ja) * 2002-10-17 2004-06-10 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2008147384A (ja) 2006-12-08 2008-06-26 Sharp Corp ドライエッチング装置
JP2009094436A (ja) * 2007-10-12 2009-04-30 Panasonic Corp プラズマ処理装置
JP2010165798A (ja) 2009-01-14 2010-07-29 Tokyo Electron Ltd プラズマ処理装置及びプラズマ分布の制御方法
JP2011054933A (ja) 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4392938A (en) * 1981-11-12 1983-07-12 Varian Associates, Inc. Radio frequency etch table with biased extension member
JP2697432B2 (ja) * 1991-11-15 1998-01-14 日新電機株式会社 エッチング装置
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JP2601179Y2 (ja) * 1993-11-29 1999-11-08 日新電機株式会社 基板保持装置
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3118497B2 (ja) * 1993-12-24 2000-12-18 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW298704B (ko) * 1993-12-29 1997-02-21 Tokyo Electron Co Ltd
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
JPH11149999A (ja) * 1997-11-18 1999-06-02 Tokyo Electron Ltd プラズマ処理装置
KR20000030996A (ko) * 1998-11-02 2000-06-05 윤종용 반도체 건식 식각설비
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
KR20020014163A (ko) * 2000-08-16 2002-02-25 윤종용 플라즈마 식각 설비의 웨이퍼 클램핑 장치
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
TW200415681A (en) * 2002-10-17 2004-08-16 Matsushita Electric Ind Co Ltd Plasma processing apparatus
KR100532354B1 (ko) * 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2006310736A (ja) 2005-03-30 2006-11-09 Tokyo Electron Ltd ゲート絶縁膜の製造方法および半導体装置の製造方法
KR101119780B1 (ko) * 2005-06-30 2012-03-23 엘지디스플레이 주식회사 플라즈마 화학증착장치
US20070181529A1 (en) * 2006-02-07 2007-08-09 Subramanian Krupakar M Corona discharge plasma source devices, and various systems and methods of using same
JP2007300011A (ja) * 2006-05-02 2007-11-15 Epson Toyocom Corp イオンエッチング装置、エッチング方法
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP3138693U (ja) * 2007-02-01 2008-01-17 アプライド マテリアルズ インコーポレイテッド ノズルを備えたプラズマリアクタ及び可変プロセスガス分配
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
WO2011062162A1 (ja) * 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
KR101890324B1 (ko) * 2012-06-22 2018-09-28 엘지전자 주식회사 태양 전지 모듈 및 이에 적용되는 리본 결합체

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3138693B2 (ja) 1999-01-05 2001-02-26 茨城日本電気株式会社 データ圧縮回路
JP2001104774A (ja) 1999-10-05 2001-04-17 Sony Corp プラズマ処理装置
JP2004165645A (ja) * 2002-10-17 2004-06-10 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2008147384A (ja) 2006-12-08 2008-06-26 Sharp Corp ドライエッチング装置
JP2009094436A (ja) * 2007-10-12 2009-04-30 Panasonic Corp プラズマ処理装置
JP2010165798A (ja) 2009-01-14 2010-07-29 Tokyo Electron Ltd プラズマ処理装置及びプラズマ分布の制御方法
JP2011054933A (ja) 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法

Also Published As

Publication number Publication date
TW201701352A (zh) 2017-01-01
WO2012166264A3 (en) 2013-01-24
TWI616948B (zh) 2018-03-01
CN105977126A (zh) 2016-09-28
TWI550710B (zh) 2016-09-21
JP2017063212A (ja) 2017-03-30
KR20140036231A (ko) 2014-03-25
KR101744668B1 (ko) 2017-06-08
JP6329614B2 (ja) 2018-05-23
WO2012166264A2 (en) 2012-12-06
JP6046128B2 (ja) 2016-12-14
CN103650118A (zh) 2014-03-19
CN105977126B (zh) 2018-12-07
US20120305184A1 (en) 2012-12-06
JP2014522573A (ja) 2014-09-04
US20160181067A1 (en) 2016-06-23
CN103650118B (zh) 2016-08-24
TW201248721A (en) 2012-12-01
KR20160079932A (ko) 2016-07-06
US9287093B2 (en) 2016-03-15

Similar Documents

Publication Publication Date Title
KR101926571B1 (ko) 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
EP1918970B1 (en) Method and apparatus for photomask plasma etching
US20190096634A1 (en) Apparatus and methods for dry etch with edge, side and back protection
EP1918971B1 (en) Method and apparatus for photomask plasma etching
EP1797578B1 (en) Method and apparatus to improve plasma etch uniformity
US20110126984A1 (en) Edge ring assembly for plasma etching chambers
CN110731000B (zh) 具有均匀性控制的等离子体剥离工具
EP1108263A1 (en) Elevated stationary uniformity ring
JP7208160B2 (ja) 斜面エッチングプロファイル制御

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant