JP3480271B2 - 熱処理装置のシャワーヘッド構造 - Google Patents

熱処理装置のシャワーヘッド構造

Info

Publication number
JP3480271B2
JP3480271B2 JP29050497A JP29050497A JP3480271B2 JP 3480271 B2 JP3480271 B2 JP 3480271B2 JP 29050497 A JP29050497 A JP 29050497A JP 29050497 A JP29050497 A JP 29050497A JP 3480271 B2 JP3480271 B2 JP 3480271B2
Authority
JP
Japan
Prior art keywords
shower head
heat treatment
collar member
main body
treatment apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP29050497A
Other languages
English (en)
Other versions
JPH11111626A (ja
Inventor
澄 田中
栄 中塚
光博 立花
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP29050497A priority Critical patent/JP3480271B2/ja
Priority to US09/166,577 priority patent/US6036782A/en
Publication of JPH11111626A publication Critical patent/JPH11111626A/ja
Application granted granted Critical
Publication of JP3480271B2 publication Critical patent/JP3480271B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハ等に
熱処理を施す熱処理装置のシャワーヘッド構造に関す
る。
【0002】
【従来の技術】一般に、半導体集積回路を製造するため
に半導体ウエハに所定の熱処理等施す装置としては、多
数枚のウエハに対して同時に熱処理を行なうことができ
るバッチ式の熱処理装置と、一枚ずつウエハを高速で熱
処理することができる枚葉式の熱処理装置があり、特
に、ウエハサイズが大きくなり、金属膜を形成する場合
には、膜厚の均一性が良好である等の理由により、枚葉
式の熱処理装置が採用される傾向にある。この枚葉式の
熱処理装置にあっては、例えば円筒体状の真空引き可能
な処理容器内に加熱ヒータ或いは、ランプで加熱できる
載置台を設置してこの上に半導体ウエハを載置し、この
ウエハを所定のプロセス温度に加熱維持しながらこの処
理容器の天井部に設けたシャワーヘッド部から必要な処
理ガスを流し、成膜等の所定の熱処理を行なうようにな
っている。
【0003】図8は上述したような従来のシャワーヘッ
ド構造を示す概略構成図、図9は図8に示すシャワーヘ
ッド構造の接合部を示す部分拡大図である。このシャワ
ーヘッド部2は、処理容器の天井部4から下方に延びて
下端が開放された容器状のシャワーヘッド本体6を有し
ており、このシャワーヘッド本体6の天井部には、処理
ガスをこの内部に導入するガス導入ポート8が設けられ
る。シャワーヘッド本体6の下端の開口部6Aを閉じる
ように、多数のガス噴射孔10を散在させたシャワーヘ
ッド蓋体12がボルト14により取り付け固定されてい
る。図9はこのシャワーヘッド本体6とシャワーヘッド
蓋体12の接合部を拡大して示している。これにより、
ガス導入ボート8からシャワーヘッド本体6内に導入し
た処理ガスを、上記多数のガス噴射孔10から面状に略
均一に処理空間に供給できるようになっている。尚、処
理ガスの均一供給を目的としてシャワーヘッド本体6内
に拡散板11を設ける場合もある。
【0004】
【発明が解決しようとする課題】ところで、半導体ウエ
ハの熱処理において、歩留り向上の見地から、ウエハの
面内温度の均一化と共にパーティクルの削減対策は非常
に重要なテーマである。このような状況下において、上
述したような従来のシャワーヘッド構造においては、図
9に示すようにシャワーヘッド本体6の下端部とシャワ
ーヘッド蓋体12との接合部16が広い範囲で面接触し
ていることから、熱処理時の昇降温により両者の熱膨張
差に起因してここにこすれが生じ、このこすれにより異
物、すなわちパーティクルが発生して歩留りが低下する
という問題点があった。特に、シャワーヘッド本体6や
シャワーヘッド蓋体12は共に熱膨張率が略同じアルミ
ニウムを主体とした材料で形成されているとはいえ、シ
ャワーヘッド蓋体12の方が高温になるためにその周辺
部の膨張伸縮量はかなり大きくなり、例えば直径28c
m程度のシャワーヘッド蓋体12の場合には、この接合
部16の表面部分に長さ3mm程度のこすれの痕跡が見
られた。
【0005】このような接合部16におけるこすれによ
るパーティクルの発生を防止するために、シャワーヘッ
ド本体6とシャワーヘッド蓋体12の接合部に、例えば
テフロンなどよりなるパッキンを介在させることも行な
われているが、この場合には、テフロンの耐腐食性が十
分でないなどの問題があり、パーティクル対策としては
十分ではなかった。特に、高微細化及び高集積化の要請
により、加工線幅がサブミクロン、例えば0.2〜0.
5μm程度まで小さくなった今日においては、上述した
ようなパーティクル削減対策が強く求められている。本
発明は、以上のような問題点に着目し、これを有効に解
決すべく創案されたものである。本発明の目的は、パー
ティクルの発生を抑制することができる熱処理装置のシ
ャワーヘッド構造を提供することにある。
【0006】
【課題を解決するための手段】本発明は、上記問題点を
解決するために、被処理体に所定の熱処理を施す処理容
器の天井部に設けられた熱処理装置のシャワーヘッド構
造において、処理ガスを導入するガス導入ポートを有し
て下端が開放された中空状のシャワーヘッド本体と、こ
のシャワーヘッド本体の下端の開放部を閉じるように設
けられると共に前記処理ガスを放出する複数のガス噴射
孔を有するシャワーヘッド蓋体と、前記シャワーヘッド
本体の下端部に設けられて前記シャワーヘッド蓋体を保
持するカラー部材とを備えるように構成したものであ
る。
【0007】これにより、シャワーヘッド蓋体は、シャ
ワーヘッド本体の下端に取り付けたカラー部材により支
持されるので、シャワーヘッド蓋体とシャワーヘッド本
体が直接接触することがなくなり、カラー部材を介して
接触することになるので、例えば接触面積が少なくな
り、熱伸縮にともなうパーティクルの発生を抑制するこ
とが可能となる。この場合、シャワーヘッド蓋体をカラ
ー部材に設けた肩部で保持させることにより、これは自
重で支持されることになり、従って、ボルトで強固に締
め付ける場合と異なり、熱伸縮が生じてもシャワーヘッ
ド蓋体は拘束されることなく容易に伸縮し、パーティク
ルの発生を更に抑制することができる。このようなカラ
ー部材は、シャワーヘッド本体やシャワーヘッド蓋体が
アルミニウムにより形成されるのに対してこれよりも硬
くて耐腐食性の大きなニッケルクロム系合金により形成
することができる。このニッケルクロム系合金として
は、例えばインコネル(商品名)やハステロイ(商品
名)を用いることができる。
【0008】
【発明の実施の形態】以下に、本発明に係る熱処理装置
のシャワーヘッド構造の一実施例を添付図面に基づいて
詳述する。図1は本発明に係るシャワーヘッド構造を採
用した熱処理装置の一実施例を示す断面図、図2はシャ
ワーヘッド構造を示す分解図、図3はシャワーヘッド本
体とシャワーヘッド蓋体との接合部を示す拡大断面図、
図4はシャワーヘッド蓋体を示す平面図、図5はカラー
部材を示す下面図、図6はカラー部材を示す上面図、図
7はカラー部材を示す断面図である。
【0009】この熱処理装置は、例えば表面がアルマイ
ト処理されたアルミニウム等により円筒状或いは箱状に
成形された処理容器20を有しており、この処理容器2
0内には、処理容器底部より起立させた支柱22上に、
例えば断面L字状の保持部材24を介して被処理体とし
ての半導体ウエハWを載置するための載置台26が設け
られている。この支柱22及び保持部材24は、熱線透
過性の材料、例えば石英により構成されており、また、
載置台26は、厚さ1mm程度の例えばカーボン素材、
アルミ化合物等により構成されている。この載置台26
の下方には、複数本、例えば3本のリフタピン28がピ
ン支持部材25に対して上方へ起立させて設けられてお
り、このピン支持部材25を処理容器底部に貫通して設
けられた押し上げ棒30により上下動させることによ
り、上記リフタピン28を載置台26に貫通させて設け
たリフタピン穴32に挿通させてウエハWを持ち上げ得
るようになっている。
【0010】上記押し上げ棒30の下端は、処理容器2
0において内部の気密状態を保持するために伸縮可能な
ベローズ34を介してアクチュエータ36に接続されて
いる。上記載置台26の周縁部には、ウエハWの周縁部
を保持してこれを載置台26側へ固定するためのリング
状のセラミック製クランプリング38が設けられてお
り、このクランプリング38は、上記保持部材24を遊
嵌状態で貫通した支持棒40を介して上記ピン支持部材
25に連結されており、リフタピン28と一体的に昇降
するようになっている。ここで保持部材24とピン支持
部材25との間の支持棒40にはコイルバネ42が介設
されており、クランプリング38等の降下を助け、且つ
ウエハのクランプを確実ならしめている。これらのリフ
タピン28、ピン支持部材25及び保持部材24も石英
等の熱線透過部材により構成されている。
【0011】また、載置台26の直下の処理容器底部に
は、石英等の熱線透過材料よりなる透過窓44が気密に
設けられており、この下方には、透過窓44を囲むよう
に箱状の加熱室45が設けられている。この加熱室45
内には加熱手段として複数の加熱ランプ46が反射鏡も
兼ねる回転台48に取り付けられており、この回転台4
8は、回転軸50を介して加熱室45の底部に設けた回
転モータ52により回転される。従って、この加熱ラン
プ46より放出された熱線は、透過窓44を透過して載
置台26の下面を照射してこれを加熱し得るようになっ
ている。
【0012】また、載置台26の外周側には、複数の整
流孔54を有するリング状の整流板56が、上下方向に
環状に成形された支持コラム58により支持させて設け
られている。整流板56の内周側には、クランプリング
38の外周部と接触してこの下方にガスが流れないよう
にするリング状の石英製アタッチメント60が設けられ
る。整流板56の下方の底部には排気口62が設けら
れ、この排気口62には図示しない真空ポンプに接続さ
れた排気路64が接続されており、処理容器20内を所
定の真空度に維持し得るようになっている。更に、処理
容器20の側壁には、ゲートバルブ66を介してウエハ
を搬出入するロードロック室68が連結される。
【0013】一方、上記載置台26と対向する天井部7
0には、処理ガスやクリーニングガス等の必要なガスを
処理容器20内へ導入するための本発明のシャワーヘッ
ド構造72が設けられている。具体的には、シャワーヘ
ッド構造72は、例えばアルミニウム等により中空筒体
状になされて下端が開放されたシャワーヘッド本体74
を有しており、このシャワーヘッド本体74の上端には
天井板76が取り付けられている。このシャワーヘッド
本体74は、天井部70に設けた装着孔に上方より装着
され、天井板76のフランジ部76Aを天井部70の内
周縁部にスペーサ78を介して気密に支持させている。
また、この天井板76には、処理ガス等を内部に導入す
るためのガス導入ポート79が設けられる。
【0014】そして、図2及び図3にも示すようにこの
シャワーヘッド本体74の下端の開放部80には、カラ
ー部材82によりシャワーヘッド蓋体84が閉じるよう
に設けられる。このシャワーヘッド蓋体84は、例えば
表面がアルマイト処理されたアルミニウムよりなり、図
4にも示すように直径が1mm程度の多数のガス噴射孔
86が形成されると共に、その周縁部にはカラー部材8
2を収容するために下方が段部状に拡大された円形の複
数のカラー部材挿入孔88が貫通させて形成されてお
り、径が拡大された拡大孔部88Aと径が小さい縮小孔
部88Bとよりなる。この挿入孔88は、図示例では円
板状のシャワーヘッド蓋体84の周方向に沿って等間隔
で4箇所設けられるが、この数値には限定されない。
【0015】カラー部材82は、ニッケルアルミニウム
系合金、例えばインコネル(商品名)やハステロイ(商
品名)のようにアルミニウムよりも硬くて耐腐食性が大
きな金属材料により形成し、図5乃至図6のようにピン
状になっている。具体的にはこのカラー部材82は、上
端よりも下端の直径を大きくして途中に段部90を設け
た円柱状部材よりなり、下部拡大部82Aには、ボルト
ヘッドを収容するボルト凹部92が形成され、上部縮小
部82Bの中心部にはボルト挿通孔94が上下に貫通し
て形成されている。そして、上記段部90は、カラー部
材82の肩部となり、この部分で後述するようにシャワ
ーヘッド蓋体84を支持する。
【0016】ここで、図3にも示すようにカラー部材挿
入孔88の拡大孔部88Aの内径L1は、カラー部材8
2の下部拡大部82Aの外径L2よりも僅かに大きくな
されて遊嵌状態となり、例えばそれぞれ14mm及び1
2mm程度に設定されている。また、カラー部材挿入孔
88の縮小孔部88Bの内径L3は、カラー部材82の
上部縮小部82Bの外径L4よりも僅かに大きく遊嵌状
態になり、例えばそれぞれ7mm及び4mm程度に設定
されている。更に、カラー部材82の上部縮小部82B
の高さH1(図7も参照)は、カラー部材挿入孔88の
縮小孔部88Bの厚みH2よりも少し大きく設定され、
これにより組み立て完了時に図3に示すようにカラー部
材82の上部縮小部82Bの上端がシャワーヘッド蓋体
84の上面より僅かに上方へ突出するようになってい
る。
【0017】この突出量、すなわちシャワーヘッド本体
74側との間隔H3は、これからのガス漏れをできるだ
け小さくし、且つ熱伸縮によっても上下の両部材が接す
ることがないような距離、例えば0.1mm〜0.3m
m程度の範囲内に設定するのが好ましい。尚、シャワー
ヘッド本体74の厚みL5は、略30mm程度である。
そして、カラー部材82は、この内部を挿通させたボル
ト96をシャワーヘッド本体74の下端部に形成したボ
ルト穴98(図2参照)にねじ込むことにより取り付け
固定され、この時、シャワーヘッド蓋体84を遊嵌状態
でカラー部材82の肩部90で支持するようになってい
る。この場合、ボルト96の材質もカラー部材82と同
じものを用い、耐腐食性を高めておくのが好ましい。ま
た、シャワーヘッド本体84内には、図1に示すように
複数の拡散孔100を有する拡散板102、104が設
けられている。
【0018】次に、以上のように構成された本実施例の
動作について説明する。まず、ゲートバルブ66を開い
て図示しない搬送アームにより処理容器20内にウエハ
Wを搬入し、リフタピン28を押し上げることによりウ
エハWをリフタピン28側に受け渡す。そして、リフタ
ピン28を、押し上げ棒30を下げることによって降下
させ、ウエハWを載置台26上に載置すると共に更に押
し上げ棒30を下げることによってウエハWの周縁部を
クランプリング38で押圧してこれを固定する。
【0019】次に、加熱ランプ46によりウエハWを所
定のプロセス温度に加熱維持しつつ所定の処理ガスを、
シャワーヘッド構造72を介して処理容器20内へ導入
し、所定の熱処理を行なう。この際、処理容器20内の
雰囲気は真空排気され、所定のプロセス圧力に維持され
ている。シャワーヘッド構造72においては、ガス導入
ポート79から導入された処理ガスは、シャワーヘッド
本体74の拡散板102、104の拡散孔100を下方
へ通過しつつ拡散され、最終的にシャワーヘッド蓋体8
4に設けた多数のガス噴射孔86からウエハWの上方に
均一に供給されることになる。ここで、シャワーヘッド
構造72のシャワーヘッド蓋体84及びシャワーヘッド
本体74は、載置台26やウエハWからの輻射熱でプロ
セス温度に近い温度、例えば成膜処理等の場合には40
0〜500℃まで上昇して熱伸縮を起こすことになり、
両接合部にてこすれ等が生じてパーティクルが発生する
恐れが生ずる。
【0020】しかしながら、本発明の場合には、図3に
示すように、アルミニウム製のシャワーヘッド蓋体84
はカラー部材82を介してアルミニウム製のシャワーヘ
ッド本体74に支持されており、従来の構造と異なって
両者は直接的には接していない。また、シャワーヘッド
本体74の下端面とカラー部材82は、接合部106
(図3参照)にて接しているが、この部分の接触面積
は、従来構造の場合と比較して非常に僅かである。なぜ
なら、図9に示すように、従来構造においては、接合部
16における接触面は、シャワーヘッド本体6の円周方
向に沿ったリング状の面になっているのに対し、本発明
構造では、接触面は上述したようにカラー部材82の接
合部106における微小な面積だけである。このカラー
部材82は、全部で4個程度であり、これらの接触面積
を合算したとしても非常に少ない。
【0021】従って、このように接触面積が非常に少な
いことから、シャワーヘッド本体74とシャワーヘッド
蓋体84が熱伸縮しても、カラー部材82とシャワーヘ
ッド本体74との間のこすれ量は非常に小さくなり、そ
の分、パーティクルの発生を抑制することができる。実
際に、本発明を実施して成膜処理を行なった後に、シャ
ワーヘッド構造を分解してシャワーヘッド本体74の接
合面を顕微鏡で観察したところ、従来構造ではかなりの
こすれの痕跡があったのに対して、本発明構造ではこす
れの痕跡をほとんど確認することができなかった。この
場合、シャワーヘッド本体74の下端面とシャワーヘッ
ド蓋体84の上面との間に、僅かな間隙が生じている
が、この距離H3(図3参照)は、非常に小さく僅か
0.1〜0.3mm程度であることから、ここから漏れ
出す処理ガスはガス噴射孔86を正規に流れ出るガス量
に対して僅かであり、処理の均一性等に悪影響を与える
こともない。
【0022】また、シャワーヘッド蓋体84には、カラ
ー部材82の肩部90と接して、ここで支持されること
になるが、この肩部90にはシャワーヘッド蓋体84の
自重の負荷がかかっているだけであり、しかもシャワー
ヘッド蓋体84が水平方向へ熱伸縮してもこの肩部90
上で僅かに遊嵌状態でスライドするだけであり、ここに
パーティクルが発生する程の強いこすれが生ずることも
ない。特に、この肩部90の近傍におけるこすれを抑制
するために、この肩部90の表面にMCP(Mecha
nical Chemical Polishing)
処理を施すのが好ましい。
【0023】また、カラー部材82としてアルミニウム
よりも硬いインコネルやハステロイを用いているので、
耐久性及び耐蝕性等において優れているという利点を有
する。尚、上記実施例では、ランプ加熱による成膜装置
を例にとって説明したが、このような装置例に限定され
ず、枚葉式の熱処理装置においてシャワーヘッド構造を
採用する熱処理装置ならばどのような装置にも適用する
ことができ、更に、プラズマ処理装置にも適用できるの
は勿論である。また、被処理体としては、半導体ウエハ
に限定されず、LCD基板、ガラス基板等にも適用でき
るのは勿論である。
【0024】
【発明の効果】以上説明したように、本発明の熱処理装
置のシャワーヘッド構造によれば、次のように優れた作
用効果を発揮することができる。シャワーヘッド本体
に、カラー部材を介してシャワーヘッド蓋体を間接的に
支持させるようにしたので、シャワーヘッド本体とシャ
ワーヘッド蓋体が直接接触することがなく、しかも、シ
ャワーヘッド本体とカラー部材との熱伸縮が発生しても
シャワーヘッド本体やシャワーヘッド蓋体に発生するこ
すれ量が非常に小さくなり、その分、パーティクルの発
生量を大幅に抑制することができる。
【図面の簡単な説明】
【図1】本発明に係るシャワーヘッド構造を採用した熱
処理装置の一実施例を示す断面図である。
【図2】シャワーヘッド構造を示す分解図である。
【図3】シャワーヘッド本体とシャワーヘッド蓋体との
接合部を示す拡大断面図である。
【図4】シャワーヘッド蓋体を示す平面図である。
【図5】カラー部材を示す下面図である。
【図6】カラー部材を示す上面図である。
【図7】カラー部材を示す断面図である。
【図8】従来のシャワーヘッド構造を示す概略構成図で
ある。
【図9】図8に示すシャワーヘッド構造の接合部を示す
部分拡大図である。
【符号の説明】
20 処理容器 26 載置台 44 透過窓 46 加熱ランプ 70 天井部 72 シャワーヘッド構造 74 シャワーヘッド本体 79 ガス導入ポート 80 開放部 82 カラー部材 84 シャワーヘッド蓋体 86 ガス噴射孔 88 カラー部材挿入孔 90 段部(肩部) 94 ボルト挿入孔 W 半導体ウエハ(被処理体)
フロントページの続き (56)参考文献 特開 平7−335635(JP,A) 特開 平9−153481(JP,A) 登録実用新案3005981(JP,U) (58)調査した分野(Int.Cl.7,DB名) H01L 21/205 C23C 16/455 H01L 21/285 H01L 21/3065 H01L 21/31

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 被処理体に所定の熱処理を施す処理容器
    の天井部に設けられた熱処理装置のシャワーヘッド構造
    において、処理ガスを導入するガス導入ポートを有して
    下端が開放された中空状のシャワーヘッド本体と、この
    シャワーヘッド本体の下端の開放部を閉じるように設け
    られると共に前記処理ガスを放出する複数のガス噴射孔
    を有するシャワーヘッド蓋体と、前記シャワーヘッド本
    体の下端部に設けられて前記シャワーヘッド蓋体を保持
    するカラー部材とを備えたことを特徴とする熱処理装置
    のシャワーヘッド構造。
  2. 【請求項2】 前記カラー部材は、前記シャワーヘッド
    本体の下端部にボルトにより固定され、且つ前記シャワ
    ーヘッド蓋体の周縁部を載置保持するための肩部を有し
    ていることを特徴とする請求項1記載の熱処理装置のシ
    ャワーヘッド構造。
  3. 【請求項3】 前記シャワーヘッド本体と前記シャワー
    ヘッド蓋体は、アルミニウムを含む材料により形成さ
    れ、前記カラー部材はニッケルクロム系合金により形成
    されることを特徴とする請求項1または2記載の熱処理
    装置のシャワーヘッド構造。
  4. 【請求項4】 前記ニッケルクロム系合金は、インコネ
    ル及びハステロイの内のいずれか1つであることを特徴
    とする請求項3記載の熱処理装置のシャワーヘッド構
    造。
JP29050497A 1997-10-07 1997-10-07 熱処理装置のシャワーヘッド構造 Expired - Fee Related JP3480271B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP29050497A JP3480271B2 (ja) 1997-10-07 1997-10-07 熱処理装置のシャワーヘッド構造
US09/166,577 US6036782A (en) 1997-10-07 1998-10-06 Shower head

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP29050497A JP3480271B2 (ja) 1997-10-07 1997-10-07 熱処理装置のシャワーヘッド構造

Publications (2)

Publication Number Publication Date
JPH11111626A JPH11111626A (ja) 1999-04-23
JP3480271B2 true JP3480271B2 (ja) 2003-12-15

Family

ID=17756881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29050497A Expired - Fee Related JP3480271B2 (ja) 1997-10-07 1997-10-07 熱処理装置のシャワーヘッド構造

Country Status (2)

Country Link
US (1) US6036782A (ja)
JP (1) JP3480271B2 (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124195A (ja) * 1998-10-14 2000-04-28 Tokyo Electron Ltd 表面処理方法及びその装置
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6221166B1 (en) * 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
TWI297510B (ja) * 2000-09-08 2008-06-01 Tokyo Electron Ltd
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US20030106644A1 (en) * 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
KR101075046B1 (ko) * 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
US20040094095A1 (en) * 2002-11-19 2004-05-20 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate holder assembly
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
KR101137725B1 (ko) * 2004-10-26 2012-04-24 주성엔지니어링(주) 반도체 제조 장치용 슬롯 밸브
DE602006011140D1 (de) * 2005-04-05 2010-01-28 Krosaki Harima Corp Gas-show-erplatte für eine plasmaverarbeitungsvorrichtung
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
TWI306782B (en) * 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP4590393B2 (ja) * 2006-12-25 2010-12-01 日本碍子株式会社 基板保持体及びその製造方法
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8628268B2 (en) * 2008-03-14 2014-01-14 Lam Research Corporation Cam lock electrode clamp
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101063752B1 (ko) * 2009-06-08 2011-09-08 주식회사 에스엠아이 화학기상 증착 장치의 샤워 헤드
JP2011049507A (ja) * 2009-08-29 2011-03-10 Tokyo Electron Ltd ロードロック装置及び処理システム
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI436831B (zh) * 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
JP5544907B2 (ja) 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
JP5045786B2 (ja) * 2010-05-26 2012-10-10 東京エレクトロン株式会社 プラズマ処理装置
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
KR101979222B1 (ko) * 2017-12-22 2019-05-17 인베니아 주식회사 플라즈마 발생 조립체 및 이를 갖는 기판 처리장치
CN108231632A (zh) * 2018-01-08 2018-06-29 德淮半导体有限公司 喷头和气体供应系统
JP7308637B2 (ja) * 2018-05-29 2023-07-14 東京エレクトロン株式会社 真空処理装置、シャワーヘッド、および真空処理装置の組み立て方法
US11901162B2 (en) * 2019-01-07 2024-02-13 Ulvac, Inc. Vacuum processing apparatus and method of cleaning vacuum processing apparatus
US20220064799A1 (en) * 2019-01-07 2022-03-03 Ulvac, Inc. Vacuum processing apparatus
DE102019117479A1 (de) 2019-06-28 2020-12-31 Aixtron Se In einem CVD-Reaktor verwendbares flaches Bauteil
DE102020103946A1 (de) 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
JP7446145B2 (ja) 2020-04-07 2024-03-08 東京エレクトロン株式会社 基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3005981U (ja) 1994-06-09 1995-01-17 日本エー・エス・エム株式会社 熱膨張による薄膜の変化を改善した組立式サセプタおよび組立式シャワーヘッドを有するプラズマcvd装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3005981U (ja) 1994-06-09 1995-01-17 日本エー・エス・エム株式会社 熱膨張による薄膜の変化を改善した組立式サセプタおよび組立式シャワーヘッドを有するプラズマcvd装置

Also Published As

Publication number Publication date
US6036782A (en) 2000-03-14
JPH11111626A (ja) 1999-04-23

Similar Documents

Publication Publication Date Title
JP3480271B2 (ja) 熱処理装置のシャワーヘッド構造
JP3178824B2 (ja) 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置
KR101004192B1 (ko) 성막 장치
JP3430277B2 (ja) 枚葉式の熱処理装置
US6283175B1 (en) Enveloping device and vertical heat-treating apparatus for semiconductor process system
JP2001525984A (ja) サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置
WO2004090960A1 (ja) 載置台構造及びこの載置台構造を有する熱処理装置
US7718005B2 (en) Film forming equipment and film forming method
JPH08250441A (ja) 低圧化学蒸着装置
JPH11204442A (ja) 枚葉式の熱処理装置
WO2004114377A1 (ja) 熱処理装置
US10586719B2 (en) Substrates support apparatus, substrate treating system including the same, and substrate treating method
JP3453834B2 (ja) ウエハチャック装置および半導体製造装置
JP2004356624A (ja) 載置台構造及び熱処理装置
KR20010014782A (ko) 반도체 처리 시스템의 매엽식 처리 장치
TW300327B (ja)
JP2003031564A (ja) 基板処理装置及び半導体装置の製造方法
JP2004307939A (ja) 熱処理装置
JP2002327274A (ja) 成膜装置
JPH11204443A (ja) 枚葉式の熱処理装置
JP4381588B2 (ja) 加熱を伴う処理装置
JP2003332408A (ja) クランプ機構及び処理装置
TW564498B (en) Apparatus and method for insulating a seal in a process chamber
JP2001230212A (ja) 縦型熱処理装置
JP2008106366A (ja) 成膜装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121010

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151010

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees