JP2001525984A - サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置 - Google Patents

サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置

Info

Publication number
JP2001525984A
JP2001525984A JP51612796A JP51612796A JP2001525984A JP 2001525984 A JP2001525984 A JP 2001525984A JP 51612796 A JP51612796 A JP 51612796A JP 51612796 A JP51612796 A JP 51612796A JP 2001525984 A JP2001525984 A JP 2001525984A
Authority
JP
Japan
Prior art keywords
susceptor
wafer
space
heating element
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP51612796A
Other languages
English (en)
Inventor
ホワイト カール
アール マッカーニー ジョン
ティー ヒルマン ジョセフ
Original Assignee
マテリアルズ リサーチ コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マテリアルズ リサーチ コーポレーション filed Critical マテリアルズ リサーチ コーポレーション
Publication of JP2001525984A publication Critical patent/JP2001525984A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 ウェハ(106)を加熱するCVD装置(10)は、加熱素子(120)をCVD環境から隔離し、加熱素子(120)と、ウェハ(106)を支持及び加熱するバックプレーン(102)とを収容するための密閉空間(140)を形成するサセプタ本体(100)を有する。サセプタ空間(140)は、CVD環境から密閉され、第1の圧力に排気される。サセプタ本体(100)を通って延在し、加熱素子を収容するサセプタ空間(140)から密閉され、好ましくはCVD処理圧力より低い第2の圧力に排気されて、ウェハ(106)をクランプするように構成された空間(190)を介して、加熱ガスが供給される。中空ウェハリフトチューブ(62)を包囲する細長シース(186)により、加熱ガス供給空間が形成される。シース(186)は、一端においてバックプレーン(102)に対して密閉され、他端においてチューブ(62)に対して密閉される。チューブ(62)は、シース(186)内を上下に移動する。種々のシール部材により、加熱素子空間とガス供給空間が互いに隔離され、また、CVD反応環境から隔離されて、CVD気相の腐食効果から加熱素子が保護される。

Description

【発明の詳細な説明】 発明の名称 サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置 技術分野 本願は、一般的にバックサイドガスを用いて化学的気相成長(CVD)反応室 におけるウェハへの熱伝達を促進する加熱された半導体ウェハ処理サセプタに関 し、特に、サセプタ加熱素子をCVD環境から隔離し、保護する装置及び方法に 関する。 背景技術 半導体素子の製造において、半導体ウェハには種々の処理工程が施される。こ れら処理工程は、通常、ウェハ処理装置の密閉された真空室にて行われる。この ような一連の処理工程は、総称して化学的気相成長あるいはCVDと呼ばれる。 CVDは、通常、ガスあるいは気相状態の種々の化学物質の反応により、ウェハ 上に材料層を形成する工程を有する。しかしながら、CVDプロセスは、ウェハ の化学的エッチングを含むこともある。CVDプロセスに使用される化学的ガス や気相の多くは、ウェハ処理装置の反応室内で用いられている金属製の部品や装 置のいくつかに対して腐食性がある。したがって、そのような部品や装置を化学 的ガスから隔離し、保護する必要がある。 CVDプロセスは、通常、成膜やエッチングの前にウェハの加熱する。CVD は、冷壁面反応装置内にて行われるのが一般的である。冷壁面反応装置では、成 膜あるいはエッチングされるウェハが、ウェハを支持するサセプタ上で反応温度 に加熱されるとともに、反応装置の他の表面が反応温度より低い温度に維持され 、これら表面への成膜が防止される。例えば、タングステン化学的気相成長では 、ウェハは加熱されるが、反応装置の壁部は、しばしば室温程度にまで冷却され る。また、窒化チタン化学的気相成長では、壁部は、室温より高い温度に加熱さ れるが、成膜が行われるウェハの温度より低い温度とされる。 ウェハを加熱するときに使用される加熱素子をCVDプロセスに用いられる腐 食性化学的気相あるいはガスから隔離させなければならず、反応装置内の化学的 気相成長環境での基板の加熱は困難なことが多い。1つの解決法として、反応装 置に設けられたIRウィンドウを介して反応室の外部からウェハに対して赤外線 (IR)を照射することにより、ウェハを加熱することが考えられる。しかしな がら、CVDプロセスによりIRウィンドウに材料層が成膜され、ウェハの加熱 が不均一になってしまうため、IRの照射では均一な温度を達成するのは困難で あることが多い。代わりに、反応装置内のウェハを支持するサセプタに取り付け られた抵抗あるいは抵抗型ヒータにより、均一な温度を安定して得ることができ る。 一般的には、抵抗型ヒータは、ウェハを支持するサセプタの構造体内において サセプタのバックプレーンあるいはプラテンに取り付けられ、サセプタのバック プレーンを所望の動作温度に加熱すると同時にウェハを加熱する。しかしながら 、抵抗型加熱素子は、ウェ ハを加熱する際、サセプタのバックプレーンをも加熱しなければならないため、 熱応答時間は、ウェハの最大スループットを得るために望まれる熱応答時間より 遅くなってしまう。したがって、熱応答時間を向上させるため、ヘリウム等の気 体の媒体を、サセプタのバックプレーン表面とこのバックプレーンに支持された ウェハとの間に入れ、これにより、サセプタのバックプレーンとウェハとの間の 熱伝達を向上させる。 反応室内に設けられた一般的な半導体ウェハを支持するサセプタは、その底部 においてサセプタ駆動支持フレームが固定されている。サセプタ駆動支持フレー ム内には、CVD処理中にサセプタを回転させたいときに用いられる中空のサセ プタ駆動軸が、回転可能に取り付けられている。中空のサセプタ駆動軸は、サセ プタの底部に強固に取り付けられている。サセプタ駆動軸内の中空空間は、反応 室内のサセプタの内部に通じている。サセプタとサセプタのバックプレーンには 貫通孔や開口部が設けられ、ウェハを吸引保持することができる。通常、中空の サセプタ駆動軸及びサセプタ内の真空圧は、反応室の圧力より十分低い真空圧に 維持され、処理中に加熱されたサセプタのバックプレーンに対しウェハを保持す る真空チャックとして動作するサセプタ内の真空度を上げる。しかしながら、サ セプタ駆動軸とサセプタとの接触面と同様に、サセプタ及びサセプタのバックプ レーンの貫通孔は、腐食性化学物質をサセプタ内に進入させて、加熱素子に接触 させる通路を形成することになる。その結果、特に、真空下でバックプレーンの 貫通孔や開口部を閉塞するようにウェハがサセプタのバックプレーンに保持され ていないときは、加熱素子に損傷を与えてしまうことがある。 さらに、サセプタ及びバックプレーンの貫通孔は、加熱ガスがウェハの裏面に 到達するための通路を供給するように形成されなければならない。この場合も、 貫通孔がウェハにより覆われている間は、サセプタ加熱素子は腐食性化学的気相 からある程度隔離されているが、ウェハがないときには、加熱ガスが貫通孔を介 して反応室から加熱素子へ直接至る腐食性ガスの通路ができてしまう。 それゆえに、ウェハの処理中及びウェハを除去している両方の間において、腐 食性化学的気相からの加熱素子の十分な隔離及び保護を行い、CVD環境で使用 できるサセプタあるいは同様のウェハを支持する装置が必要とされる。また、よ り効率的に熱を伝達するためにウェハへバックサイド加熱ガスを供給するととも に、ウェハを十分に加熱する際に、加熱素子を隔離する装置が必要である。 発明の開示 従来技術の問題を解決するため、本発明は、2つの個別の真空環境を利用して 、抵抗型加熱素子をCVD処理に用いられる腐食性化学的気相から効率的に隔離 するサセプタ及びヒータ装置を提供する。 本発明の原理によれば、CVD反応室内に配置されたサセプタは、1以上の抵 抗型加熱素子を支持し収納するヒータ筐体が内部に形成された本体を有する。加 熱素子は、本体に取り付けられCVD処理の際にウェハを加熱及び支持するサセ プタのバックプレーンに固定される。サセプタ本体内に形成されたヒータ筐体は 、排気されて第1の真空環境が形成される。第1のあるいは外部真空環境は、1 Torr〜100Torr程度で、25Torr程度の圧力に維持さ れるのが好ましい。また、第1の真空環境内の圧力は、反応室内の処理圧力より やや高い圧力に維持されるのが好ましい。この結果、反応室とヒータ筐体の第1 の真空環境との間の全てのリークは、ヒータ筐体の外のリークであり、ヒータ筐 体の中ではなく反応室の中へのリークである。第1の真空環境は、CVD反応室 から密閉され、本発明の目的のようにリークを防止する。 さらに具体的には、第1の真空環境は、2つの高温金属シール部材でヒータ筐 体を密閉することにより形成される。シール部材は、反応室の腐食性ガスや気相 がヒータ筐体に進入して抵抗型加熱素子に損傷を与えるのを防止し、CVD処理 の高温に耐える密閉環境を維持する。第1のシール部材は、サセプタ本体とこの サセプタ本体の上部に強固に取り付けられたバックプレーン構造部との間に配設 されている。第1のシール部材は、サセプタ本体とバックプレーンとの接触面の 周囲に環状に広がり、その接触面から反応室環境がヒータ筐体環境へリークする のを防止する銀製の圧縮シール部材が好ましい。 複合金属製の第2のシール部材は、サセプタ本体の底部と、サセプタを回転さ せヒータ筐体を真空にするサセプタ駆動軸の接触面との間に配設されている。第 2のシール部材は、ステンレススチール製が好ましく、断面が独特のダイアモン ド形状であるコアを有する。延性金属コーティング、好ましくは銀がコアを包囲 し、サセプタ本体とサセプタ駆動軸との間の表面欠陥を補完するように変形され る。複合金属製のシール部材は、密閉の性能に影響を与えずに多数の熱サイクル に耐えるような寸法で形成される。 バックサイド加熱ガスは、サセプタ本体及びバックプレーンの開 口部を通り移動可能なウェハ支持体に取り付けられた中空のウェハリフトチュー ブを介して供給される。リフトチューブは、ヘリウム等のガスをウェハとバック プレーンとの間に供給し、抵抗型加熱素子とサセプタのバックプレーンとウェハ との効率的な熱伝達を行う。リフトチューブは鉛直に移動可能で、ウェハをバッ クプレーン上に移動させ、真空クランプ保持状態を解除する。又、リフトチュー ブは、通常、サセプタ駆動軸内に同心状に延在する。第2のあるいは内部の真空 環境は、リフトチューブのバックプレーンに近接する部分の周囲に維持される。 具体的には、バックサイド加熱ガスを供給するリフトチューブは、サセプタ本 体及びバックプレーンの中央を貫通して延在する。リフトチューブは、その長さ 方向に沿った一部を、内部の真空空間を形成するシースにより包囲される。また 、リフトチューブは、リフトチューブの内部とシースの内部の真空空間を排気す る真空装置に連結され、第2の真空環境を形成する。シースの真空空間は、サセ プタのバックプレーンの開口部を介してウェハのバックに連通されている。バッ クサイド加熱ガスが移動する第2の真空環境は、反応室内の処理圧力であるが、 1Torr〜100Torr程度に維持される。第2の真空環境内の圧力は、1 0Torr程度に維持されるのが好ましい。第2の真空環境内の圧力は、通常、 反応室内の処理圧力より低く、処理中にウェハをサセプタのバックプレーン上に 吸引保持する。ウェハがない場合、第2の真空環境及びバックサイドガス供給装 置は、反応室の処理圧力に維持される。 第2の真空環境及びバックサイドガス供給装置を介して腐食性化学的気相がヒ ータ筐体に進入するのを防止するため、第2の真空環 境は、複数のシール部材により第1の真空環境から分離される。サセプタのバッ クプレーンの内面と、リフトチューブを包囲するシースとの間には、上部シール 部材が配設されている。上部シール部材は、100%ニッケルのものが好ましく 、損傷が与えられることなく、高いCVD処理温度に耐えるように構成されたニ ッケル圧縮シール部材である。シースとリフトチューブとの間には下部シール部 材が配設されている。第2の真空環境の下部シール部材は、リフトチューブが鉛 直に移動してウェハをバックプレーンから持ち上げるときに通る動的シール部材 が好ましい。あるいは、シースをバックプレーンに溶接することにより、上部シ ール部材を用いなくてもよい。 したがって、CVD処理の際、CVD反応室内に3つの真空環境が存在する。 抵抗型加熱素子を包囲するヒータ筐体内の第1の真空環境は、反応室環境と、ヒ ータ筐体の中央を通るバックサイドガス供給の第2の真空環境との両方から分離 される。第1の真空環境内の圧力は、反応室内の処理圧力より高く維持され、腐 食性化学的気相のヒータ筐体へのリークを防止する。ウェハが処理されていると きは、第2の真空環境内の圧力は反応室圧力より低く、ウェハの吸引保持を確実 にする。ウェハがないときは、反応室環境と、第2のあるいはバックサイドガス 真空環境との間は、直接連通している。しかしながら、第2の真空環境がヒータ 筐体の第1の真空環境から密閉され、腐食性化学的気相がバックサイドガス供給 装置を介してヒータ筐体に進入して、抵抗型加熱素子に接触することがなく、抵 抗型加熱素子が損傷されることはない。この結果、種々のシール部材と多数の真 空環境により、ヒータ筐体環境と抵抗型加熱素子を、 反応室環境内にある化学的気相から常に隔離することができる。したがって、バ ックサイドガスがウェハの裏面に供給され、加熱素子とウェハとの間のより効率 的な熱伝達を促進する間も、加熱素子は保護される。 本発明のこれら及び他の目的及び利点は、図面とともに後述の発明の詳細な説 明において明らかにされる。 図面の簡単な説明 図1は、本発明の原理を具体的に示したウェハ処理装置のCVDモジュールの 立面図である。 図2は、図1のモジュールのCVD反応装置の断面図である。 図3は、サセプタ回転部とウェハ昇降部と各真空及びガス接続部を示す図2の 反応装置の下部の断面図である。 図3Aは、図3の線3A−3Aに沿って示す断面図である。 図4は、図1及び図2に示すCVD反応装置で使用されるサセプタの本発明の 原理を組み込んだ断面図である。 図4Aは、図4の円で囲った部分4Aの密閉構造の拡大断面図である。 図5は、本発明の他の密閉構造を示す、図4の部分の拡大断面図である。 図5Aは、バックプレーンに溶接されたシースを示す、図4の一部の拡大断面 図である。 図6は、図4のサセプタに用いられる他の密閉構造の断面図である。 図7は、本発明の密閉構造の他の実施例の断面図である。 発明の詳細な説明 図1は、ウェハ処理クラスタツールに用いられるCVDのモジュール10を示 す。モジュール10は、本発明の出願人に対して1993年12月28日に発行 された米国特許第5,273,588号に示されるモジュールと同じものである 。この米国特許の開示内容は全て、本願にて引用されるものとする。モジュール 10は、車輪付きのベース12上のフレーム11を備え、そのベース12の底部 には、モジュール10を水平化し、モジュール10を床に固定するための1セッ トのアジャスタ13が取り付けられている。モジュール10は、フレーム11に 固定されたキャビネット14を備え、また、このキャビネット14は、フレーム 11に固定されている化学的気相成長(CVD)用の反応装置15に反応ガスを 供給するための導入管に連結されているフローコントローラを備えている。キャ ビネット14は、流体導管、バルブ、ポンプ、制御装置等を含む他の補助装置( 図示せず)に連結され、また種々の反応ガス、不活性ガス、不純物除去、クリー ニングガス、冷却流体の反応装置15への供給及び接続を含む反応装置15を操 作するためのハードウェアに接続されている。 反応装置15で反応が行われる主要CVDプロセスの反応ガスは、シリコン半 導体ウェハ上へのブランケットタングステン堆積処理に用いられるガスであり、 キャビネット14と反応装置15との間に連結された4本の導入管16を介して 供給される。これらのガスは、 例えば、六フッ化タングステン(WF6)、水素(H2)、シラン(SiH4)で ある。反応装置15は、窒化チタン膜やその他のCVDプロセスでの多数の成膜 についても有効である。また、アルゴン等の不活性ガスが、導入管16の1つを 介して供給される。さらに、三フッ化窒素(NF3)ガスなどの反応装置15の プラズマクリーニング用反応ガスは、キャビネット14と反応装置15との間に 連結されたクリーニングガスの導入管17を介して供給される。また、モジュー ル10は1台以上の真空ポンプ又はポンプアセンブリ18を備え、このポンプア センブリ18は、通常、大容量の低真空圧の真空ポンプ1台と小容量の高真空圧 の真空ポンプ1台からなり、反応装置15の排気を行ったり、反応装置15内の 真空状態を必要な動作圧力レベルに維持したり、反応装置15内を流れる未使用 反応ガス、反応副生物、クリーニングガス、不活性ガスを排気する。ガスの成分 をモニタするために、残留ガス分析ポート19が設けられている。 反応装置15は、この反応装置15の底部に取り付けられているサセプタ40 を回転させウェハを昇降させる駆動機構20を有している。反応装置15の主排 気は、反応装置15と真空ポンプ又はポンプアセンブリ18との間に連結された 真空の排気管21を介して行われ、駆動機構20とポンプアセンブリ18との間 には1以上の補助的な真空の排気管22a、22bが設けられている。また、上 部電極端子兼冷却流体マニフォールド継手23と、下部電極端子兼クリーニング ガス継手24が、反応装置15とキャビネット14付近の補助装置との間に連結 されている。 図2に示すように、CVD用の反応装置15は、その内部に、筐 体26により密封された反応室25を有し、反応装置15は、筐体26により、 振動吸収ゴムパッド29を介してフレーム11に取り付けられ、その底部には、 駆動機構20が取り付けられている。筐体26は、内部が十分に研磨されたアル ミニウム製のものが好ましく、反応装置15の壁の加熱及び冷却のための独立し た温度制御装置を備え、一般に冷壁面反応装置と称される反応装置を形成する。 冷壁面反応装置は、加熱された反応装置壁からの放射熱によりサセプタ40が加 熱されるオーブン型反応装置と区別される。筐体26は、エチレングリコールや 水等の好適な流体により流体冷却されるのが好ましい。また、筐体26内に抵抗 加熱素子(図示せず)が設けられ、筐体26が加熱される。あるいは、ロッド型 加熱素子を、反応室25内の種々の位置に設けてもよい。他の応用例としては、 同じ構造内に1以上の加熱素子又は冷却素子を用いてもよい。反応装置壁の加熱 及び冷却は、ゾーン制御されてもよく、加熱と冷却の両方を同時に行って、より 応答性の良い温度制御及び均一性を得ることもできる。 筐体26は、その上部に、反応室25を収容する同じくアルミニウム製が好ま しい反応室カバー27を備えている。反応室カバー27は、空気の作用により筐 体26の上部に対して、あるいはスペーサ81aが用いられる場合はスペーサ8 1aに対して密閉され、空気の作用により、あるいはネジ28やクランプにより 機械的にその位置に保持され固定される。反応室カバー27は、アルミニウム製 の反応室カバー27と一体的に、あるいは機械加工可能なセラミックや別のアル ミニウムや他の金属片等により形成された環状の混合室壁31により包囲され、 反応室カバー27の下部に固定された反 応ガスの混合室30を有している。混合室壁31は、能動的に冷却することがで き、例えばタングステン堆積処理のような処理では、混合室壁31内に形成され た環状通路32に必要に応じて冷却流体を流し、筐体26の温度や反応室カバー 27の温度とは独立した反応温度よりも低い温度に混合室壁31の温度を維持す ることができる。窒化チタン堆積等の処理で必要とされるときには、混合室壁3 1に抵抗加熱素子(図示せず)を設け、筐体26と同様に、混合室壁31及び混 合室30を加熱する。この環状の混合室壁31は、非熱伝導材料、あるいは反応 室カバー27のアルミニウム材料から断熱された導電材料からなり、その温度制 御にかなりの柔軟性を持たせている。混合室30の上部は、好ましくはステンレ ススチール製の取り外し可能なカバー又は上部プレート33により閉蓋されてお り、この上部プレート33は、ボルト(図示せず)により反応室カバー27に密 閉して取り付けられている。反応室の筐体26と反応室カバー27と上部プレー ト33は、モジュール10の運転中に真空圧レベルに維持される空間を有する密 閉容器を形成している。 反応ガスの混合室30の底部は、混合室壁31の底部に取り付けられた円形の シャワーヘッド35により閉蓋されている。シャワーヘッド35は、アルミニウ ム又は機械加工可能なセラミック材料からなり、反応室25内で処理されるウェ ハの面からの高い反応温度による放射熱が吸収されにくいように、十分に研磨さ れた下部表面を有する。シャワーヘッド35は、これを貫通する均一パターンの 孔(図示せず)を有し、これらの孔は、マトリクス状あるいは複数の同心円の列 状に配置されるのが好ましく、このシャワーヘッド35は、反応装置15を通る 鉛直の軸37上にある。また、シャワー ヘッド35は、多孔性金属又はセラミック板によって形成してもよい。 上部プレート33には複数のガス導入口(図示せず)が設けられ、ガスを供給 する導入管16が連結されている。反応室25内には、回転するウェハを支持す るサセプタ40が設けられている。サセプタ40は、シャワーヘッド35の直下 に軸37を中心として配置され、シャワーヘッド35と軸合わせされている。反 応室カバー27には、クリーニングガスの導入口41が取り付けられ、クリーニ ングガスの導入管17に連結されている。また、反応室カバー27には高周波の 上部電極端子兼冷却流体マニフォールド継手23が取り付けられている。高周波 の下部電極端子兼クリーニングガス継手24は、筐体26の側壁に取り付けられ ている。反応室25の筐体26の底部には、単一の真空の排気口42が設けられ 、ここの真空排気管21がポンプアセンブリ18に連結され、ポンプアセンブリ 18は、毎秒400〜500リットルのポンピング速度で動作し、ウェハ処理圧 力1〜100Torr、反応装置15のクリーニング圧力0.1〜100mTo rr、反応室25内のウェハ移動圧力10-4Torrを達成する。筐体26の前 方壁には、クラスタツールの搬送モジュール又はウェハ取扱モジュールに接続す るためのゲートポート43が設けられている。このモジュールにより、ウェハが 処理のために反応室25からローディングされ、又アンローディングされる。ゲ ートポート43は、サセプタ40の上方を向いたウェハ支持面44にほぼ水平に 設けられ、サセプタ40上には、ウェハが処理のためにその上面がシャワーヘッ ド35に対して水平方向に平行、かつ鉛直方向に調整されて支持される。複数の ポート45が、 ウェハ支持面44あるいは反応室25の対向する側の筐体26に水平方向に調整 されて設けられ、分析用あるいはその他の器具を挿入するのに用いられる。 サセプタ駆動支持フレーム47が、筐体26の底部に反応装置15の軸37に 調整されて固定され、サセプタ駆動支持フレーム47内には、中空で断面が環状 のサセプタ駆動軸50が回転可能に取り付けられている。サセプタ駆動軸50は 、反応装置15の軸37と同じ軸を中心に回転するように取り付けられ、反応装 置15の筐体26の底部の孔51を貫通して延在し、サセプタ40の底部に堅固 に取り付けられている。孔51において、サセプタ駆動軸50は、内部レースが サセプタ駆動軸50に密接して包囲し、外部レースが筐体26の底部にてサセプ タ駆動支持フレーム47に固定されている第1の軸受52に、回転可能に支持さ れている。第2の軸受53は、サセプタ駆動支持フレーム47の下端に取り付け られ、サセプタ駆動軸50の下端を堅固に包囲し、支持する。鉄製の流体シール 部材54が、第1の軸受52の直下にてサセプタ駆動支持フレーム47に固定さ れ、サセプタ駆動軸50を堅固に包囲している。鉄製の流体シール部材54は、 その中を70℃未満の温度の流体を循環させ、サセプタ駆動軸50からの熱によ り内部の鉄流体が分解して磁気特性を失うのを防止する。サセプタ駆動支持フレ ーム47内において第2の軸受53の上方には、電気的なスリップリングコネク タ55が設けられ、サセプタ駆動軸50を包囲している。スリップリングコネク タ55は、回転するサセプタ駆動軸50に対する電気的接続を行って回転するサ セプタ40に電気エネルギーを供給するとともに、サセプタ40からの検出され た温度信号を受信する。流 体シール部材54とスリップリングコネクタ55との間のサセプタ駆動軸50に は、駆動プーリ56が固定されており、この駆動プーり56は、駆動ベルト57 を介してサセプタ回転駆動モータ58により回転される。 図3に詳細に示すように、サセプタ40を回転させウェハを昇降させる駆動機 構20の下端において、ウェハのリフト機構60がサセプタ駆動支持フレーム4 7の底部に固定されている。リフト機構60は、中空で鉛直のリフトチューブ6 2の下端を収容する内部の中空の外部耐流体のシェル61を有している。リフト チューブ62は、リフト機構60から鉛直に延在し、反応装置15の軸37に沿 ってサセプタ駆動支持フレーム47を介し、サセプタ駆動軸50の中空内を貫通 して上方に延び、反応室25内に至り、図4及び5に示すように、サセプタ40 の内部にて終端となっている。リフトチューブ62は、サセプタ駆動軸50とと もに回転し、軸方向に9mm程度スライドして、反応室25内のサセプタ40の ウェハ支持面44上のウェハを昇降する。リフトチューブ62の下端は、ハブ片 63に固定され、鉄製の流体シール部材64内に回転可能に支持されている。流 体シール部材64の外部表面は、シェル61内を鉛直にスライド可能なスリーブ 65内に固定されている。スリーブ65の下端は、線形動作空気リフト66aの シェル61の底部における孔67を貫通して延在する鉛直アクチュエータ66に 連結されている。サセプタ40を回転させウェハを昇降させる駆動機構20のサ セプタ駆動支持フレーム47の底部に隣接し、軸37を中心とするリフトチュー ブ62を包囲するシェル61の内部の上部付近には、他の鉄製の流体シール部材 68が設けられている。鉄製の流体シー ル54部材の場合と同様、流体シール部材64及び68には、70℃以下の温度 に維持された流体が供給される。 リフト機構60のシェル61の底部にある導入口70は、ハブ片63のベース にて入口チャネル71に連結している。ハブ片63は、その中空内を貫通してリ フトチューブ62の軸孔72に取り付けられ、リフトチューブ62はその長さを 延長して、以下に説明するようにサセプタ40のバックプレーンに取り付けられ ている。 シェル61には、真空の排気口74が設けられ、排気口74は細長い中空のチ ューブ73に連結され、図3Aに示すように、このチューブ73は、リフトチュ ーブ62を包囲する上端にてサセプタ駆動軸50内の中空の空間75を真空状態 にする。中空の空間75は、サセプタ駆動軸50の長さを延長し、図4に示すよ うに、反応室25内のサセプタ40の内部に連通している。 サセプタ40内に形成された真空状態は、以下に詳細に説明するサセプタ40 の加熱素子を包囲し、また保護する第1の真空環境を形成する。真空の排気口7 4の真空圧とサセプタ駆動軸50の上部の空間75の真空圧は、リフトチューブ 62を包囲しチューブ73内にある環状コラム又は空間79を介して同じになっ ている。 ポンプアセンブリ18は、排気管22aを介して排気口74に連結されるとと もに、排気管22bを介して導入口70に連結され、後述するような第1の及び 第2の真空環境を形成する。排気管22a、22bに連結されているそれぞれの 適切なバルブ機構90a、90bは、排気口74、導入口70における真空圧を 制御する。さらに、排気管22a、22b内の真空圧を測定するのに、ゲージ9 1a、91bが用いられる。ゲージ91a、91bは、それぞれヘ リウム流量制御装置92a、92bに接続され、導入管96b、96aをそれぞ れ介して両方の口70、排気口74にヘリウムを供給するヘリウム供給源94か らのヘリウムの流れを制御する。導入口70、排気口74が真空状態とされてい るとき、同時にこれらの口にヘリウムガスが導入されている。 図4において、サセプタ40は、通常、平坦なサセプタ40のバックプレーン 102にネジ103等で取り付けられたサセプタ本体100を有している。好ま しくは、このようなネジが20個程度、サセプタ40のバックプレーン102の 周辺に配置されて、バックプレーン102をサセプタ本体100に堅固にかつ均 一に固定し、これらのネジ103はバックプレーン102内にねじ込まれる。環 状のニッケルキャップ105が、バックプレーン102を包囲し、ネジ103を 被覆して、平坦なバックプレーン102の表面104を呈する。サセプタ本体1 00は、Pinnacle Manufacturing,Phoenix,Arizonaから入手可能なモネル40 0等のニッケル−銅合金製のものが好ましい。バックプレーン102は、ニッケ ル製である。バックプレーン102は、ウェハ106を支持するウェハを支持す る表面104を有している。サセプタ本体100の底部は、通常、水平に延在す る環状のフランジ110を持つカラー部を有している。フランジ110は、サセ プタ駆動軸50の上部に形成されたショルダ面112の上部にあり、ネジ113 が、フランジ110を通ってショルダ面112付近のサセプタ駆動軸50内にね じ止めされ、サセプタ本体100をサセプタ駆動軸50に固定することにより、 サセプタ駆動軸50が回転するときにサセプタ40も回転するように構成される 。スリーブ114が、サセプタ40付近のサセプタ駆動 軸50を包囲し、筐体26の床部に取り付けられている。 サセプタ40のバックプレーン102の底面には、環状の凹部118が形成さ れ、環状の抵抗型の加熱素子120を収容する。加熱素子120は、サセプタ4 0のバックプレーン102に面対面で密接して凹部118内に保持されるのが好 ましい。加熱素子120は、バックプレーン102側の反対側では、ネジ124 (1つのみ図示する)によりバックプレーン102の底面に固定されたセラミッ クバックプレート122によって保持されている。加熱素子120は、固有の制 御線126に電気的に接続されている。制御線126は、サセプタ40の中心ま で放射状に内方に延び、そこから中心の軸37に平行に下方に延び、制御回路( 図示せず)に接続されて、加熱素子120及びバックプレーン102の温度を制 御することにより、バックプレーン102上に支持されたウェハ106が処理パ ラメータに従って加熱される。制御線126は、セラミック製のバックプレート 122の凹部118に収容される2つのバネを搭載したコネクタ127(1つの み図示する)を介して、加熱素子120に接続されている。加熱素子120には 、セラミックバックプレート122を介して熱電対128等の温度センサが接続 されている。熱電対128には、対応するセンサ線130に取り付けられており 、このセンサ線は軸37と平行にサセプタ40の中央を通って下方に延び、専用 のヒータ制御回路に接続されて、加熱素子120の温度が制御線126を介して 制御回路にフィードバックされ、ウェハ106が適切な加熱温度となるようにな されている。制御線126及びセンサ線130は、スリップリングコネクタ55 (図2参照)を介して電源及び制御回路に電気的に接続されている。 セラミックマッコールからなるハブ132が、サセプタ本体100内のサセプ タ40の中心を包囲し、サセプタ本体100内のサセプタ本体100の底面に配 置された放射状部材134を有している。ハブ132の上部は、セラミックバッ クプレート122の底面から下方に延びたスリーブ136内において、セラミッ クバックプレート122の底面に当接している。一方、ハブ132の底部は、サ セプタ駆動軸50と同心状にサセプタ駆動軸50内に延在しリフトチューブ62 を包囲するプラスチックスリーブ138に当接している。スリーブ138は、ヒ ータ及び熱電対の制御線126、センサ線130を、サセプタ40の中心の軸3 7に沿って配設させている。 サセプタ本体100は、内部にヒータを収納する空間140を形成している。 ヒータを収納する空間140は、加熱素子120、電気的なコネクタ127、制 御線126、熱電対128、センサ線130を含むサセプタ40の加熱部品を略 包囲する。空間140は、サセプタ駆動軸50により形成された空間75に連通 しており、空間75が排気管22aやポンプアセンブリ18により排気にされる と、真空になる。本発明の原理によれば、サセプタ本体100内のヒータを収納 する空間140は、気体に及び流体に対して厳密に密閉されており、加熱部品、 特に加熱素子120を、反応室25内の腐食性化学的気相から保護する。 具体的には、サセプタ本体100は、密閉構造によりバックプレーン102及 びサセプタ駆動軸50に対して密閉されている。図4Aに詳細に示すように、バ ックプレーン102の略外周においてサセプタ本体100とバックプレーン10 2との接触面に形成された環状のチャネル143内に、上部の環状のシール部材 142が配置 される。シール部材142は、略矩形状の横断面を有する銀100%からなる銀 製の圧縮シール部材が好ましい。シール部材142の幅Wは、図示する実施例に おいて3/16インチが好ましく、厚さTは、この実施例において1/16イン チが好ましい。シール部材142の直径は、サセプタ40のサイズや、サセプタ 本体100及びバックプレーン102におけるチャネル143の配置によって異 なる。図示するこの実施例に好適なシール部材142は、Pinnacle Manufacturi ng,Phoenix,Arizonaにより製造されている。環状のシール部材142の寸法は サセプタ40の構成によって変化する。 バックプレーン102のチャネル143における接触面は、バックプレーン1 02がサセプタ本体100に固定されるときに(図4)、鉛直に下方に延在して 軟金属のシール部材142に食い込む急角度の環状のリッジ146を有している 。サセプタ本体100は、リッジ146とは反対方向の同様の角度のリッジ14 8を有し、リッジ148は、鉛直上方に突出してシール部材142に食い込む。 リッジ146、148及びシール部材142により、確実に、耐ガス性の密閉が 、サセプタ40のバックプレーン102とサセプタ本体100との間の接触面に 達成され、反応室25から空間140を隔離し、腐食性反応ガスが接触面を介し て空間140内に進入するのを防止する。 ヒータを収納する空間140は、さらに、サセプタ本体100とサセプタ駆動 軸50との間の接触面周辺で、環状のシール部材150により密閉される。底部 の環状のシール部材150として有用なシール部材は、Materials Research Cor porationが所有する1994年5月11日に出願された米国特許出願番号08/ 241、1 92、THERMAL CYCLE RESISTANT SEAL FOR USE IN SEMI-CONDUCTOR WAFER PROCE SSING APPRARATUS(半導体ウェハ処理装置に用いる熱サイクル抵抗シール部材) により形成された複合金属製のシール部材がある。なお、この国際出願について は全て、本明細書において引用することとする。図6において、適切な密閉部材 あるいはシール部材150を拡大して示す。シール部材150は、環状であり、 硬金属製のコア152と、このコア152上の延性の金属のコーティング154 とを有している。硬金属製のコア152はステンレススチールであり、延性の金 属のコーティング154は銀製であることが好ましい。図6に示すように、シー ル部材150の断面は、通常、ダイアモンド形状である。このダイアモンド形状 のシール部材の断面は、上下の鈍な頂点部156、158を有している。好まし くはステンレススチール製のネジ113を締めることによって、シール部材15 0のフランジ110と上部頂点部156間、サセプタ駆動軸50と下部頂点部1 58間に発生したクランプ力は、適度な接触応力を延性のコーティング154内 に発生させ、この接触応力は、延性のコーティング154を可塑変形して、サセ プタ40とサセプタ駆動軸50の密着面160、162の表面欠陥を補完するの には十分であり、また、延性のコーティング154の最終的な不良は生じない。 本発明のシール部材150の好ましい形態において、コア152は、コーティ ング154によりコーティングされる前に以下のような寸法を有している。すな わち、シールコアの断面の、シール長手方向の対称軸に平行な寸法は、約0.1 05インチ〜約0.107インチである。さらに、シールコアは、約2.645 インチの内径 及び約2.865インチの外径を有しているため、シールコアの断面の、シール 長手方向の対称軸を横断する寸法は、約0.110インチである。鈍な頂点部の 最内端から測定すると、シールコア断面は、約2.743インチの寸法であり、 鈍な頂点部の最外端から測定すると、シールコア断面は、約2.767インチの 寸法である。したがって、シールコア断面の上下の鈍な頂点部156、158の 、シール長手方向軸を横断する寸法は、約0.012インチである。シールコア 断面は、4つの傾斜シール断面164、166、168、170を有し、それぞ れが、シール長手方向の対称軸に対して約50°の角度を有する。コア152に コーティングされた延性の金属のコーティング154は、約0.003インチ〜 約0.005インチの厚さが好ましい。 コア152に用いられるステンレススチールは、H−1100条件で熱処理さ れた17−4ステンレススチールが好ましい。上述の寸法は、シール部材150 の銀メッキ前の状態に適用される。銀メッキは、QQ−S−365(完全にアニ ール処理された)毎に、上述のように、約0.003インチ〜約0.005イン チの厚さに、約0.001インチ内で均一に行われる。メッキ後、シール部材1 50は、375°Fに3時間焼き付けられ、メッキにより発生した水素脆化物が 除去される。メッキ後、シール長手方向の対称軸に平行なシール断面の寸法は、 約0.111インチ〜約0.117インチの範囲内である。 このように構成されたシール部材150は、熱サイクルを繰り返した後も、耐 リークシールとして機能する。シール部材150は、2熱サイクルの間、510 ℃の温度でリークがなく良好に機能した。 したがって、シール部材150は、可塑変形してサセプタ本体100及びサセプ タ駆動軸50の表面160、162等、頂点部の密閉面に存在する表面欠陥を補 完するような延性コーティングとともに、硬金属コア材料により構成される。コ ア材料及び延性コーティングの寸法は、シール部材の全厚が頂点部の密閉面間の 離間距離を制御することを確実にするのに十分な大きさである必要がある。さら に、シール部材をクランプする素子(一般的にはネジやボルト)は、熱による寸 法変化を補完し、いかなるときも頂点部の密閉面における十分な接触圧力を維持 するように、動作温度にて十分な弾性を有する必要がある。 クランプ素子や頂点部やシールコアの材料は、動作温度範囲にわたって頂点部 の密閉面の離間距離の変化を最小限にするために的確な組み合わせの熱膨張係数 が得られるように選択される。サセプタ駆動軸50は、前述したように、17− 4PHステンレススチールにより構成されるのが好ましく、サセプタ本体100 は、銅及びニッケル系合金であるモネル400により構成されるのが好ましい。 クランプ素子の材料及び設計は、動作温度範囲にわたって素子が頂点部の密閉面 のクランプを維持できるように選択される。シール部材150については、上述 の米国特許出願番号08/241、192において詳細に説明される。 サセプタ本体100とサセプタ駆動軸50との接触面を密閉する他の適切なシ ール部材として、Heicoflex Components Division,Columbia,South Carolina のヘリコフレクスシールHNV230/PartNo.H−303183がある 。図7において、シール部材200は、溶接されて単一構造を形成するコイルが 巻線された螺 旋合金のバネ202を有している。インコネル600からなる中間層204が、 バネ202を包囲している。中間層204には、ある角度を有する相対する端部 205、206が設けられ、バネ202の全周を覆っていない。シール部材20 0の外周部にはニッケル層208が設けられ、バネ202及び中間層204を包 囲している。ニッケル層208は、テーパ状の端部209、210を有し、これ ら端部209、210が点211にて重なるように、バネ202及び中間層20 4の周囲に設けられている。シール部材200の上面及び底面には、頂点を有す る突起212、214が形成される。突起212、214は、サセプタ本体10 0とサセプタ駆動軸50との接触面の対応する各面内に埋め込まれている。図7 では、突起214は、面216内に埋め込まれているように示されている。シー ル部材200は、例えば最高温度450℃程度で動作し、1150ポンド/イン チ程度の負荷により圧縮される。接触面は、32マイクロインチrms程度ある いはそれ以下の面仕上げに機械加工されるのが好ましく、接触面の硬度は、ビッ カース硬度スケールで150HV程度或いはそれ以上であることが好ましい。 ヘリコフレクスのシール部材200は、どの断面が円形に形成され、その径が 円形に形成され、その径が好ましくは0.109インチとされている。シール部 材200のニッケル成分は、熱サイクルの繰り返しにも耐えうるように形成され ている。図示する実施例の環状のシール部材150又は200のリング直径は、 2.75インチ程度であるが、シール部材のリング直径及び断面寸法は、通常、 サセプタ40の寸法によって決定される。 シール部材142及び150/200は、通常、耐ガス性で加熱 素子を保護する加熱素子120を収納する空間140を、加熱素子120の周囲 に形成する。空間140は、真空の空間75に連通しているので、サセプタ駆動 軸50及び空間75の圧力まで排気される。空間140は、反応室25内に維持 される圧力と同じあるいはやや高い圧力に維持される。このようにすると、空間 140と反応室25との間のリークは、空間140の中へのリークではなく、サ セプタ40及び空間140の外へのリークとなる。これにより、反応室25内で のCVDプロセスに用いられる腐食性化学的ガス及び気相が、空間140に進入 して加熱素子120を腐食させたり損傷を与えたりすることが防止される。サセ プタ本体100及びシール部材142、150/200は、反応室25内の処理 圧力によって異なるが、空間140内に、1〜100Torrの範囲の圧力の第 1の真空環境を形成する。ボリューム140の内部圧力は、25Torr程度が 好ましい。シール部材142及び150/200は、CVD処理に用いられる高 温に耐えることができる高温金属製のシール部材であるため、複数回の熱サイク ル後にも機能することができる。 空間140が排気されると、ヘリウムが第1の真空環境に流入される。再び図 3で示すように、ヘリウム供給部94が、導入管96aにより排気管22aに連 結されている。適切なバルブ機構90aが、排気管22aの内部の真空圧及び排 気口74における圧力を決定する。この排気口74における圧力は、空間75に おける圧力、そして最終的には空間140内の圧力を設定する(図4参照)。ゲ ージ91aは、空間140の内部圧力を測定する。本発明の1実施例において、 ゲージ91aは容量圧力計であるのが好ましく、本発 明の好適な圧力計として、MKS Instruments,Andover,Massachusettsから入手 可能なMKSモデル#122A−00100DBがある。 空間140内に好適な圧力が得られた後、MKS Instruments,And over,Massa chusettsから入手可能なMKSモデル1159B−00100RV−Sマスフロ ーコントローラ等のヘリウム流量制御装置92aは、空間75及び空間140内 にヘリウムを供給する。制御線97aは、ゲージ91aをヘリウム流量制御装置 92aに接続してヘリウムの導入を制御する。ヘリウムは、サセプタ40内の加 熱素子120を包囲して保護する。本発明の原理によれば、空間140は、始め は0.1mTorr程度に排気され、その後ヘリウムが導入されて、1〜100 Torrとなり、好ましくは25Torrに圧力が上昇される。制御線97aは 、空間75の初期内部圧力をヘリウム流量制御装置92aに伝達し、ヘリウムの 流量を制御して所定の最終圧力を達成する。 上述のように、より効率的な熱伝達のために、サセプタ40のバックプレーン 102の表面104上に載せられたウェハ106の裏面にバックサイドガスを供 給する。一般に、加熱されたサセプタ40のバックプレーン102とウェハ10 6の裏面のと間にガス状の熱伝達媒体が供給されると、ウェハ106の熱応答及 び加熱は向上する。しかしながら、上述のように、バックサイド加熱ガスの通路 を供給するためには、サセプタ40を通る、特にサセプタ40のバックプレーン 102を通る貫通孔すなわち開口部を形成する必要がある。そこで、このような 貫通孔として、加熱素子120を収納する空間140内に開口部を形成する。サ セプタ40のバックプレー ン102内の開口部上にウェハがないときは、反応室25から空間140及び加 熱素子120への直通路が存在するので、腐食性気相が加熱素子120に達して しまう。 本発明の原理によれば、空間140及び加熱素子120を腐食性化学的気相に 晒すことなくバックサイド加熱ガスをウェハ106に供給するような、第2の又 は内部真空環境をサセプタ40内に形成する。内部真空環境は、中空で鉛直のリ フトチューブ62の周囲に形成され、空間140内の第1の真空環境から隔離さ れている。図4及び図5に示すように、リフトチューブ62は、そのほぼ全長に わたって中空であり、サセプタ駆動軸50内のサセプタ40の中心を貫通して鉛 直に延在している。リフトチューブ62は、好ましくはステンレススチールより なり、その上端は、中空でないステンレススチールのチップ176を有している 。チップ176は、好ましくは、ウェハ106の裏面側に設けられ、(図4参照 )リフトピン182を端部に有する3本程度の放射状の脚部180が設けられた りウェハの昇降装置178に取り付けられている。リフトチューブ62は、バッ クプレーン102内に形成された開口部184を貫通して、サセプタ駆動軸50 内において鉛直方向に移動する。前述したように、リフトチューブ62は、9m m程度上下に移動し、ウェハ106を昇降させる。チップ176は、開口部18 4と同心に配置されたストッパ185内を移動する縮径部を有している。ストッ パ185は、図5に示すように、チップ176及びリフトチューブ62の上方移 動を共制限する共働小径部を有している。 第2の真空環境を容易にするために、リフトチューブ62は、リフトチューブ 62の一部に沿って延在しこの一部を被覆する長尺状 のステンレススチールよりなるシース186により包囲されている。シース18 6の上端は、本発明の1実施例においては、フランジ188を有し、ネジ189 によりバックプレーン102に固定される。リフトチューブ62と同様に、同心 のシース186は、サセプタ40及び加熱素子120の中心を貫通して延在し、 開口部184に隣接するバックプレーン102に接している。図5にわかりやす く示してあるように、シース186は、このシース186とリフトチューブ62 の外壁191との間に真空の空間190を形成する。リフトチューブ62には、 複数の開口部192が形成されている。例えば、開口部192は、リフトチュー ブ62のシース186に被覆された部分内にあり、リフトチューブ62の周囲に 亘って設けられる。開口部192及びリフトチューブ62は、排気管22及び導 入口70を介してポンプアセンブリ18により排気された中空のリフトチューブ 62内の空間に連通している。これにより、真空の空間190が排気が行われる 。また、開口部192は、ヘリウム等のバックサイド加熱ガスが空間190内に 供給され、バックサイド加熱ガスは開口部184を介してウェハ106に供給さ れる。ヘリウム供給源94及び導入管96aにより導入されたバックサイド加熱 ガスは、空間190及び開口部184を通り、ウェハ106の裏面に接して、バ ックプレーン102とウェハとの効率的な熱伝達を行う。バックサイド加熱ガス は、放射状のガス経路193と、バックプレーン102の上の表面104に形成 されたガス経路193の端部同士を接続する環状のガス経路195とにより、ウ ェハ106の周囲に噴出される。放射状のガス経路193は、ウェハ昇降装置の 脚部180を受け止める。 本発明の原理によれば、空間190は、サセプタ40内に第2の真空環境を形 成し、腐食性化学的気相から加熱素子120を隔離する。図5において、本発明 の1実施例では、シース186の上端において、バックプレーン102とシース のフランジ188との接触面に上部の環状のシール部材194が配置されている 。上部のシール部材194は、State Seal,Phoenix,Arizonaから入手可能なニ ッケル100%のニッケル製の圧縮シール部材が好ましい。シール部材194は 、シースのフランジ188内に形成されたガス経路197におけるシースフラン ジバックプレーン接触面周辺に延在している。シール部材194は、反応室25 の腐食性化学的気相が空間140に進入できないような耐ガス接触面を、開口部 184付近に形成する。シール部材194は、バックプレーン102とフランジ との接触面にて圧縮されている。さらに、シール部材194は、空間140を真 空の空間190から隔離し、第1の及び第2の真空環境を完全な状態に維持する 。 図5Aに示すように、本発明の他の実施例において、シース186のフランジ 188は、開口部184付近のバックプレーン102に溶接され、空間140を 真空の空間190及び反応室25の環境から密閉する。この溶接により、図5A に示すように、ネジ189もシール部材194も不要となる。 上述のように、第2の真空環境を形成するシース186は、第1の真空環境の 一部を構成するサセプタ駆動軸50の空間75によっても包囲されているリフト チューブ62を包囲する(図5参照)。第2の真空環境を完全な状態に維持し、 この第2の真空環境をリフトチューブ62に沿った第1の真空環境から隔離する ため、シース 186は、チューブに対して密閉されている。具体的には、シース186の底端 に、環状のガス経路198が内部に形成された円筒のフランジ部196がある。 ガス経路198は、リフトチューブ62の外壁191に面し、これに突き当てら れている。チャネル198内には、下部の環状のシール部材199が配設され、 シール部材199は、ヒータ収納環境あるいは第1の真空環境を形成する空間1 40に連通している空間75から、真空環境の空間190を密閉する。シール部 材199は、リフトチューブ62の外壁191とシース186の円筒のフランジ 部196との接触面における密閉性を低下させずに、内部におけるリフトチュー ブ62の移動を可能にする動的シール部材が好ましい。すなわち、リフトチュー ブ62は、シール部材199に対して上下にスライドし、外壁191は、第2の 真空環境に悪影響を与えずに、シール部材199に近接して移動する。このよう な目的の好適なシール部材として、State Seal,Phoenix,Arizonaから入手可能 なVITONTM(登録商標)のシール部材がある。例えば、VITONTMのシー ル部材199は、断面が環状であり、0.070インチの断面寸法を有している 。環状のシール部材の直径は、例えば0.239インチであるが、上述のように 、シール部材の寸法は変化する。 真空の空間190は、シース186の上端のフランジ188及びシール部材1 94と、シース186の下端のフランジ部196及びシール部材199との間に 形成されている。空間190の排気及びバックサイド加熱ガスの供給のための開 口部192は、シール部材194、200間のリフトチューブ62内に配置され ている。空間190は、反応室25内の処理圧力によって異なるが、1〜100 Torr程度の真空圧に維持されるのが好ましい。例えば、この圧力は、10T orrである。CVD処理の際、サセプタ40のバックプレーン102の表面1 04にウェハ106が存在するとき、内部の空間190内の圧力は、通常、反応 室25内の処理圧力より低く維持される。ウェハ106が、サセプタ40のバッ クプレーン102内の開口部184及びガス経路193、195を被覆するとき は、空間190内が低圧にされウェハ106が吸引保持される。 ウェハが吸引保持されるとき、リフトチューブ62は、鉛直方向の最下位置に あり、昇降装置178は、バックプレーン102内に形成されたガス経路193 、195内に配設されている。処理が終了すると、リフトチューブ62は上昇し 、これによりチップ184及び昇降装置178が上昇し、リフトピン182がウ ェハ106の裏面を押圧して真空密閉が破られて、ウェハが処理反応室から除去 される(図4及び図5参照)。 リフトチューブ62及び空間190内の第2の真空環境は、第1の真空環境が 形成及び維持されるのと同様にして、形成及び維持される。再び図3で示すよう に、排気管22bは、導入口70に連結され、バルブ機構90bによって制御さ れている。ゲージ91aと同様の容量圧力計等の圧力ゲージ91bは、導入口7 0及び第2の真空環境内の圧力を測定する。リフトチューブ62の内部孔及び空 間190(図5参照)は、0.1mTorr程度に排気される。制御線97bは 、上述のヘリウム流量制御装置92aと同様にゲージ91bをヘリウム流量制御 装置92bに接続している。ゲージ91bにより測定してリフトチューブ62内 の所望の圧力が得られると、ヘリウム流量制御装置92bは、動作して、排気管 22bを介し、 導入口70にヘリウムを供給する。これにより、1〜100Torr、好ましく は10Torr程度に圧力を上昇させる。ヘリウムは、リフトチューブ62を通 って空間190内に入り、ウェハ106の裏面に達して、ウェハの効率的な熱伝 達を行う。ウェハ106が表面104にないときは、空間190は反応室25に 直通している。しかしながら、空間190は、加熱素子120を包囲する空間1 40内の第1の真空環境から隔離されているため、反応室25内の腐食性化学的 気相による加熱素子の損傷はない。 したがって、本発明は、サセプタ40及び空間140内の加熱素子と他の素子 の完全な隔離を行って、加熱素子の腐食を防止する。4つのシール部材で密閉さ れた第1の及び第2の空間140、190の真空環境により、加熱素子の環境が 反応室の環境から効果的に隔離される。同時に、バックサイドガスが第2の真空 環境を介して供給され、バックプレーン102からウェハ106への効率的な熱 伝達が行われる。シール部材142、150、194、200は、CVD処理に 伴う高温に耐えることができる。 本発明は、以上のような実施例によって詳細に説明されたが、本発明は、上述 の実施例に限定されるものではない。当業者にとって変更を加えることができる ことは明らかであり、本発明は、明細書の詳細な説明、代表的な装置や方法、具 体例に限定されず、本発明の趣旨を逸脱しない範囲において変更が可能である。
【手続補正書】特許法第184条の8第1項 【提出日】平成8年12月4日(1996.12.4) 【補正内容】 さらに、サセプタ及びバックプレーンの貫通孔は、加熱ガスがウェハの裏面に 到達するための通路を供給するように形成されなければならない。この場合も、 貫通孔がウェハにより覆われている間は、サセプタ加熱素子は腐食性化学的気相 からある程度隔離されているが、ウェハがないときには、加熱ガスが貫通孔を介 して反応室から加熱素子へ直接至る腐食性ガスの通路ができてしまう。 米国特許出願番号第5267607号には、ウェハを支持するための真空室内 の加熱サセプタを有するスパッタリング装置が開示されている。サセプタのウェ ハ搭載部の下部にはヒータが固定され、熱伝達ガスが、ウェハとウェハ搭載部の 上部との間の十分に密閉された空間に供給される。ガスは密閉空間の中央にて排 気され、ガスが真空環境へ影響するのを防止する。この装置は、PVDプロセス を行うための装置である。 ウェハの処理中及びウェハを除去している両方の間において、腐食性化学的気 相からの加熱素子の十分な隔離及び保護を行い、CVD環境で使用できるサセプ タあるいは同様のウェハを支持する装置が必要とされる。また、より効率的に熱 を伝達するためにウェハへバックサイド加熱ガスを供給するとともに、ウェハを 十分に加熱する際に、加熱素子を隔離する装置が必要である。 発明の開示 従来技術の問題を解決するため、本発明は、2つの個別の密閉された環境を利 用して、抵抗型加熱素子をCVD処理に用いられる腐食性化学的気相から効率的 に隔離するサセプタ及びヒータ装置を提供する。 本発明の原理によれば、CVD反応室内に配置されたサセプタは、1以上の抵 抗型加熱素子を支持し収納するヒータ筐体が内部に形成 された本体を有する。加熱素子は、本体に取り付けられCVD処理の際にウェハ を加熱及び支持するサセプタのバックプレーンに固定される。サセプタ本体内に 形成されたヒータ筐体は、排気されて第1の真空環境が形成される。第1のある いは外部真空環境は、133N/m2〜13.3kN/m2(1Torr〜100 Torr)程度で、3.32kN/m2(25Torr)程度の圧力に維持さ 口部を通り移動可能なウェハ支持体に取り付けられた中空のウェハリフトチュー ブを介して供給される。リフトチューブは、ヘリウム等のガスをウェハとバック プレーンとの間に供給し、抵抗型加熱素子とサセプタのバックプレーンとウェハ との効率的な熱伝達を行う。リフトチューブは鉛直に移動可能で、ウェハをバッ クプレーン上に移動させ、真空クランプ保持状態を解除する。又、リフトチュー ブは、通常、サセプタ駆動軸内に同心状に延在する。第2のあるいは内部の真空 環境は、リフトチューブのバックプレーンに近接する部分の周囲に維持される。 具体的には、バックサイド加熱ガスを供給するリフトチューブは、サセプタ本 体及びバックプレーンの中央を貫通して延在する。リフトチューブは、その長さ 方向に沿った一部を、内部の真空空間を形成するシースにより包囲される。また 、リフトチューブは、リフトチューブの内部とシースの内部の真空空間を排気す る真空装置に連結され、第2の真空環境を形成する。シースの真空空間は、サセ プタのバックプレーンの開口部を介してウェハのバックに連通されている。バッ クサイド加熱ガスが移動する第2の真空環境は、反応室内の処理圧力であるが、 133N/m2〜13.3kN/m2(1Torr〜100Torr)程度に維持 される。第2の真空環境内の圧力は、1.33kN/m2(10Torr)程度 に維持されるのが好ましい。第2の真空環境内の圧力は、通常、反応室内の処理 圧力より低く、処理中にウェハをサセプタのバックプレーン上に吸引保持する。 ウェハがない場合、第2の真空環境及びバックサイドガス供給装置は、反応室の 処理圧力に維持される。 第2の真空環境及びバックサイドガス供給装置を介して腐食性化学的気相がヒ ータ筐体に進入するのを防止するため、第2の真空環 ヘッド35は、多孔性金属又はセラミック板によって形成してもよい。 上部プレート33には複数のガス導入口(図示せず)が設けられ、ガスを供給 する導入管16が連結されている。反応室25内には、回転するウェハを支持す るサセプタ40が設けられている。サセプタ40は、シャワーヘッド35の直下 に軸37を中心として配置され、シャワーヘッド35と軸合わせされている。反 応室カバー27には、クリーニングガスの導入口41が取り付けられ、クリーニ ングガスの導入管17に連結されている。また、反応室カバー27には高周波の 上部電極端子兼冷却流体マニフォールド継手23が取り付けられている。高周波 の下部電極端子兼クリーニングガス継手24は、筐体26の側壁に取り付けられ ている。反応室25の筐体26の底部には、単一の真空の排気口42が設けられ 、ここの真空排気管21がポンプアセンブリ18に連結され、ポンプアセンブリ 18は、毎秒400〜500リットルのポンピング速度で動作し、ウェハ処理圧 力133N/m2〜13.3kN/m2(1〜100Torr)、反応装置15の クリーニング圧力0.013〜13.3N/m2(0.1〜100mTorr) 、反応室25内のウェハ移動圧力0.013N/m2(10-4Torr)を達成 する。筐体26の前方壁には、クラスタツールの搬送モジュール又はウェハ取扱 モジュールに接続するためのゲートポート43が設けられている。このモジュー ルにより、ウェハが処理のために反応室25からローディングされ、又アンロー ディングされる。ゲートポート43は、サセプタ40の上方を向いたウェハ支持 面44にほぼ水平に設けられ、サセプタ40上には、ウェハが処理のためにその 上面がシャワーヘッド35に対して水平方向に平行、かつ鉛直方向に調整されて 支持される。複数のポート45が、 される。シール部材142は、略矩形状の横断面を有する銀100%からなる銀 製の圧縮シール部材が好ましい。シール部材142の幅Wは、図示する実施例に おいて0.48cm(3/16インチ)が好ましく、厚さTは、この実施例にお いて0.16cm(1/16インチ)が好ましい。シール部材142の直径は、 サセプタ40のサイズや、サセプタ本体100及びバックプレーン102におけ るチャネル143の配置によって異なる。図示するこの実施例に好適なシール部 材142は、Pinnacle Manufacturing,Phoenix,Arizonaにより製造されている 。環状のシール部材142の寸法はサセプタ40の構成によって変化する。 バックプレーン102のチャネル143における接触面は、バックプレーン1 02がサセプタ本体100に固定されるときに(図4)、鉛直に下方に延在して 軟金属のシール部材142に食い込む急角度の環状のリッジ146を有している 。サセプタ本体100は、リッジ146とは反対方向の同様の角度のリッジ14 8を有し、リッジ148は、鉛直上方に突出してシール部材142に食い込む。 リッジ146、148及びシール部材142により、確実に、耐ガス性の密閉が 、サセプタ40のバックプレーン102とサセプタ本体100との間の接触面に 達成され、反応室25から空間140を隔離し、腐食性反応ガスが接触面を介し て空間140内に進入するのを防止する。 ヒータを収納する空間140は、さらに、サセプタ本体100とサセプタ駆動 軸50との間の接触面周辺で、環状のシール部材150により密閉される。底部 の環状のシール部材150として有用なシール部材は、Materials Research Cor porationが所有する国際特許出願WO95/315 85、THERMAL CYCLE RESISTANT SEAL FOR USE IN SEMI-CONDUCTOR WAFER PROCE SSING APPRARATUS(半導体ウェハ処理装置に用いる熱サイクル抵抗シール部材) により形成された複合金属製のシール部材がある。なお、この国際出願について は全て、本明細書において引用することとする。図6において、適切な密閉部材 あるいはシール部材150を拡大して示す。シール部材150は、環状であり、 硬金属製のコア152と、このコア152上の延性の金属のコーティング154 とを有している。硬金属製のコア152はステンレススチールであり、延性の金 属のコーティング154は銀製であることが好ましい。図6に示すように、シー ル部材150の断面は、通常、ダイアモンド形状である。このダイアモンド形状 のシール部材の断面は、上下の鈍な頂点部156、158を有している。好まし くはステンレススチール製のネジ113を締めることによって、シール部材15 0のフランジ110と上部頂点部156間、サセプタ駆動軸50と下部頂点部1 58間に発生したクランプ力は、適度な接触応力を延性のコーティング154内 に発生させ、この接触応力は、延性のコーティング154を可塑変形して、サセ プタ40とサセプタ駆動軸50の密着面160、162の表面欠陥を補完するの には十分であり、また、延性のコーティング154の最終的な不良は生じない。 本発明のシール部材150の好ましい形態において、コア152は、コーティ ング154によりコーティングされる前に以下のような寸法を有している。すな わち、シールコアの断面の、シール長手方向の対称軸に平行な寸法は、約0.2 67cm(0.105インチ)〜約0.271cm(0.107インチ)である 。さらに、シールコアは、約6.718cm(2.645インチ)の内径 及び約7.277cm(2.865インチ)の外径を有しているため、シールコ アの断面の、シール長手方向の対称軸を横断する寸法は、約0.279cm(0 .110インチ)である。鈍な頂点部の最内端から測定すると、シールコア断面 は、約6.967cm(2.743インチ)の寸法であり、鈍な頂点部の最外端 から測定すると、シールコア断面は、約7.028cm(2.767インチ)の 寸法である。したがって、シールコア断面の上下の鈍な頂点部156、158の 、シール長手方向軸を横断する寸法は、約0.031cm(0.012インチ) である。シールコア断面は、4つの傾斜シール断面164、166、168、1 70を有し、それぞれが、シール長手方向の対称軸に対して約50°の角度を有 する。コア152にコーティングされた延性の金属のコーティング154は、約 0.076mm(0.003インチ)〜約0.127mm(0.005インチ) の厚さが好ましい。 コア152に用いられるステンレススチールは、H−1100条件で熱処理さ れた17−4ステンレススチールが好ましい。上述の寸法は、シール部材150 の銀メッキ前の状態に適用される。銀メッキは、QQ−S−365(完全にアニ ール処理された)毎に、上述のように、約0.076mm(0.003インチ) 〜約0.127mm(0.005インチ)の厚さに、約0.025mm(0.0 01インチ)内で均一に行われる。メッキ後、シール部材150は、190.5 ℃(375°F)に3時間焼き付けられ、メッキにより発生した水素脆化物が除 去される。メッキ後、シール長手方向の対称軸に平行なシール断面の寸法は、約 0.282cm(0.111インチ)〜約0.297cm(0.117インチ) の範囲内である。 このように構成されたシール部材150は、熱サイクルを繰り返した後も、耐 リークシールとして機能する。シール部材150は、 2熱サイクルの間、510℃の温度でリークがなく良好に機能した。 したがって、シール部材150は、可塑変形してサセプタ本体100及びサセプ タ駆動軸50の表面160、162等、頂点部の密閉面に存在する表面欠陥を補 完するような延性コーティングとともに、硬金属コア材料により構成される。コ ア材料及び延性コーティングの寸法は、シール部材の全厚が頂点部の密閉面間の 離間距離を制御することを確実にするのに十分な大きさである必要がある。さら に、シール部材をクランプする素子(一般的にはネジやボルト)は、熱による寸 法変化を補完し、いかなるときも頂点部の密閉面における十分な接触圧力を維持 するように、動作温度にて十分な弾性を有する必要がある。 クランプ素子や頂点部やシールコアの材料は、動作温度範囲にわたって頂点部 の密閉面の離間距離の変化を最小限にするために的確な組み合わせの熱膨張係数 が得られるように選択される。サセプタ駆動軸50は、前述したように、17− 4PHステンレススチールにより構成されるのが好ましく、サセプタ本体100 は、銅及びニッケル系合金であるモネル400により構成されるのが好ましい。 クランプ素子の材料及び設計は、動作温度範囲にわたって素子が頂点部の密閉面 のクランプを維持できるように選択される。シール部材150については、上述 の国際特許出願WO95/31585において詳細に説明される。 サセプタ本体100とサセプタ駆動軸50との接触面を密閉する他の適切なシ ール部材として、Heicoflex Components Division,Columbia,South Carolina のヘリコフレクスシールHNV230/PartNo.H−303183がある 。図7において、シール部材200は、溶接されて単一構造を形成するコイルが 巻線された螺 旋合金のバネ202を有している。インコネル600からなる中間層204が、 バネ202を包囲している。中間層204には、ある角度を有する相対する端部 205、206が設けられ、バネ202の全周を覆っていない。シール部材20 0の外周部にはニッケル層208が設けられ、バネ202及び中間層204を包 囲している。ニッケル層208は、テーパ状の端部209、210を有し、これ ら端部209、210が点211にて重なるように、バネ202及び中間層20 4の周囲に設けられている。シール部材200の上面及び底面には、頂点を有す る突起212、214が形成される。突起212、214は、サセプタ本体10 0とサセプタ駆動軸50との接触面の対応する各面内に埋め込まれている。図7 では、突起214は、面216内に埋め込まれているように示されている。シー ル部材200は、例えば最高温度450℃程度で動作し、20.5×103kg /m(1150ポンド/インチ)程度の負荷により圧縮される。接触面は、0. 81μm(32マイクロインチ)rms程度あるいはそれ以下の面仕上げに機械 加工されるのが好ましく、接触面の硬度は、ビッカース硬度スケールで150H V程度或いはそれ以上であることが好ましい。 ヘリコフレクスのシール部材200は、どの断面が円形に形成され、その径が 円形に形成され、その径が好ましくは0.277cm(0.109インチ)とさ れている。シール部材200のニッケル成分は、熱サイクルの繰り返しにも耐え うるように形成されている。図示する実施例の環状のシール部材150又は20 0のリング直径は、6.99cm(2.75インチ)程度であるが、シール部材 のリング直径及び断面寸法は、通常、サセプタ40の寸法によって決定される。 シール部材142及び150/200は、通常、耐ガス性で加熱 素子を保護する加熱素子120を収納する空間140を、加熱素子120の周囲 に形成する。空間140は、真空の空間75に連通しているので、サセプタ駆動 軸50及び空間75の圧力まで排気される。空間140は、反応室25内に維持 される圧力と同じあるいはやや高い圧力に維持される。このようにすると、空間 140と反応室25との間のリークは、空間140の中へのリークではなく、サ セプタ40及び空間140の外へのリークとなる。これにより、反応室25内で のCVDプロセスに用いられる腐食性化学的ガス及び気相が、空間140に進入 して加熱素子120を腐食させたり損傷を与えたりすることが防止される。サセ プタ本体100及びシール部材142、150/200は、反応室25内の処理 圧力によって異なるが、空間140内に、133N/m2〜13.3kN/m2( 1〜100Torr)の範囲の圧力の第1の真空環境を形成する。ボリューム1 40の内部圧力は、3.32kN/m2(25Torr)程度が好ましい。シー ル部材142及び150/200は、CVD処理に用いられる高温に耐えること ができる高温金属製のシール部材であるため、複数回の熱サイクル後にも機能す ることができる。 空間140が排気されると、ヘリウムが第1の真空環境に流入される。再び図 3で示すように、ヘリウム供給部94が、導入管96aにより排気管22aに連 結されている。適切なバルブ機構90aが、排気管22aの内部の真空圧及び排 気口74における圧力を決定する。この排気口74における圧力は、空間75に おける圧力、そして最終的には空間140内の圧力を設定する(図4参照)。ゲ ージ91aは、空間140の内部圧力を測定する。本発明の1実施例において、 ゲージ91aは容量圧力計であるのが好ましく、本発 明の好適な圧力計として、MKS Instruments,Andover,Massachusettsから入手 可能なMKSモデル#122A−00100DBがある。 空間140内に好適な圧力が得られた後、MKS Instruments,And over,Massa chusettsから入手可能なMKSモデル1159B−00100RV−Sマスフロ ーコントローラ等のヘリウム流量制御装置92aは、空間75及び空間140内 にヘリウムを供給する。制御線97aは、ゲージ91aをヘリウム流量制御装置 92aに接続してヘリウムの導入を制御する。ヘリウムは、サセプタ40内の加 熱素子120を包囲して保護する。本発明の原理によれば、空間140は、始め は0.013N/m2(0.1mTorr)程度に排気され、その後ヘリウムが 導入されて、133N/m2〜13.3kN/m2(1〜100Torr)となり 、好ましくは3.32kN/m2(25Torr)に圧力が上昇される。制御線 97aは、空間75の初期内部圧力をヘリウム流量制御装置92aに伝達し、ヘ リウムの流量を制御して所定の最終圧力を達成する。 上述のように、より効率的な熱伝達のために、サセプタ40のバックプレーン 102の表面104上に載せられたウェハ106の裏面にバックサイドガスを供 給する。一般に、加熱されたサセプタ40のバックプレーン102とウェハ10 6の裏面のと間にガス状の熱伝達媒体が供給されると、ウェハ106の熱応答及 び加熱は向上する。しかしながら、上述のように、バックサイド加熱ガスの通路 を供給するためには、サセプタ40を通る、特にサセプタ40のバックプレーン 102を通る貫通孔すなわち開口部を形成する必要がある。そこで、このような 貫通孔として、加熱素子120を収納する空間140内に開口部を形成する。サ セプタ40のバックプレー 186は、チューブに対して密閉されている。具体的には、シース186の底端 に、環状のガス経路198が内部に形成された円筒のフランジ部196がある。 ガス経路198は、リフトチューブ62の外壁191に面し、これに突き当てら れている。チャネル198内には、下部の環状のシール部材199が配設され、 シール部材199は、ヒータ収納環境あるいは第1の真空環境を形成する空間1 40に連通している空間75から、真空環境の空間190を密閉する。シール部 材199は、リフトチューブ62の外壁191とシース186の円筒のフランジ 部196との接触面における密閉性を低下させずに、内部におけるリフトチュー ブ62の移動を可能にする動的シール部材が好ましい。すなわち、リフトチュー ブ62は、シール部材199に対して上下にスライドし、外壁191は、第2の 真空環境に悪影響を与えずに、シール部材199に近接して移動する。このよう な目的の好適なシール部材として、State Seal,Phoenix,Arizonaから入手可能 なVITONTM(登録商標)のシール部材がある。例えば、VITONTMのシー ル部材199は、断面が環状であり、0.178cm(0.070インチ)の断 面寸法を有している。環状のシール部材の直径は、例えば0.607cm(0. 239インチ)であるが、上述のように、シール部材の寸法は変化する。 真空の空間190は、シース186の上端のフランジ188及びシール部材1 94と、シース186の下端のフランジ部196及びシール部材199との間に 形成されている。空間190の排気及びバックサイド加熱ガスの供給のための開 口部192は、シール部材194、200間のリフトチューブ62内に配置され ている。空間190は、反応室25内の処理圧力によって異なるが、133N/ m2〜13.3kN/m2(1〜100 Torr)程度の真空圧に維持されるのが好ましい。例えば、この圧力は、1. 33kN/m2(10Torr)である。CVD処理の際、サセプタ40のバッ クプレーン102の表面104にウェハ106が存在するとき、内部の空間19 0内の圧力は、通常、反応室25内の処理圧力より低く維持される。ウェハ10 6が、サセプタ40のバックプレーン102内の開口部184及びガス経路19 3、195を被覆するときは、空間190内が低圧にされウェハ106が吸引保 持される。 ウェハが吸引保持されるとき、リフトチューブ62は、鉛直方向の最下位置に あり、昇降装置178は、バックプレーン102内に形成されたガス経路193 、195内に配設されている。処理が終了すると、リフトチューブ62は上昇し 、これによりチップ176及び昇降装置178が上昇し、リフトピン182がウ ェハ106の裏面を押圧して真空密閉が破られて、ウェハが処理反応室から除去 される(図4及び図5参照)。 リフトチューブ62及び空間190内の第2の真空環境は、第1の真空環境が 形成及び維持されるのと同様にして、形成及び維持される。再び図3で示すよう に、排気管22bは、導入口70に連結され、バルブ機構90bによって制御さ れている。ゲージ91aと同様の容量圧力計等の圧力ゲージ91bは、導入口7 0及び第2の真空環境内の圧力を測定する。リフトチューブ62の内部孔及び空 間190(図5参照)は、0.013N/m2(0.1mTorr)程度に排気 される。制御線97bは、上述のヘリウム流量制御装置92aと同様にゲージ9 1bをヘリウム流量制御装置92bに接続している。ゲージ91bにより測定し てリフトチューブ62内の所望の圧力が得られると、ヘリウム流量制御装置92 bは、動作して、排気管22bを介し、 導入口70にヘリウムを供給する。これにより、133N/m2〜13.3kN /m2(1〜100Torr)、好ましくは1.33kN/m2(10Torr) 程度に圧力を上昇させる。ヘリウムは、リフトチューブ62を通って空間190 内に入り、ウェハ106の裏面に達して、ウェハの効率的な熱伝達を行う。ウェ ハ106が表面104にないときは、空間190は反応室25に直通している。 しかしながら、空間190は、加熱素子120を包囲する空間140内の第1の 真空環境から隔離されているため、反応室25内の腐食性化学的気相による加熱 素子の損傷はない。 したがって、本発明は、サセプタ40及び空間140内の加熱素子と他の素子 の完全な隔離を行って、加熱素子の腐食を防止する。4つのシール部材で密閉さ れた第1の及び第2の空間140、190の真空環境により、加熱素子の環境が 反応室の環境から効果的に隔離される。同時に、バックサイドガスが第2の真空 環境を介して供給され、バックプレーン102からウェハ106への効率的な熱 伝達が行われる。シール部材142、150、194、200は、CVD処理に 伴う高温に耐えることができる。 請求の範囲 1. ウェハ(106)を支持する表面(104)と、上記表面(104)に結 合され、表面(104)上のウェハ(106)を加熱する加熱素子(120)と 、上記ウェハ(106)と表面(104)との間にガスを供給して反応空間(2 5)内における加熱素子(120)からウェハへの熱伝達を促進するバックサイ ドガス装置とを備え、CVD反応空間(25)内で使用されるウェハ処理サセプ タ(40)であって、上記加熱素子(120)を収納するウェハ処理サセプタ内 の第1の空間(140)と、上記第1の空間及び周囲のバックサイドガス装置の 内部の第2の空間(190)とを有し、上記第2の空間(190)は、上記表面 (104)内の開口部(184)に連通され、上記表面上のウェハ(106)に ガスを送り込み、上記加熱素子(120)と上記ウェハ(106)との効率的な 熱伝達を行わせ、上記第1の空間(140)は、使用時に上記CVD反応空間( 25)から密閉されて、上記CVD反応空間内の腐食性化学的気相が上記加熱素 子(120)に影響を与えるのを防止し、上記第2の空間(190)は、使用時 に上記第1の空間(140)から密閉されて、腐食性化学的気相が上記第2の空 間(190)を介して上記第1の空間(140)に進入すること及び上記加熱素 子に影響を与えることを防止することにより、バックサイド加熱ガスがウェハに 供給されて効率的な加熱が行われるとともに、上記加熱素子がCVD環境におけ る腐食性化学的気相から保護されることを特徴とするウェハ処理サセプタ。 2. 上記第2の空間(190)は、ウェハ(106)が上記表面開口部(18 4)を覆って配置されているときは、使用時に上記第1の空間(140)と上記 CVD反応空間(25)の両方から効果 的に密閉されることを特徴とする請求の範囲第1項記載のウェハ処理サセプタ。 3. 上記第1の空間(140)を内部に有するサセプタ本体(100)を有し 、上記第1の空間(140)は、上記加熱素子(120)の周囲に第1の真空環 境を形成する第1の圧力に排気可能であり、上記サセプタ本体(100)は、上 記第1の真空環境及び加熱素子(120)を上記CVD環境から効果的に隔離す ることを特徴とする請求の範囲第1項又は第2項記載のウェハ処理サセプタ。 4. 上記第2の空間(190)内に延在して、ガスを供給するガス供給チュー ブ(62)と、上記チューブ(62)の一部及び第2の空間(190)を包囲し て、上記チューブの部分及び第2の空間を上記第1の真空環境(140)から密 閉する密閉装置(186)とを有することを特徴とする請求の範囲第3項記載の ウェハ処理サセプタ。 5. 上記密閉装置は、上記チューブ(62)を包囲して、その間に上記第2の ガス供給空間(190)を形成する細長シース(186)を有し、上記シース( 186)は、使用時に上記第1の真空環境(140)から密閉されることを特徴 とする請求の範囲第4項記載のウェハ処理サセプタ。 6. 上記シース(186)の一端を密閉するための、上記シース(186)の 一端と上記開口部(184)付近の上記サセプタ本体表面との間に配置された環 状のシール部材(194)を有することを特徴とする請求の範囲第5項記載のウ ェハ処理サセプタ。 7. 上記環状のシール部材(194)はニッケルシールであることを特徴とす る請求の範囲第6項記載のウェハ処理サセプタ。 8. 上記シース(186)の他端と上記チューブ(62)との間に配置された 第2の環状のシール部材(199)を有することを特徴とする請求の範囲第6項 又は第7項記載のウェハ処理サセプタ。 9. 上記第2の環状のシール部材(199)は、上記第2の環状のシール部材 (199)に対する上記チューブ(62)の移動を可能にする動的シール部材で あることを特徴とする請求の範囲第8項記載のウェハ処理サセプタ。 10. 上記チューブ(62)は、上記サセプタ本体表面付近のウェハ昇降装置 (178)に連結され、上記チューブ(62)は、上記昇降装置を移動させて上 記表面(104)上のウェハ(106)を昇降させるように移動可能であること を特徴とする請求の範囲第4項乃至第9項のいずれか1項記載のウェハ処理サセ プタ。 11. 上記第2の空間(190)は、第2の真空環境を形成する第2の圧力に 排気可能であることを特徴とする請求の範囲第3項乃至第10項のいずれか1項 記載のウェハ処理サセプタ。 12. 上記CVD反応空間は使用時においては処理圧力であり、使用時の上記 第2の真空環境の圧力は、上記表面開口部(184)を覆って配置されたウェハ (106)を上記サセプタ表面(104)に吸引保持するために上記処理圧力よ り低く、上記第2の真空環境は、ウェハ(106)が上記サセプタ表面(104 )に配置されているとき、上記第1の真空環境及び上記CVD環境から隔離され る ことを特徴とする請求の範囲第11項記載のウェハ処理サセプタ。 13. サセプタ本体(100)は、本体部材と上記本体部材に取り付けられ上 記ウェハ支持表面(104)を上方に有する平坦部材(102)とからなり、上 記サセプタ本体(100)に取り付けられた支持体(50)と、上記平坦部材( 102)と本体部材との接触面を密閉する第1のサセプタシール部材(142) と、上記支持体(50)と上記本体部材との接触面を密閉する第2のサセプタシ ール部材(150、200)とを有し、上記第1のサセプタシール部材(142 )と第2のサセプタシール部材(150、200)は、上記CVD環境からの上 記第1の真空環境の隔離することを特徴とする請求の範囲第3項乃至第12項の いずれか1項記載のウェハ処理サセプタ。 14. 上記第1のサセプタシール部材(142)は銀製のシール部材であるこ とを特徴とする請求の範囲第13項記載のウェハ処理サセプタ。 15. 上記第2のサセプタシール部材(150)は複合金属製のシール部材で あることを特徴とする請求の範囲第13項又は第14項記載のウェハ処理サセプ タ。 16. 上記複合金属製のシール部材(150)は、硬金属製のコア(152) と延性コーティング(154)とを有することを特徴とする請求の範囲第15項 記載のウェハ処理サセプタ。 17. 上記支持体は、上記本体部材の第1の密閉空間(140)に連通された 中空空間(75)を内部に有し、上記中空空間(75) を真空にすることにより、使用時に上記密閉空間(140)内に上記第1の真空 環境が形成されることを特徴とする請求の範囲第13項乃至第16項のいずれか 1項記載のウェハ処理サセプタ。 18. 処理圧力に排気されたCVD反応空間である反応空間(25)内のウェ ハ(106)を、加熱素子(120)を用いて効率的に加熱する方法であって、 表面(104)に結合されて表面(104)及びウェハ(106)を加熱する加 熱素子(120)を収納するための空間(140)を有するウェハ処理サセプタ (40)の表面(104)にウェハ(106)を配置する工程と、上記サセプタ 空間(140)と上記サセプタ表面(104)内の開口部(184)とを通って 延在する空間(190)内にバックサイド加熱ガスを送り込み、上記ガスが上記 表面(104)上のウェハ(106)に接触して上記ウェハ(106)と上記加 熱表面(104)との間の効率的に熱を伝達する工程と、上記CVD反応空間( 25)に対して上記サセプタ空間(140)を密閉し、上記CVD環境から上記 加熱素子(25)を効果的に隔離する工程と、上記サセプタ空間(140)に対 して上記ガス供給空間(190)を密閉し、上記加熱素子(120)の上記ガス 供給空間(190)への露呈を防止し、さらに上記CVD環境から上記加熱素子 (120)を効果的に隔離する工程を有し、上記ウェハ(106)を効率的に加 熱するとともに、上記CVD環境に存在する腐食性化学的気相から上記加熱素子 (120)を保護することを特徴とする方法。 19. ウェハを上記表面開口部(184)を覆って配置して、上記開口部を塞 ぎ、上記ガス供給空間(190)を上記サセプタ空間(140)及び上記CVD 反応空間(25)から密閉する工程を有することを特徴とする請求の範囲第18 項記載の方法。 20. 上記ガス供給空間(190)を上記処理圧力より低い圧力に排気して、 上記表面開口部(184)を覆って配置されたウェハ(106)の吸引保持を行 う工程を有することを特徴とする請求の範囲第19項記載の方法。 21. 上記密閉されたウェハ処理サセプタ内のリークが、上記サセプタ空間( 140)内に送られるのではなく、上記サセプタ空間(140)から効果的に送 られるように、上記サセプタ空間(140)を上記処理圧力より高い圧力に維持 する工程を有することを特徴とする請求の範囲第18項乃至第20項のいずれか 1項記載の方法。 22. 上記ウェハ処理サセプタは、サセプタ本体(100)と、上記表面(1 04)を上方に有する平坦部材(102)とを備え、さらに、上記サセプタ本体 (100)とサセプタ空間(140)を貫通して延在し上記ウェハにバックサイ ド加熱ガスを供給するガス供給チューブ(62)を備え、上記ガス供給空間(1 90)が上記チューブ(62)との間に形成される細長シース(186)により 上記ガス供給チューブ(62)を包囲する工程と、上記シース(186)の一端 と上記チューブ(62)との接触面を密閉する工程と、上記シース(186)の 他端と上記平坦部材(102)との接触面を密閉する工程とを有し、上記ガス供 給空間(190)を上記サセプタ本体(100)から密閉し、上記加熱素子(1 20)を上記CVD環境から効果的に隔離することを特徴とする請求の範囲第1 8項乃至第21項のいずれか1項記載の方法。 23. 上記ガス供給チューブ(62)は、上記サセプタ本体(1 00)に対して移動可能であり、ウェハ昇降機構(178)に連結され、上記チ ューブ(62)を昇降させて上記ウェハ(106)を上記表面(104)から離 間させる工程を有することを特徴とする請求の範囲第22項記載の方法。 24. 上記ウェハ処理サセプタは、サセプタ本体(100)と、上記サセプタ 本体(100)の底部に固定された支持体(50)と、上記本体の上部固定され 上記表面(104)を有する平坦部材(102)とを備え、上記サセプタ空間( 140)は、上記サセプタ本体(100)と支持体(50)と平坦部材(102 )により形成され、上記サセプタ空間を密閉する工程は、上記サセプタ本体(1 00)と支持体(50)との接触面を密閉する工程と、上記サセプタ本体(10 0)と平坦部(102)との接触面を密閉する工程とを有することを特徴とする 請求の範囲第18項乃至第23項のいずれか1項記載の方法。 25. 化学的気相成長(CVD)により半導体ウェハ上に材料層を堆積させる 方法であって、請求の範囲第18項乃至第24項のいずれか1項記載の方法によ りウェハを加熱する工程と、上記ウェハ付近にCVD反応ガスを導入して反応さ せ、加熱されたウェハ上に材料層を堆積させる工程とを有することを特徴とする 方法。 【図5】【図6】 【図7】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FR,GB,GR,IE,IT,LU,M C,NL,PT,SE),OA(BF,BJ,CF,CG ,CI,CM,GA,GN,ML,MR,NE,SN, TD,TG),AP(KE,LS,MW,SD,SZ,U G),AL,AM,AT,AU,BB,BG,BR,B Y,CA,CH,CN,CZ,DE,DK,EE,ES ,FI,GB,GE,HU,IS,JP,KE,KG, KP,KR,KZ,LK,LR,LS,LT,LU,L V,MD,MG,MK,MN,MW,MX,NO,NZ ,PL,PT,RO,RU,SD,SE,SG,SI, SK,TJ,TM,TT,UA,UG,UZ,VN (72)発明者 ジョセフ ティー ヒルマン アメリカ合衆国 アリゾナ州 85250 ス コッツデール マクレレン ブールバード 8025 イー 【要約の続き】 て、CVD気相の腐食効果から加熱素子が保護される。

Claims (1)

  1. 【特許請求の範囲】 1. 処理圧力におけるCVD環境内で、効率的にウェハを加熱し、加熱素子を 上記CVD環境から効果的に隔離する装置であって、 加熱素子を備え上記加熱素子の周りに第一の真空環境を作るために第一の圧力 で排気される密封された空間を有し上記第一の真空環境と加熱素子をCVD環境 から効果的に隔離するサセプタ本体と、加熱素子が取り付けられウェハを支持し 加熱する表面と、を有するサセプタと、 上記サセプタ本体と第一の真空環境の間に延在し、上記表面の中に開口部に連 通し、ウェハと加熱された上記表面の間に加熱ガスを供給し上記表面と上記ウェ ハとの効率的な熱伝導を行い上記第一の真空環境から密封され腐食性気相が第一 の真空環境に入るのを効果的に防止する加熱ガス供給空間と、 からなり、 バックサイド加熱ガスが効率的な加熱のためにウェハに供給されるとともに加 熱素子がCVD環境の中の腐食性化学的気相から保護されていることを特徴とす るウェハ処理サセプタ。 2. 上記ガス供給空間内に延在して、ガスを供給するガス供給チューブと、上 記チューブの一部及びガス供給空間を包囲して、上記チューブの部分及びガス供 給空間を上記第1の真空環境から密閉する密閉装置とを有することを特徴とする 請求の範囲第1項記載のウェハ処理サセプタ。 3. 上記密閉装置は、上記チューブを包囲して、その間に上記ガス供給空間を 形成する細長シースを有し、上記シースは、上記第1の真空環境から密閉される ことを特徴とする請求の範囲第2項記載のウェハ処理サセプタ。 4. 上記シースの一端を密閉するための、上記シースの一端と上記開口部付近 の上記サセプタ本体表面との間に配置された環状のシール部材を有することを特 徴とする請求の範囲第3項記載のウェハ処理サセプタ。 5. 上記シースの他端と上記チューブとの間に配置された第2の環状のシール 部材を有することを特徴とする請求の範囲第4項記載のウェハ処理サセプタ。 6. 上記チューブは、上記サセプタ本体表面付近のウェハ昇降装置に接続され 、上記チューブは、上記昇降装置を移動させて上記表面上のウェハを昇降させる ように移動可能であることを特徴とする請求の範囲第2項記載のウェハ処理サセ プタ。 7. 上記第2の環状のシール部材は、上記第2の環状のシール部材に対する上 記チューブの移動を可能にする動的シール部材であることを特徴とする請求の範 囲第5項記載のウェハ処理サセプタ。 8. 上記環状のシール部材はニッケル製のシール部材であることを特徴とする 請求の範囲第4項記載のウェハ処理サセプタ。 9. 上記ガス供給空間は、上記供給空間に第2の真空環境を形成する第2の圧 力に排気可能であることを特徴とする請求の範囲第1項記載のウェハ処理サセプ タ。 10. 上記第2の真空環境の圧力は、上記表面開口部上に配置されたウェハを 上記サセプタ表面に吸引保持するために上記処理圧力より低く、上記第2の真空 環境は、ウェハがそのように配置されているとき、上記第1の真空環境及び上記 CVD環境から隔離されることを特徴とする請求の範囲第9項記載のウェハ処理 サセプタ。 11. 本体部材と上記本体部材に接続され上記ウェハ加熱表面を上方に有する 平坦部材とにより構成される上記サセプタ本体に接続された支持体と、上記平坦 部材と本体部材との界面を密閉する第1のサセプタシール部材と、上記支持体と 上記本体部材との界面を密閉する第2のサセプタシール部材とを有し、上記シー ル部材は、上記CVD環境からの上記第1の真空環境の隔離することを特徴とす る請求の範囲第1項記載のウェハ処理サセプタ。 12. 上記第1のサセプタシール部材は銀製のシール部材であることを特徴と する請求の範囲第11項記載のウェハ処理サセプタ。 13. 上記第2のサセプタシール部材は複合金属シール部材であることを特徴 とする請求の範囲第11項記載のウェハ処理サセプタ。 14. 上記支持体は、上記本体の密閉空間に接続された中空空間を内部に有し 、上記支持体空間の排気により、上記密閉空間内に上記第1の真空環境が形成さ れることを特徴とする請求の範囲第11項記載のウェハ処理サセプタ。 15. 上記第1の真空環境の圧力は上記処理圧力より高く、サセプタ機構の中 のリークは、第1の真空環境の外のCVD環境に効果的に導かれることを特徴と する請求の範囲第15項記載のウェハ処理サセプタ。 16. CVD環境の中でウェハを効率的に加熱し、サセプタの加熱素子をCV D環境から効果的に隔離するサセプタであって、 支持軸上に載せられ加熱素子を備える部分的に中空のサセプタ本体と、 サセプタ本体に載せられ加熱素子に接続されたウェハを支持し加熱する表面を 有する平坦部材と、 それぞれが密閉され、加熱素子を包囲し加熱素子をCVD環境から効果的に保 護するサセプタ本体の中に一般的な耐真空第一空間を作り出すサセプタ本体と支 持軸との界面とサセプタ本体と平坦部材との界面と、 サセプタ本体と第一の空間の中に延在し上記平坦部材に載せられたウェハにバ ックサイド加熱ガスを供給するガス供給チューブと、 上記チューブの一部の周囲に有りチューブとの間に第二の空間を作り、上記平 坦部材の中の開口部に接続された一つの端部はバックサイド加熱ガスをチューブ からウェハに導き、開口部に接続された 端部において平坦部材に対して密閉されもう一方の端部でチューブに対して密閉 され第二の空間が効果的に第一の空間から隔離され、バックサイド加熱ガスがウ ェハに供給され、加熱素子がCVD環境の腐食性化学的気相から保護されている と共に加熱素子からの熱伝達をより効果的に行うことを促進するシースと、 を有することを特徴とするウェハ処理サセプタ。 17. ウェハが上記平坦部材の開口部を完全に覆っているとき、上記スリーブ は第一の空間とCVD環境から第二の空間を効果的に隔離することを特徴とする 請求の範囲第16項記載のウェハ処理サセプタ。 18. CVD環境は処理圧力であって、第二の空間に接続され上記平坦部材の 上のウェハの吸引保持のため第二の空間を上記処理圧力より小さい圧力まで排気 する真空システムをさらに備えることを特徴とする請求の範囲第16項記載のウ ェハ処理サセプタ。 19. 上記チューブが上記シースの中に延在し、上記シースに対して可動であ り、上記チューブに接続され上記平坦部材の開口部付近に位置し、上記チューブ が上記シースの内側で動かされたときウェハを平坦部材と開口部から持ち上げる ウェハの昇降装置をさらに備えることを特徴とする請求の範囲第16項記載のウ ェハ処理サセプタ。 20. ウェハを支持する表面と、上記表面に接続され上記表面の 上のウェハを加熱する加熱素子と、ウェハと上記表面の間にガスを供給し、CV D反応空間の中で加熱素子からウェハへの熱伝達を促進するバックサイドガスシ ステムを有するウェハ処理サセプタにおいて、 加熱素子を収納し、CVD反応空間から密閉され、CVD反応空間内の腐食性 化学的気相が加熱素子に影響するのを防止する上記サセプタ内の第一の空間と、 第一の空間内に有りバックサイドガスシステムを包囲し、上記表面の開口部に 接続され、加熱素子とウェハの間での効果的な熱伝達を行うためガスを上記表面 の上のウェハに導き、第一の空間から密閉され腐食性化学的気相が第一の空間に 入り加熱素子に影響することを防ぐ第二の空間と、 を有し、 バックサイド加熱ガスが効率的な加熱のためにウェハに供給されていると共に 、CVD環境の中で加熱素子は腐食性化学的気相から保護されていることを特徴 とするウェハ処理サセプタ。 21. ウェハが上記表面の開口部にあるとき上記第二の空間は上記第一の空間 とCVD反応空間から効果的に密閉されている ことを特徴とする請求の範囲第20項記載のウェハ処理サセプタ。 22. 処理圧力に排気されたCVD反応空間内のウェハを、加熱素子をCVD 環境から効果的に隔離しながら加熱素子を用いて効率的に加熱する方法であって 、 表面に接続されて表面及びウェハを加熱する加熱素子を収納する ための空間を有するサセプタにウェハを配置する工程と、 上記CVD反応空間に対して上記サセプタ空間を密閉し、上記CVD環境から 上記加熱素子を効果的に隔離する工程と、 上記サセプタ空間と上記サセプタ表面内の開口部とを通って延在する空間内に バックサイド加熱ガスを送り込み、上記ガスが上記表面上のウェハに接触して上 記ウェハと上記加熱表面との間の効率的な熱伝達する工程と、 上記サセプタ空間に対して上記ガス供給空間を密閉し、上記加熱素子の上記ガ ス供給空間への露呈を防止し、さらに上記CVD環境から上記加熱素子を効果的 に隔離する工程と、 からなり、 上記ウェハを効率的に加熱するとともに、上記CVD環境に存在する腐食性化 学的気相から上記加熱素子を保護することを特徴とする方法。 23. ウェハを上記表面開口部上に配置して、上記開口部を被覆し、上記ガス 供給空間を上記サセプタ空間及び上記CVD反応空間から密閉する工程と有する ことを特徴とする請求の範囲第22項記載の方法。 24. 上記ガス供給空間を上記処理圧力より低い圧力に排気して、上記表面開 口部上のウェハの吸引保持を行う工程を有することを特徴とする請求の範囲第2 3項記載の方法。 25. 上記密閉されたサセプタ内のリークが、上記サセプタ空間 内に送られるのではなく、上記サセプタ空間から効果的に送られるように、上記 サセプタ空間を上記処理圧力より高い圧力に維持する工程を有することを特徴と する請求の範囲第20項記載の方法。 26. 上記サセプタは、サセプタ本体と、上記表面を上方に有する平坦部材と を有し、さらに、上記サセプタ本体とサセプタ空間を通って延在し上記ウェハに バックサイド加熱ガスを供給するガス供給チューブを有し、上記方法は、 上記ガス供給空間が上記チューブとの間に形成される細長シースにより上記ガ ス供給チューブを包囲する工程と、 上記シースの一端と上記チューブとの界面を密閉する工程と、 上記シースの他端と上記平坦部材との界面を密閉する工程と、 を有することにより、上記ガス供給空間を上記サセプタ本体から密閉し、上記 加熱素子を上記CVD環境から効果的に隔離することを特徴とする請求の範囲第 22項記載の方法。 27. 上記密閉する工程は、上記シースと上記チューブの一つと上記平坦部材 との間の界面におけるチューブ端部において環状のシール部材の位置決めを有す ることを特徴とする請求の範囲第26項記載の方法。 28. さらに、上記シースと上記平坦部材との界面においてニッケル製のシー ル部材を圧縮する工程を有することを特徴とする請求の範囲第27項記載の方法 。 29. さらに、上記チューブとシースの界面において動的シール部材の位置決 めを行い、チューブがシースの中で動くようにする工程を有することを特徴とす る請求の範囲第27項記載の方法。 30. 上記ガス供給チューブは、上記サセプタ本体に対して移動可能であり、 ウェハ昇降機構に接続され、上記方法は、上記チューブを昇降させて上記ウェハ 上記表面から離間させる工程を有することを特徴とする請求の範囲第27項記載 の方法。 31. 上記サセプタは、サセプタ本体と、上記本体の底部に固定された支持体 と、上記本体の上部固定され上記表面を有する平坦部材とを有し、上記サセプタ 空間は、上記本体と支持体と平坦部材により形成され、上記サセプタ空間を密閉 する工程は、 上記サセプタ本体と支持体との界面を密閉する工程と、 上記サセプタ本体と平坦部との界面を密閉する工程と、 を有することを特徴とする請求の範囲第24項記載の方法。 32. 上記サセプタ本体と平坦部材の間の界面を密閉する工程は、上記界面に おいて銀製のシール部材を圧縮することを特徴とする請求の範囲第31項記載の 方法。 33. 上記サセプタ本体と支持体との間の界面を密閉する工程は、堅い金属の コアを有し上記界面において延性のコーティングを有する金属シール部材を圧縮 することを特徴とする請求の範囲第31項記載の方法。 34. ウェハをを加熱する工程を含む化学的気相成長(CVD)法により材料 層を半導体ウェハに堆積させる方法であって、 表面に接続されて表面及びウェハを加熱する加熱素子を収納するための空間を 有するサセプタにウェハを配置する工程と、 上記CVD反応空間に対して上記サセプタ空間を密閉し、上記CVD環境から 上記加熱素子を効果的に隔離する工程と、 上記サセプタ空間と上記サセプタ表面内の開口部とを通って延在する空間内に バックサイド加熱ガスを送り込み、上記ガスが上記表面上のウェハに接触して上 記ウェハと上記加熱表面との間の効率的な熱伝達する工程と、 上記サセプタ空間に対して上記ガス供給空間を密閉し、上記加熱素子の上記C VD反応空間への露呈を防止し、さらに上記CVD環境から上記加熱素子を効果 的に隔離する工程と、 CVD反応ガスをウェハの近傍に供給し、反応させ材料層を加熱されたウェハ の上に堆積させる工程と、 からなり、 材料層が加熱されたウェハの上に堆積されるとともに、加熱素子が材料層を成 膜するためのCVD反応ガスの腐食の影響から保護されていることを特徴とする 方法。 35. さらに、ウェハを上記表面の開口部に載せ、上記開口部をカバーし、上 記サセプタ空間とCVD反応空間からガス供給空間を密閉する工程を有すること を特徴とする請求の範囲第34項記載の方法。 36. さらに、ガス供給空間を処理圧力圧力より低い圧力に排気し、上記表面 の開口部の上に吸引保持を作り出す工程を有することを特徴とする請求の範囲第 35項記載の方法。 37. さらに、サセプタの空間を処理圧力よりも大きい圧力に維持し、密封さ れたサセプタのリークはサセプタの中よりかはサセプタの外に効果的に導く工程 を有することを特徴とする請求の範囲第34項記載の方法。 38. 上記サセプタは、サセプタ本体と、上記表面を上方に有する平坦部材と を有し、さらに、上記サセプタ本体とサセプタ空間を通って延在し上記ウェハに バックサイド加熱ガスを供給するガス供給チューブを有し、上記方法は、 上記ガス供給空間が上記チューブとの間に形成される細長シースにより上記ガ ス供給チューブを包囲する工程と、 上記シースの一端と上記チューブとの界面を密閉する工程と、 上記シースの他端と上記平坦部材との界面を密閉する工程と、 を有することにより、上記ガス供給空間を上記サセプタ本体から密閉し、上記 加熱素子を上記CVD環境から効果的に隔離することを特徴とする請求の範囲第 34項記載の方法。
JP51612796A 1994-11-09 1995-10-31 サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置 Pending JP2001525984A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/336,496 1994-11-09
US08/336,496 US5562947A (en) 1994-11-09 1994-11-09 Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
PCT/US1995/014231 WO1996015287A2 (en) 1994-11-09 1995-10-31 Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment

Publications (1)

Publication Number Publication Date
JP2001525984A true JP2001525984A (ja) 2001-12-11

Family

ID=23316360

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51612796A Pending JP2001525984A (ja) 1994-11-09 1995-10-31 サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置

Country Status (7)

Country Link
US (2) US5562947A (ja)
EP (1) EP0791082A2 (ja)
JP (1) JP2001525984A (ja)
AU (1) AU4363096A (ja)
CA (1) CA2202074A1 (ja)
TW (1) TW366514B (ja)
WO (1) WO1996015287A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019507093A (ja) * 2016-02-08 2019-03-14 エルピーイー ソシエタ ペル アチオニ 誘導加熱可能なサセプタ及びエピタキシャル堆積リアクタ

Families Citing this family (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811762A (en) * 1996-09-25 1998-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Heater assembly with dual temperature control for use in PVD/CVD system
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US6372048B1 (en) 1997-06-09 2002-04-16 Tokyo Electron Limited Gas processing apparatus for object to be processed
JP3563564B2 (ja) * 1997-06-09 2004-09-08 東京エレクトロン株式会社 ガス処理装置
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6183562B1 (en) * 1997-12-23 2001-02-06 Sony Corporation Of Japan Thermal protection system for a chemical vapor deposition machine
JP4232279B2 (ja) * 1999-07-06 2009-03-04 ソニー株式会社 気相成長装置
US6265803B1 (en) * 1999-11-10 2001-07-24 Brooks Automation, Inc. Unlimited rotation vacuum isolation wire feedthrough
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6723167B2 (en) * 2000-12-21 2004-04-20 Intel Corporation Spindle sleeve for coater/developer
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6758909B2 (en) * 2001-06-05 2004-07-06 Honeywell International Inc. Gas port sealing for CVD/CVI furnace hearth plates
US6637776B2 (en) * 2001-06-13 2003-10-28 Cummins Inc. Fluid manifold connector and fluid manifold assembly
KR20030039247A (ko) * 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
JP4294976B2 (ja) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 基板処理装置
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
US7026581B2 (en) * 2003-08-22 2006-04-11 Axcelis Technologies, Inc. Apparatus for positioning an elevator tube
JP2005082880A (ja) * 2003-09-11 2005-03-31 Shoka Kagi Kofun Yugenkoshi 有機el発光装置の成膜設備
US7235139B2 (en) * 2003-10-28 2007-06-26 Veeco Instruments Inc. Wafer carrier for growing GaN wafers
US7169234B2 (en) * 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
JP2006179613A (ja) * 2004-12-21 2006-07-06 Rigaku Corp 半導体ウエハ縦型熱処理装置用磁性流体シールユニット
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
JP6184479B2 (ja) 2012-05-18 2017-08-23 ビーコ インストゥルメンツ インコーポレイテッド 化学蒸着のための強磁性流体シールを有する回転円盤反応器
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220024576A (ko) * 2019-06-19 2022-03-03 램 리써치 코포레이션 기판들의 이송 동안 진공의 사용
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7325313B2 (ja) * 2019-12-11 2023-08-14 東京エレクトロン株式会社 回転駆動装置、基板処理装置及び回転駆動方法
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3407783A (en) * 1964-08-31 1968-10-29 Emil R. Capita Vapor deposition apparatus
JPH0614520B2 (ja) * 1983-12-26 1994-02-23 株式会社日立製作所 低圧雰囲気内の処理装置
DE3633386A1 (de) * 1986-10-01 1988-04-14 Leybold Ag Verfahren und vorrichtung zum behandeln von substraten im vakuum
DE4092221T1 (ja) * 1989-12-11 1992-01-30
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP3604706B2 (ja) * 1992-07-23 2004-12-22 キヤノン株式会社 成膜方法
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019507093A (ja) * 2016-02-08 2019-03-14 エルピーイー ソシエタ ペル アチオニ 誘導加熱可能なサセプタ及びエピタキシャル堆積リアクタ
JP7082573B2 (ja) 2016-02-08 2022-06-08 エルピーイー ソシエタ ペル アチオニ 誘導加熱可能なサセプタ及びエピタキシャル堆積リアクタ

Also Published As

Publication number Publication date
CA2202074A1 (en) 1996-05-23
TW366514B (en) 1999-08-11
AU4363096A (en) 1996-06-06
WO1996015287A3 (en) 1996-08-08
US5897380A (en) 1999-04-27
US5562947A (en) 1996-10-08
EP0791082A2 (en) 1997-08-27
WO1996015287A2 (en) 1996-05-23

Similar Documents

Publication Publication Date Title
JP2001525984A (ja) サセプタ加熱素子を化学的気相成長環境から隔離する方法及び装置
US5383971A (en) Differential pressure CVD chuck
JP3480271B2 (ja) 熱処理装置のシャワーヘッド構造
CA2138292C (en) Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten cvd
US5886864A (en) Substrate support member for uniform heating of a substrate
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
US6602348B1 (en) Substrate cooldown chamber
US5972114A (en) Film deposition apparatus with anti-adhesion film and chamber cooling means
US8028652B2 (en) Batch-type remote plasma processing apparatus
US6320736B1 (en) Chuck having pressurized zones of heat transfer gas
US8033771B1 (en) Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP4563984B2 (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
US7718005B2 (en) Film forming equipment and film forming method
JP4108119B2 (ja) 改良型化学気相堆積チャンバ
JP3253002B2 (ja) 処理装置
JPH09209151A (ja) ガス分散器及びプラズマ処理装置
US6080444A (en) CVD film forming method including annealing and film forming performed at substantially the same pressure
EP1299573A2 (en) Method to isolate multi zone heater from atmosphere
US5575856A (en) Thermal cycle resistant seal and method of sealing for use with semiconductor wafer processing apparatus
KR20030074418A (ko) 기판 처리 방법 및 장치
JP3738494B2 (ja) 枚葉式の熱処理装置
JPH07283292A (ja) シール機構並びにこのシール機構を用いた処理装置及び処理方法
JPH08333681A (ja) 活性ガスを用いた平らなサンプルの表面化学処理装置
JPS63119525A (ja) プラズマcvd装置
JP3124302B2 (ja) 成膜方法