JP3178824B2 - 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置 - Google Patents

複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置

Info

Publication number
JP3178824B2
JP3178824B2 JP12933590A JP12933590A JP3178824B2 JP 3178824 B2 JP3178824 B2 JP 3178824B2 JP 12933590 A JP12933590 A JP 12933590A JP 12933590 A JP12933590 A JP 12933590A JP 3178824 B2 JP3178824 B2 JP 3178824B2
Authority
JP
Japan
Prior art keywords
wafer
susceptor
gas
reactor
susceptors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP12933590A
Other languages
English (en)
Other versions
JPH0349216A (ja
Inventor
エイチ・ピーター・ダブリユー・ヘイ
ウイリアム・エイ・マザク
ラビンデル・ケイ・アガルワル
ジヨン・エイチ・カーテイン
ポール・ビー・ブラウン
ジヨー・アール・スミス
Original Assignee
エイエスエム・インターナシヨナル・エヌ・ブイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム・インターナシヨナル・エヌ・ブイ filed Critical エイエスエム・インターナシヨナル・エヌ・ブイ
Publication of JPH0349216A publication Critical patent/JPH0349216A/ja
Application granted granted Critical
Publication of JP3178824B2 publication Critical patent/JP3178824B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】 本発明はケミカル・ベーパー・デポジツシヨン装置に
関し、特に1つ又は複数の単一基板の共通なチヤンバー
内におけるマルチステーシヨン方式の連続処理に関す
る。
本発明を要約すれば次のとおりである。独立して加熱
される円形に配置された複数のサセプターの各々が、処
理チヤンバー内で複数のウエーハの1つを支持し旦つ加
熱する。垂直方向に各サセプターと整列させられたガス
分散ヘツドは、下流の流れ製造構造と組み合わされて、
反応ガスの流れを支持されたウエーハを横切つて半径方
向に均一に方向付ける。スパイダーはウエーハの各々を
1つのグループとして、隣接するサセプターに次々と置
き換える。ウエーハ取り扱い装置は、高生産率を与える
ために、処理済みの各ウエーハを取り換える。各ガス分
散ヘツドとこれに協働するサセプターとの間を本質的に
主に放射している高周波エネルギー源はプラズマ強化環
境を与え、リアクター内のその他の場所の低レベルの強
度は残留デポジツトを減少させる。
プラズマ・エンハンスト・ケミカル・ベーパー・デポ
ジツシヨン(以下PECVDともいう)法は比較的最近開発
された。利用し得る文献の発行は殆ど無く、旦つその多
くはPECVDを実行するための実際的な高生産性の装置に
ついてのものではなく、PECVDを利用し得る公知の化学
的組成に向けられたものである。PECVDは、基板が他の
方法よりも低温に止まり得るように、反応ガスにエネル
ギーを与えるために高周波誘導ガス放出を使用する。こ
のような低い基板温度は、その他の方法による被覆を受
け入れるには熱的に不安定である基板上の薄層のデポジ
ツシヨン方法を許容するという顕著な利点がある。更
に、PECVDは、熱反応のみの場合と比較してデポジツシ
ヨン速度を早くでき、旦つ特殊な組成及び性質の薄層を
作ることができる。良好な接着性、低いピンホール密
度、良好なステツプ状被覆、適切な電気的特性及びフア
インパターン転写処理との適合性のような特性のため
に、この処理方法は集積回路の生産に使用されてきた。
基本的に3つの形式の、即ち平行板、水平管及び単一
ウエーハの形式のPECVDリアクターが存在する。平行板
形式のリアクターにおいては、基板又はウエーハは底部
の接地された電極上に支持され、高周波パワーが上側電
極に加えられる。均一性向上のために、接地された電極
を回転及び加熱することができる。反応ガスの流れは中
央部に導入されそして周辺部から排出され、あるいはこ
の逆とすることができる。中空管形式のPECVDリアクタ
ーにおいては垂直に向けられた複数の電極が互いに平行
に積み重ねられ、かけられた高周波エネルギーに対する
給電電極と接地電極として作用する板が交互になつてい
る。ウエーハは電極間に挿入される。一般に、装填及び
取り出しのために組立体全体を管から引き出さなければ
ならない。
単一ウエーハ形式のPECVDリアクターは、カセツトを
装填固定しそしてカセツトにカセツト作業を与えるであ
ろう。ウエーハの放射加熱を行うことができる。高周波
エネルギーの適用は、上述のようにデポジツシヨン工程
を強化する。この形式のリアクターの実施例は、リアク
ター内に配置された複数の排出ガス分ヘツドを備え、共
通な板の上でこれらの下に配置されたウエーハに向けて
反応ガスを排出する。このような複数のヘツドの使用
は、各ステーシヨンにおいてデポジツシヨンを行い得る
連続ステーシヨンを提供する。
以下に説明する処理に付随し旦つケミカル・ベーパー
・デポジツシヨン(以下CVDともいう)法に関する化学
的組成及び操作の要因は、S.Wolf及びR.N.Tauber著、
「Silicon Processing For VISI Era第1巻−プロセス
テクノロジー−」、ラテイスプレス、サンセツトビー
チ、カリフオルニア州、1987年、に詳細に説明されてい
る。特に第5章及び第6章に注目すべきである。更に関
連した情報がM R S Bulletin、1988年11月に、T.M.Besm
ann、D.P.Stinton及びR.A.Lowdenによる「ケミカル・ベ
ーパー・デポジツシヨンテクノノジー」と題された論文
に発表されている。
本発明においては、複数のガス分散ヘツドが、下側の
加熱されたウエーハを支持するサセプターと垂直方向に
揃えられ旦つサセプターと平行に設置されている。ガス
分散ヘツド形状の組み合わせ、ガス分散ヘツドとサセプ
ターとの間の平行性及び下流の流れの制御は、ウエーハ
に沿つた均一な半径方向外向きのガスの流れを形成す
る。高周波エネルギー源がガス分散ヘツドと電気的に接
続され、電気的プラズマをガス分散ヘツドとそれぞれの
サセプターとの中間に集中させ、これによつてチヤンバ
ー内の残留デポジツトを最少にする。スパイダーがウエ
ーハをサセプターからサセプターへと次々に輸送し、装
填物固定機構と組み合わされたウエーハ取り扱い装置
が、処理済みの各ウエーハを未処理ウエーハと置き換え
る。
従つて、マルチステーシヨン方式の単一ウエーハ用ケ
ミカル・ベーパー・デポジツシヨン処理装置を提供する
ことが本発明の第1の目的である。
本発明の別の目的は、PECVD、低圧ケミカル・ベーパ
ー・デポジツシヨン(LPCVD)又は大気圧ケミカル・ベ
ーパー・デポジツシヨンに使用し得るリアクター内にお
いて、ウエーハを横切る反応ガスの均一な半径方向の流
れを提供することである。
本発明のなお別の目的は、残留デポジツトを最少とす
る反応ガスの流れの制御をすることである。
本発明の更に別の目的は、単一ウエーハ用PECVDリア
クターを提供することである。
本発明の更に別の目的は、サセプターに支持されたウ
エーハの周りに高周波エネルギーを対称的に分配させる
装置を提供することである。
本発明の更に別の目的は、支持用サセプターによるウ
エーハへの急速な伝導加熱を提供することである。
本発明の更に別の目的は、各サセプターを設定するた
めの、そして又接近するとき以外は閉ざされているリア
クターの接近用開口を通じてリアクター内で各ガス分散
ヘツドをそれに関連したサセプターに対して手動で整列
させるための、調整機構を提供することである。
本発明の更に別の目的は、CVD処理中にウエーハを横
切る反応ガスの流れを制御する方法を提供することであ
る。
本発明の更に別の目的は、マルチステーシヨン方式の
CVD処理装置の生産量を増加させる方法を提供すること
である。
本発明の更に別の目的は、マルチステーシヨン方式の
CVD処理装置の収率を増加させる方法を提供することで
ある。
本発明のこれらの目的及びその他の目的は、本発明の
説明の進行と共に当業者に明らかとなるであろう。
本発明を、特に添付図面を参照して、以下に詳細に説
明する。
第1図に示された説明図には、本発明により構成され
たリアクター10が示されている。ある種の情況下では、
図示のように一作業ステーシヨンで一対のリアクターを
使用することができる。リアクターのポート12は、リア
クターに出し入れするウエーハの輸送を提供する。ポー
トは、ウエーハ取り扱い機構16を含む装填物固定装置14
と連通している。2つのポート(18,20)が図示されて
いる1つ又は複数の出入口ポートは、処理すべきウエー
ハ又は処理済みウエーハを収容しているカセツト22を受
け入れる。操作において、ウエーハ取り扱い機構は、各
ウエーハをそれぞれの出入口ポートからポート12を経て
リアクター10内に輸送する。ウエーハの処理が完了する
と、ウエーハ取り扱い機構は処理済みウエーハをポート
12を経てリアクターから取り出し、これをそれぞれの出
入口ポート内に位置するそれぞれのカセツト内に置く。
リアクター10は、処理すべきウエーハのそれぞれ1つ
ずつを支持するために、円周上に等角度に配されたサセ
プター30を備えている。しばしば「シヤワーヘツド」と
呼ばれるガス分散ヘツド32が、各サセプターの垂直方向
上方に各サセプターと整列して置かれる。各シヤワーヘ
ツドは放射状に延びている中空のアーム34の先端に支持
されている。各アームは、反応ガス分配用マニフオール
ドによつて支持され、かつ該マニフオールドと連通して
いる。マニフオールドは反応ガス又はその他のガス源に
連結されている。中央に位置する円盤36は細い支柱の対
を多数備え、各支柱の対は或るサセプターから隣接した
サセプターへの総てのウエーハの引き続く輸送中にウエ
ーハを支持する。リアクターのカバー38は複数の取り外
し可能なハツチ40を備え、各サセプター/シヤワーヘツ
ドの組み合わせへの接近を許容し、旦つリアクターの閉
鎖後における整列及びその他の調整を許容する。製造に
対する要求及びその他の基準に依存するが、単一のウエ
ーハエツチングモジユール24を図示のように組み込むこ
とができる。
リアクター10の特別な特徴を、第2図を参照して説明
する。アーム34の各々と相互連結しているマニフオール
ド50は、リアクターのカバー38から上方に延びている導
管52と連通している。導管は、公知のように、弁を経て
反応ガス及びその他のガス源に連結されている。マニフ
オールド50は、ガスの流れを予め設定されたパラメータ
ーに従つてアーム34の各々に予め定められた流量で分配
する。アーム34の先端に位置する接続用ブロツク54は、
中空のベローズ56を介してシヤワーヘツド32を支持する
と同時に、アームとシヤワーヘツドの内部との間の連通
を形成する。サセプター30は垂下した軸58を備えてい
る。サセプター及びその軸は、その形状のため、「マツ
シユルーム」と呼ばれることがある。軸はこれと同心に
板62に形成された孔60を通つて延びている。軸は、リア
クター10の底板64に配置された通路を通つて更に下方に
延びている。軸は気密にされてはいるが通路内に固定さ
れて配置されてはいない。或る条件の下では、高周波の
干渉を低減させる目的で、サプレツサー66をフランジ68
とボルト70により底板64に固定し、軸58の垂下した端部
を受け入れるようにすることができる。板62はリアクタ
ー10を横切つて延び肩部76上に支持されている。この板
は多数のボルト78によつて肩部上に固定され、板62と肩
部76との間に気密を形成する手段を使用することができ
る。板62と底板64との間に形成された排出室78は、底板
に形成された出口80と連通している。下向きの垂下した
導管82が保持手段84によつて出口80の周りに固定されて
いる。導管82と連通している排出管組立体86は、リアク
ター10からの排出反応ガス及びその他のガスを輸送す
る。
スパイダー組立体100は、軸102上に支持された円盤36
を備えている。軸は導管82と概ね同心に配置されてい
る。導管82の下端に配置し得る位置決め手段104は軸102
を垂直方向に位置変更させ、スパイダー100を昇降さ
せ、更に、位置決め手段104は命令に応じて軸を増分的
に回転させ、スパイダー100の垂直軸の周りで角度的な
位置変更を行う。スパイダーは、各サセプターと協働す
る一対の平行な支柱106、108を備えている。スパイダー
100の下降位置においては、支柱のこれらの対は、各関
連するサセプターの上面に形成された対応した形状の溝
の中に置かれる。
高周波エネルギー源を形成するために、高周波発生器
120をカバー38の上に取り付けることができる。あるい
は、装置120を複数周波数操作のための複数の高周波パ
ワー入力を有する高周波整合回路網とすることができ
る。高周波エネルギーは、電気的に絶縁された導体122
を経てマニフオールド50に伝達される。マニフオールド
50とカバー38を連結し旦つガス流を通過し得るセラミツ
ク製カラー124が、マニフオールドをカバーから電気的
に絶縁する。アーム34、連結用ブロツク45、ベローズ56
及びシヤワーヘツド32の各々は導電性材料製であり、こ
のため各シヤワーヘツドは電極として作用する。各62及
び底板64の両者に接地されているサセプター30の電位は
地電位である(板62は以下に説明するように調整可能な
支持体を経て接地される)。シヤワーヘツド32とサセプ
ター30との間の距離を変えることにより、これらの間の
放射エネルギープラズマの強度を調整することができ
る。このような調整により、シヤワーヘツドとサセプタ
ーとの間の放射エネルギーの分布を制御することができ
る。シヤワーヘツドとサセプターとの間の電気的プラズ
マ以外のリアクター内の放射エネルギーの強さを最小と
することができる。よく知られているように、高周波エ
ネルギーのパワーレベルもまた変えることができる。周
囲の放射エネルギーのこのような減少により、リアクタ
ー内の残留デポジツトは相当に少なくなる。
或る条件の下では、サセプター30を電気的に絶縁しこ
れを地電位より上のレベルに維持することが好ましいで
あろう。このような場合には、リアクター10の外部の寄
生放射を無くすために、サプレツサー66を使用すること
ができる。
サセプターに支持されたウエーハ上の反応ガスの半径
方向の流れを均一にするには、サセプター30に対するシ
ヤワーヘツド32の位置決めと整列が重要である。更に、
サセプターとシヤワーヘツドの間の整列は電気的プラズ
マの分布に対して上述の効果を有するであろう。一方、
流れとプラズマの両者はウエーハ処理工程の品質にかな
りの影響がある。これら目的のために整列と調整とを許
容する目的で、ハツチ40が固定手段128によつてカバー3
8に取り外し可能に取り付けられている。各ハツチはカ
バー38の開口130上に重ねられ、この開口は下方のシヤ
ワーヘツドとサセプターとの組み合わせの垂直軸に概ね
一致している。この開口を経て種々の要素の整列及び較
正のための調整を行うことができる。
シヤワーヘツド及びサセプターについて更に詳細を、
特に第3図を参照して説明する。連結用ブロック54は横
方向に延びているフランジ140を備え、このフランジは
その周辺近くに等しい角度間隔を配置された3つのねじ
山付き孔142を有する。各ねじ山付き孔142に調整用ねじ
144がねじ込まれ、シヤワーヘツド32の上面146と圧縮接
触状態にある。ベローズ56は、シヤワーヘツドを連結用
ブロツクの方に上向きに引つ張るコイルばね148を備え
ている。ベローズ56から反対方向に延びているスリーブ
端150,152は、取り付け板154,156にそれぞれ取り付けら
れている。取り付け板154はフランジ140に固定され、こ
れらの間の漏洩を防止するためにOリング158を使用す
ることができる。取り付け板156はシヤワーヘツド32に
固定され、これらの間の漏洩防止にOリング160を使用
することができる。
シヤワーヘツド32には円柱状の空洞166が設けられ、
この空洞は円錐状の上端を含むことができ、矢印170で
示されたように、ベローズ56から入る反応ガスの流れが
半径方向に分散することを補助する。多数の開口174を
有する拡散板172が空洞166の下端を横切つて延びてい
る。拡散板はリング176によつて所定の位置に固定する
ことができる。空洞166内への反応ガスの流れは、矢印1
82で示されるように、開口174を通りウエーハ180に向か
つて下向きに、そして更にウエーハに沿つて半径方向外
向きに分散される。
サセプター30は、比較的厚いベース186と円筒状の垂
下した側壁188とを有する逆さにされた缶状構造を有
し、アルミニウム又はその他の熱伝導性の金属製である
ことが好ましい。電熱式の加熱リング190がベース186の
下側に隣接して位置付けられている。加熱リングは、ボ
ルト194を介してベースに固定された保持リング192によ
つて所定の位置に保持されている。導電体196が加熱リ
ング190から軸58を通つて電源に延び、加熱リングに電
力を供給する。底部カバー板198が側壁188内に保持さ
れ、半径方向のフランジ200に押し付けられている。軸5
8は、底板198内に配置された中央開口202内で溶接され
あるいは中央開口202内を貫通してその他の手段により
固定される。断熱構成体204が底板198とベース186の中
間の残りの空間内に配置させられ、底板及び垂下した側
壁への熱伝達を減少させる。ベース186の空洞208内に熱
電対206が配置され、軸58を通つて延びている導電体209
を介して温度に関連する情報を提供する。3本のねじ柱
210が板62から上向きに延び、サセプター30を支持しそ
してサセプターを板に固定する。調整/ロツクナツト21
2のような調整/固定手段が各柱と協働して、サセプタ
ーの水平方向の整列と垂直方向の位置決めとを可能にす
る。この柱はまた、板62にしつかりと取付けられたサセ
プターを保持することを理解すべきである。板62に形成
された開口60は軸58と同心であり、更に、部分的に又は
完全に使用済みとなつた反応ガスの、矢印216で示され
たような、板62と底板64とで形成された排出室78内への
流れのための環状流路を形成するように開口の寸法が定
められている。
処理作業中、リアクター10の内部は真空に近い低圧で
ある。即ち、圧力は0.5乃至5torrの範囲でよいが典型的
には1.5乃至2torrの圧力である。リアクター内部とリア
クター周囲の通常の大気との間の圧力差のため、板64は
曲がるであろう。サセプター30は底板64に固定されてい
るので、処理作業中の底板の曲がりはサセプターの角度
的な整列と垂直方向の位置とを変えるであろう。このよ
うな角度整列及び垂直方向位置の変化は、その大きさが
僅かであつても、処理中のウエーハ上のデポジツシヨン
の均一性に有害な影響を与える。期待に適合するように
サセプターを正確に整列させ又は調整することは、底板
64の曲がりが測定されたとしても、殆ど不可能な作業で
あり、行いたい程度の整列をリアクター10内で達成する
ことはまず不可能である。この問題を沿けるため、上述
のように、各サセプターは板62上に支持されている。こ
の板の頂面及び底面上には実質的に等しい圧力が作用す
る。従つて、この板は、リアクターの操業前及び操業中
において、強固で旦つ平面の状態に留まるであろう。そ
れ故、支持されたサセプターの整列は、リアクター内の
圧力変化に応じて若しくは圧力変化の結果としては変化
することが無いであろう。サセプター30の整列に影響す
ることなく底板64が曲がることを可能にするために、貫
通した軸58を受け入れる底板を通つて延びている通路22
0は、その直径が軸よりも十分に大きく、通路220の壁面
と軸とが接触することなく底板が曲がることを許容す
る。通路を気密にするために、底板64の下面に隣接して
軸の周りにOリング222が配置されている。Oリングは
カラー226によつて所定の位置に保持されている。この
形式のシールは、リアクター10の内部をその作動圧力に
維持するのに効果的であり、しかもねじ支柱210及び調
整/固定手段212の操作に応じた軸58の垂直方向の動き
及び角度の再調整を可能とするであろう。
リアクター10は、カバー38と底板64とを連結している
円筒状の側壁230を備えている。この円筒状側壁と底板
との間に、Oリング232又は類似の気密手段を配置する
ことが必要である。円筒状側壁とカバーとの間を気密に
するために、これらの間に別のOリング234又はその他
の気密用手段が配置されている。石英又はその他の同等
の材料で作られた透明窓238を有する1つ又は複数の観
察窓を円筒状側壁230に設けることができる。この観察
窓はシヤワーヘツド及びサセプターの整列及び調整の目
的に使用でき、また、その場観察又は診断の目的でウエ
ーハ180上におけるデポジシヨン経過の観察に使用する
ことができる。
カバー38は、取り扱い及び支持のために、逆U字形の
ブラケツト240を備え得る。支持囲い242がカバー38の中
央に取り付けられ、そこから上向きに延び、導管52を支
持しそして導管52のための支持体を形成する。また、支
持囲いは、セラミック円筒124と導管52の中間の連通を
形成するすることができる。Oリング244のような環状
の気密手段が支持囲いとカバーとの間に配置され、それ
らの間での漏洩を防ぐ。
スパイダー100の構造と動作を、特に第4図及び第5
図を参照して説明する。垂直方向に位置決め可能であり
旦つ増分的に回転可能な軸102(第2図参照)上に取り
付けられたスパイダー100は、支柱106、108の対を支持
するために、単一の円盤、又は一対の平行に間隔をあけ
られた円盤を備えている。これらの支柱は機械ねじ110
のような位置決め手段によつて固定され、支柱は、円盤
100の直径方向の直線の両側に平行に旦つ等間隔に置か
れその状態を維持する。各サセプター30のベース186
は、上面254に配置された一対の溝250,252を備える。こ
れらの溝は支柱106,108のそれぞれの対と整列状態にあ
る。更に、溝は支柱の幅よりも幾らか広くされ、円盤36
の角度位置決めに多少の許容差を与える。溝は支柱の高
さよりも幾分か深く、スパイダー100が下降位置にある
とき、ウエーハ180がサセプターの上面254上で平らに置
かれることを可能にする。
処理作業において、ベーパー・デポジツシヨンの各段
階又は各サイクルの後に、スパイダー100は持ち上げら
れ、支柱106、108の対の同じ量の垂直方向の位置変化を
生じさせる。上昇した支柱の各対は、上に置かれたウエ
ーハを持ち上げるであろう。誤つてウエーハが半径方向
外向きに傾くことを防ぐために、適切な平衡した持ち上
げ力を加えるように、支柱の対は各ウエーハの下で十分
な距離延びていなければならない。位置決め手段104
(第2図参照)の別の作動によつて、スパイダー100は
垂直軸の回りを増分的に角度回転し、隣接したサセプタ
ーに対して支柱の各対を上方位置に位置付ける。位置決
め手段104の更なる作動によりスパイダーが下げられ、
隣接したサセプターの溝250,252内への支柱の対の配置
が行なわれるであろう。こうして、各ウエーハは次の隣
接したサセプターに再び位置付けられるであろう。周期
的手順に従い、ウエーハ取り扱い機構16(第1図参照)
はポート12を通つてリアクター10に入り、ポートと半径
方向に揃つた位置にあるサセプターから処理済みウエー
ハを引き出す。このような引き出しの後に、ウエーハ取
り扱い機構は、ポート12の直近にまだある同じサセプタ
ーの上に処理すべきウエーハを装填する。従つて、リア
クター10の作動の各段階又は各サイクルの後に、処理済
みウエーハは引き出される。この引き出し及び装填の能
力は従来のいかなる関連したリアクターでも得られなか
つた比較的大きな生産性を提供する。特に、中央装填機
構(第1図参照)の付加的なポートは、多数の平行な又
は連続したリアクターの使用を可能とする。最初の装填
の場合は、各サセプター上にウエーハを次々に置くこと
が必要であり、処理のサイクルは各ウエーハ装填の間に
行うことができる。
第6図及び第7図を参照して、整列能力及び流路制御
に伴う詳細を説明する。簡素化のため、前の図面に示さ
れた幾つかの細部は省略されている。反応ガス源又はそ
の他の種々のガス源と連通している導管52が、ガスをマ
ニフオールド50に輸送する。マニフオールド50は、等角
度間隔で延びている中空のアーム34の各々の中に、ガス
を均等に分配する。ガスは各アームよりシヤワーヘツド
32の各々の空洞66に輸送される。各拡散板172は多数の
開口174を備えている。下に置かれたウエーハ180を横切
るガスの流れをうまく制御することを助けるために、こ
れら開口の数、配置及び寸法を個別に又は同時に変更す
ることができる。側壁230、カバー38及び板62によつて
規定された空間内からの可能性ある流出は、各軸58の回
りの流路を経由する場合だけである。従つて、シヤワー
ヘツド32からのガスの流れは、ウエーハ180への下向き
の流れとサセプター30の外周縁部へのウエーハに沿つた
半径方向外向きの流れとなるであろう。流れは、側壁18
8に隣接して下向きに流れ、更に底部カバー板198の下側
を内向きに流れるであろう。流れは、サセプターの下方
から流路60を通り軸58の周囲を下降する。この流れのパ
ターンを矢印260、262及び264で示す。ガスは、板62と
底板64との間の空間に入ると、半径方向内向きに流れ導
管82を経て排出管組立体86に入る。この流れを矢印26
6、268、270、272及び274で示す。この説明から、ガス
流の流路の実質的な制御が達成されることが明らかであ
ろう。更に、流れの流路を制御するパラメーターは、反
応チヤンバー内の偶発的あるいは見せかけの流れを強力
に阻止する。これによつて残留デポシツトが最少にされ
るであろう。
上述のサセプター30内の断熱のために、底部カバー板
198はウエーハ支持面254よりもかなり温度が低く、サセ
プターの下側上の残留デポシツトの可能性が減少するこ
とを指摘しておく。更に、側壁188は頂部から底部への
温度勾配が大きく、側壁の下方部分での残留デポシツト
が減少させられるであろう。更に、電気的プラズマはサ
セプターの下側及びサセプターの側壁の付近でかなり少
なく、これは残留デポシツトの可能性を更に低下させる
であろう。
前述のように、サセプター30を基準点として位置決め
し更にこれとシヤワーヘツド32とを整列させるために、
第6図に示すように整列手段が備えられている。第6図
及び第8図を併せて参照して、この整列の手順を更に詳
細に説明する。第8図の2つの断面を重ね合わせた図面
に示すように、ハツチ40の各々はシヤワーヘツド/サセ
プターの対と揃えられる。固定手段128を外してハツチ
を取り除くと、調整/固定手段212に付属のサセプター
支柱210への手による接近が可能となる。隙間ゲージ、
位置決め用ジグ及び類似物の使用により、サセプターを
板62に対して正しく合わせることができ、そして調整/
固定手段212によつて所定の位置に固定できる。基準点
としてサセプターが位置付けられると、シヤワーヘツド
は、拡散板172の下面がサセプターの面254と平行に位置
付けられるように整列させられる。このような整列は、
隙間ゲージ、位置決め用ジグ又は類似物の使用と組み合
わせて、3つの調整用ねじ144の1つ又はそれ以上を回
すことにより行なわれる。整列が得られると、調整用ね
じはロツクナツト143により固定される。
上述のように、底板は、反応チヤンバー内と周囲の大
気との間の圧力差の結果として、曲がるであろう。同様
に、カバー38も曲がるであろう。カバー38のこのような
曲がりは、アーム34がそこから延びているマニフオール
ド50の垂直方向の変位を生ずるであろう。各アームの垂
直方向の変位は、各シヤワーヘツドの同じ大きさで旦つ
均一な垂直方向の変位を与えるであろう。しかしなが
ら、カバー38の曲がりはマニフオールド50の垂直軸の角
度変化を生じさせないので、シヤワーヘツドとそれと対
になつたサセプターとの間の整列は一定に留まるであろ
う。実験及び/又は計算により、リアクター10の内部と
周囲の圧力との間の圧力差に応じて生ずるカバー38の曲
がりの大きさを決定することができる。この情報によ
り、カバー38が曲がつたときにシヤワーヘツドがこれと
対のサセプターに対して好ましい垂直方向の位置になる
ように、各シヤワーヘツドの最初の垂直方向の位置を設
定することができる。
第9図を参照すれば、高周波発生器120とマニフオー
ルド50との間の電気的接続がより詳細に示されている。
高周波発生器は、カバー38の開口282を貫通して延びて
いる絶縁された導電体280を備えている。導電体と開口
との間のガスの流れを防止するために、気密手段284を
使用することが必要である。可撓性のリボン状導電体28
6の一方の端部は、導電体280の端末288に電気的に接続
されている。リボン状導電体の他方の端部は、マニフオ
ールド50の下側から延びているポスト290に電気的に接
続されている。マニフオールド、アーム34、ベローズ56
及びシヤワーヘツド32は導電性であるので、これらは一
体として電極を形成する。マニフオールド50を導管52に
連結しているセラミツク円筒体124は、導管及びカバー3
8からマニフオールドを電気的に絶縁している。リアク
ター容器は地電位にあるので、これは第2の電極として
作用する。リアクターの容器と機械的及び電気的に連結
されているサセプター30は地電位にあるであろう。リア
クターの運転中、サセプターとシヤワーヘツドとの間隔
は比較的狭いので、シヤワーヘツドとサセプターとの間
には相当な電気的勾配及び電気的プラズマが存在するで
あろう。この電気的プラズマはデポジツシヨンの早さと
均一性とを強化するであろう。更に、サセプターの面25
4のシヤワーヘツドの底との寸法が同じであるので、こ
れらの間の高周波分布が最適化され、反応ガスの励起の
均一性が強められる。リアクター10内のその他の部分の
高周波エネルギーは強度がかなり小さく、残留デポシツ
トは最少となるであろう。
まとめると、説明された高生産性の単一ウエーハ方式
の多段形処理装置の構成は、デポジツシヨンの高度の均
一性を達成するために、反応ガス又はその他のガスの流
れの傑出した制御を提供する。電極としてのシヤワーヘ
ツドとサセプターとの物理的に適合した対の使用によ
り、高周波エネルギーの対称的な分布が得られる。ウエ
ーハの下流のガス流の制御は、ウエーハを横切る均一な
外向きの流れを助長する。各サセプターが自己のヒータ
ーを有するため、特別の処理の処方のためのサセプター
間の温度の変更が容易に達成される。サセプターとシヤ
ワーヘツドの両者に利用し得る調整の特徴は、迅速な設
定時間及び実行すべき処理又は従うべき処方に対応した
調整を確実なものとする。達成された流れの制御の結果
として生ずる限定された残留デポシツトのために、エツ
チングによる自己清浄化が利用できる。
流れのパターンの均一性及び温度分布の均一性は、低
圧ケミカル・ベーパー・デポジツシヨン法にとつて重要
である。利用し得る流れの制御とサセプターのベースに
おける伝導を介しての温度分布とは、上述のリアクター
による低圧ケミカル・ベーパー・デポジツシヨン(LPCV
D)を有効とするのに十分である。即ち、プラズマ強化
はリアクター10の運転について限定されるものではな
く、リアクターはLPCVD処理装置として使用することが
できる。
本発明の原理を例示の実施例において明らかに示した
が、本発明の原理から離れることなく特別の要求及び運
転用件に応じて、本発明のリアクターに使用される構
造、配置、寸法割合、要素、材質及び構成品の多くを変
更し得ることが当業者に明らかであろう。
本発明の主たる特徴及び実施態様は以下のとおりであ
る。
1.少なくも1つのウエーハを処理する少なくも1つのス
テーシヨンを有するケミカル・ベーパー・デポジツシヨ
ン用リアクターであつて、 (a)単一ウエーハを支持する少なくとも1つのサセ
プターと、 ここで、該サセプターの数はリアクター内のス
テーシヨンの数に相当し、 (b)該サセプターの各々と協働して、協働したサセ
プターに支持されたウエーハの上にガスを分散させるガ
ス分散ヘツドと、 (c)ウエーハの外周縁部に向かつて支持されたウエ
ーハを横切つて半径方向外向きにガスの流れを強制する
手段、 とを備えてなるリアクター。
2.前記サセプターの各々に配置され、サセプターの上に
支持されたウエーハを加熱する手段を備えている上記第
1項に記載のリアクター。
3.支持されたウエーハを横切るガスの流れを高周波エネ
ルギーで照射する手段を備えている上記第1項又は第2
項に記載のリアクター。
4.前記リアクターは、 板と、 該板と前記サセプターの各々との中間に置かれ、該板
との間に排出室を規定する別の板と、 該排出室からガスを引き出すための排出管組立体と、 該別の板に配置され、ガスの流れを支持されたウエー
ハから前記サセプターの各々の近傍の位置において前記
別の板を通過して前記排出室内に流すための流路手段、 とを備えている上記第1項乃至第3項のいずれかに記載
のリアクター。
5.前記サセプターの各々は垂直回転軸を有する平面状の
円形であり、該サセプターの各々は前記別の板に貫通し
て係合する下方に延びており軸方向に整列された軸を備
え、前記流路手段は該軸の周りに配置された環状の流路
を備えている上記第4項に記載のリアクター。
6.前記板は、 前記軸の貫通に適合し、旦つ前記軸の対応した再位置
決めをすることなく前記板の曲がりに適合するための開
口と、 前記軸と前記板との間の接合部を気密にするためのシ
ール手段、 とを有する上記第5項に記載のリアクター。
7.前記サセプターの各々は、前記加熱手段から延びてい
る導電体を収容する中空軸を有する上記第2項と組み合
わせられた上記第3項乃至第6項に記載のリアクター。
8.前記サセプターの各々に配置された温度検知手段、及
び前記軸の孔を通つて検知された温度を伝達する手段を
備えている上記第7項に記載のリアクター。
9.前記リアクターは、 カバーと、 底板と、 該カバーと該底板とを連結している側壁と、 該底板との間で排出室を規定するように前記底板から
離された別の板と、 該別の板の上に前記サセプターの各々を支持する手段
と、 前記ガス分散ヘツドの各々を前記カバーから吊す手
段、 とを備えている上記第1乃至第8項のいずれか1項に記
載のリアクター。
10.前記別の板の上で前記サセプターの各々の位置調整
をするための第1の手段と、 前記ガス分散ヘツドの各々をこれと協働するサセプタ
ーの各々に対して位置調整をするための第2の手段と、 該第1及び第2の調整手段の手動操作に適合する接近
用手段、 とを備えた上記第9項に記載のリアクター。
11.前記接近用手段は、前記カバーに設けられた少なく
とも1つの開口と、該開口の各々を開放可能に覆いそし
て気密にするための脱着可能なハツチとから成り、該開
口の各々は前記サセプターの少なくも1つ及びこれと協
働する前記ガス分散ヘツドと協働する上記第10項に記載
のリアクター。
12.前記吊す手段は、前記カバーから垂下した半径方向
に延びている複数のアームから成り、該アームの数は前
記サセプターの数と等しく、該アームの各々は前記ガス
分散ヘツドの1つを支持する手段を備え、該支持手段は
前記第2の調整手段を含む上記第10項又は第11項に記載
のリアクター。
13.前記アームの各々が、ガスをガス源から前記ガス分
散ヘツドのそれぞれの1つに輸送する手段を備えている
上記第12項に記載のリアクター。
14.高周波エネルギー源及び該エネルギー源からの高周
波エネルギーを各ウエーハに照射する手段を備えている
上記第13項に記載のリアクター。
15.前記ガス分散ヘツドの各々は前記高周波源に電気的
に接続された1つの電極を備え、前記サセプターの各々
は該高周波源に電気的に接続された別の電極を備えてい
る上記第14項に記載のリアクター。
16.リアクター内に円形に配置された複数のサセプター
を備え、該サセプターの各々は単一ウエーハを支持可能
であり、更に複数のガス分散ヘツドを備え、該ガス分散
ヘツドの各々は該複数のサセプターの各々の1つのサセ
プターと協働する上記第1項乃至第15項のいずれか1項
に記載のリアクター。
17.前記ガス分散ヘツドの各々を前記多数のサセプター
のうちの関係したサセプターの上方の共通部位から吊す
手段を備えている上記第16項に記載のリアクター。
18.高周波エネルギー源を備え、前記複数のガス分散ヘ
ツドは前記複数のサセプターのそれぞれ1つのウエーハ
を横切つて流れるガスを照射するために該高周波源に電
気的に接続された電極を備えている上記第17項に記載の
リアクター。
19.前記複数のサセプターの各サセプターは下側を有
し、リアクターは、排出室、及びガスを各ウエーハの周
囲から前記複数のサセプターの支持されているサセプタ
ーの下側に引き出し更に該排出室内に引き出す手段を備
えている上記第16項乃至第18項のいずれか1項に記載の
リアクター。
20.実行すべきケミカル・ベーパー・デポジツシヨンの
サイクルの完了時に、ウエーハの各々を前記複数のサセ
プターの1つから隣接したサセプターに輸送するスパイ
ダーを備えている上記第16項乃至第19項のいずれか1項
に記載のリアクター。
21.前記スパイダーは輸送中のウエーハを支持するため
支柱の複数の対を備え、該支柱の対の各々は前記複数の
サセプターのうちの1つのサセプターと協働する上記第
20項に記載のリアクター。
22.前記スパイダーは、ウエーハを昇降させるように垂
直方向に再位置決めされ、旦つ前記支柱の対が前記複数
のサセプターと次々に整列するように角度的に再位置決
め可能である上記第21項に記載のリアクター。
23.前記複数のサセプターの各サセプターは前記複数の
支柱の対のうち一対を受け入れる一対の溝を備えている
上記第22項に記載のリアクター。
24.ステーシヨンの数に等しい数のサセプターを有する
マルチステーシヨン方式のケミカル・ベーパー・デポジ
ツシヨン用リアクターで複数の単一ウエーハを処理する
方法であつて、 (a)1つのサセプター上に1つのウエーハを支持
し、 (b)ウエーハを支持しているサセプターと協働する
ガス分散ヘツドから支持されたウエーハ上にガスを分散
させ、 (c)支持された各ウエーハを横切るガスの半径方向
外向きの流れをウエーハの外周縁部へと強制する、 各段階から成る方法。
25.サセプターによつて発生させられた熱でウエーハを
加熱する段階を含む上記第24項に記載の方法。
26.高周波エネルギーでウエーハを照射する段階を含む
上記第24項又は第25項に記載の方法。
27.ガス分散ヘツドからサセプターに高周波エネルギー
を放射する段階を含む上記第26項に記載の方法。
28.ガスをウエーハの周囲からサセプターの側部に沿い
更にサセプターと整列した中央開口を有する排出室内に
引き出す段階を含む上記第24項乃至第27項のいずれか1
項に記載の方法。
29.サセプターを調整し整列させる第1段階と、 ガス分散ヘツドをこれと協働するサセプターに対して
調整し整列させる第2段階と、 リアクターの開閉可能な開口を通じてリアクターの組
み立て後に前記第1及び第2の調整及び整列段階を行う
段階を備えている上記第24項乃至第28項のいずれか1項
に記載の方法。
30.各々がガス分散ヘツドと協働する複数のサセプター
がリアクター内に円形に配置され、ウエーハを或るサセ
プターから隣接したサセプターに順々に再び位置付ける
段階を含む上記第24項乃至第29項のいずれか1項に記載
の方法。
31.リアクター内に未処理ウエーハを装填する段階を含
む上記第30項に記載の方法。
32.リアクターから処理済みウエーハを引き出す段階を
含む上記第30項又は第31項に記載の方法。
33.前記再び位置付ける段階は、各処理サイクルの完了
時に行なわれる上記第29項乃至第32項のいずれか1項に
記載の方法。
34.処理サイクリの開始前にウエーハをリアクター内に
装填する段階を含む上記第24項乃至第33項のいずれか1
項に記載の方法。
35.各ガス分散ヘツドから協働するサセプター上に支持
されたウエーハを横切つて流れるガスに照射するため
に、ガス分散ヘツドの各々から高周波エネルギーを照射
する段階を含む上記第24項乃至第34項のいずれか1項に
記載の方法。
36.各サセプターを調整し整列させる第1の段階と、 ガス分散ヘツドをそれと協働するサセプターに対して調
整し整列させる第2の段階と、 リアクターにおける少なくとも1つの開閉可能な開口を
通じてリアクターの組み立て後に前記第1及び第2の調
整及び整列段階を行う段階を含む上記第35項に記載の方
法。
【図面の簡単な説明】
第1図は、多くの単一ウエーハを処理するためのマルチ
ステーシヨンマルチ処理方式のケミカル・ベーパー・デ
ポジツシヨンシステムの主要構成部品の絵画的説明図。 第2図は、ケミカル・ベーパー・デポジツシヨン用リア
クターの部分的断面図。 第3図は、リアクター内の1つのステーシヨンの構成品
の断面図。 第4図は、ウエーハ輸送用スパイダーの頂面図。 第5図は、第4図に示された線5−5に沿つて得られた
部分的断面図。 第6図はリアクターの部分的断面図。 第7図は、第6図に示された線6−6に沿つて得られた
図面。 第8図はリアクターの部分的頂面図。 第9図は、高周波発生器及びそれと協働する導体を示す
部分的断面図。 図中、10……リアクター、14……装填物固定装置、30…
…サセプター、32……ガス分散ヘツド、34……アーム、
36……円盤、38……カバー、40……ハツチ、50……マニ
フオールド、54……接続用ブロツク、56……ベローズ、
58……軸、62……板、64……底板、66,166……空洞、78
……排出室、86……排出管組立体、100……スパイダー
組立体、104……位置決め手段、106,108……支柱、120
……高周波発生器、144……調整用ねじ、180……ウエー
ハ、190……加熱リング、210……ねじ柱、212……調整
/固定手段、である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ラビンデル・ケイ・アガルワル アメリカ合衆国アリゾナ州85202メサ・ ウエストポサダアベニユー 524 (72)発明者 ジヨン・エイチ・カーテイン アメリカ合衆国アリゾナ州85023フエニ ツクス・ウエストマルコニアベニユー 2910 (72)発明者 ポール・ビー・ブラウン アメリカ合衆国アリゾナ州85282テン ペ・アパートメント2050・マクリントツ ク 3501 (72)発明者 ジヨー・アール・スミス アメリカ合衆国アリゾナ州85284テン ペ・サウスカチナドライブ 9107 (56)参考文献 特開 昭60−233827(JP,A) 特開 平1−318235(JP,A) 特開 平3−173419(JP,A) 実開 昭61−158946(JP,U) 実開 昭61−192443(JP,U) 実開 昭59−6837(JP,U) (58)調査した分野(Int.Cl.7,DB名) H01L 21/205 C23C 16/00 C30B 25/00

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】各々が少なくも1つのウエーハを処理する
    複数のステーシヨンを有するケミカル・ベーパー・デポ
    ジツシヨン用リアクターであつて、 (a)各々が単一ウエーハを支持する、リアクター内の
    ステーシヨンの数に相当する数の複数のサセプターと、 (b)各々が該サセプターの各々と協働して、協働した
    サセプターに支持されたウエーハの上にガスを分散させ
    る複数のガス分散ヘツドと、 (c)各々が支持されたウエーハの中央からウエーハの
    外周縁部に向かつてウエーハを横切つて半径方向外向き
    にガスの流れを強制する複数の手段と、 (d)上記半径方向外向きのガスの流れをウエーハの周
    縁部の各々から排出する複数の排出手段と、 (e)源から該ガス分散ヘツドの各々にガスの流れを送
    るマニホルド手段と、 (f)該排出手段の各々からガスの流れを受取る排気チ
    ヤンパ手段と を具備することを特徴とするリアクター。
  2. 【請求項2】ステーシヨンの数に等しい数のサセプター
    を有するマルチステーシヨン方式のケミカル・ベーパー
    ・デポジツシヨン用リアクターで複数のウエーハを処理
    する方法であつて、 (a)1つのサセプター上にウエーハの各々を支持し、 (b)ウエハーを支持するサセプターと協働する所定数
    のガス分散のためのガス分散ヘツドから、支持されてい
    るウエハーの各々の上にガスを分散させ、 (c)支持されたウエーハの各々の中央からウエーハの
    各々の外周縁部に向かつてウエーハを横切るように、半
    径方向外向きにガスの流れを強制し、 (d)上記半径方向外向きのガスの流れをウエーハの各
    々の周縁部から排出し、 (e)該分散ヘツドの各々の源からガスを供給し、 (f)該ウエハーの排出の各々からガスを収集する ことを含むことを特徴とする方法。
JP12933590A 1989-05-22 1990-05-21 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置 Expired - Fee Related JP3178824B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US354922 1989-05-22
US07/354,922 US4987856A (en) 1989-05-22 1989-05-22 High throughput multi station processor for multiple single wafers

Publications (2)

Publication Number Publication Date
JPH0349216A JPH0349216A (ja) 1991-03-04
JP3178824B2 true JP3178824B2 (ja) 2001-06-25

Family

ID=23395465

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12933590A Expired - Fee Related JP3178824B2 (ja) 1989-05-22 1990-05-21 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置

Country Status (4)

Country Link
US (1) US4987856A (ja)
EP (1) EP0399616B1 (ja)
JP (1) JP3178824B2 (ja)
DE (1) DE69031192T2 (ja)

Families Citing this family (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0170391B1 (ko) * 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
DE4005956C1 (ja) * 1990-02-26 1991-06-06 Siegfried Dipl.-Ing. Dr. 5135 Selfkant De Straemke
US5147498A (en) * 1990-04-09 1992-09-15 Anelva Corporation Apparatus for controlling temperature in the processing of a substrate
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US6004885A (en) * 1991-12-26 1999-12-21 Canon Kabushiki Kaisha Thin film formation on semiconductor wafer
DE4143177C2 (de) * 1991-12-30 1999-08-12 Leybold Ag Beschichtungsanlage
USH1373H (en) * 1992-04-06 1994-11-01 American Telephone And Telegraph Company Wafer handling apparatus and method
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JPH0810678B2 (ja) * 1992-10-27 1996-01-31 株式会社半導体プロセス研究所 半導体装置の製造装置
JPH0828333B2 (ja) * 1992-11-30 1996-03-21 株式会社半導体プロセス研究所 半導体装置の製造装置
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
US5932302A (en) 1993-07-20 1999-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating with ultrasonic vibration a carbon coating
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
DE4338506A1 (de) * 1993-11-11 1995-05-18 Daimler Benz Ag Anordnung zur thermischen Behandlung von Halbleitersubstraten
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
JP4653263B2 (ja) * 1996-12-23 2011-03-16 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト 真空処理装置
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6014082A (en) * 1997-10-03 2000-01-11 Sony Corporation Temperature monitoring and calibration system for control of a heated CVD chuck
NL1009171C2 (nl) 1998-05-14 1999-12-10 Asm Int Waferrek voorzien van een gasverdeelinrichting.
US6197703B1 (en) * 1998-08-17 2001-03-06 Advanced Micro Devices, Inc. Apparatus and method for manufacturing semiconductors using low dielectric constant materials
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
TW364054B (en) * 1998-12-31 1999-07-11 United Microelectronics Corp Measurement tool for distance between shower head and heater platform
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
NL1013989C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van een wafer.
US6660086B1 (en) * 2000-03-06 2003-12-09 Innovative Coatings, Inc. Method and apparatus for extruding a coating upon a substrate surface
NL1015397C2 (nl) 2000-06-07 2001-12-10 Asm Int Inrichting voor het behandelen van een wafer.
DE10133914A1 (de) * 2001-07-12 2003-01-23 Aixtron Ag Prozesskammer mit abschnittsweise unterschiedlich drehangetriebenem Boden und Schichtabscheideverfahren in einer derartigen Prozesskammer
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
KR20030086149A (ko) * 2002-05-03 2003-11-07 최덕환 포장 상자
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US7019264B2 (en) 2003-04-17 2006-03-28 Unaxis Balzers Ltd. Heat-treatment system and method
DE10335099B4 (de) * 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7238623B2 (en) * 2004-10-06 2007-07-03 Texas Instruments Incorporated Versatile system for self-aligning deposition equipment
US20070020475A1 (en) * 2005-07-21 2007-01-25 Prince Kendall W Primed substrate and method for making the same
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100722848B1 (ko) * 2006-07-19 2007-05-30 주식회사 아이피에스 박막증착장치
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
CN101603169B (zh) * 2008-06-11 2012-01-25 鸿富锦精密工业(深圳)有限公司 镀膜装置
US9289795B2 (en) 2008-07-01 2016-03-22 Precision Coating Innovations, Llc Pressurization coating systems, methods, and apparatuses
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9490120B2 (en) 2011-11-18 2016-11-08 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9616457B2 (en) 2012-04-30 2017-04-11 Innovative Coatings, Inc. Pressurization coating systems, methods, and apparatuses
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR20150132506A (ko) * 2013-03-15 2015-11-25 어플라이드 머티어리얼스, 인코포레이티드 소형 배치 기판 핸들링 시스템을 위한 온도 제어 시스템 및 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9885112B2 (en) * 2014-12-02 2018-02-06 Asm Ip Holdings B.V. Film forming apparatus
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170053781A1 (en) * 2015-08-18 2017-02-23 Lam Research Corporation Multi-Station Chamber Having Symmetric Grounding Plate
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN107195580B (zh) * 2017-05-23 2023-05-05 商洛学院 一种可在不同衬底块同步生长的两用mocvd衬底架托盘结构
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI768849B (zh) * 2017-10-27 2022-06-21 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
US20190382882A1 (en) * 2018-06-13 2019-12-19 X Development Llc Vacuum deposition processing of multiple substrates
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10801109B2 (en) 2018-08-29 2020-10-13 Lam Research Corporation Method and apparatus for providing station to station uniformity
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202311552A (zh) * 2021-09-02 2023-03-16 大陸商盛美半導體設備(上海)股份有限公司 薄膜沉積裝置、薄膜沉積方法及薄膜沉積設備
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS5856339A (ja) * 1981-09-29 1983-04-04 Sharp Corp プラズマエツチング装置
JPS58151031A (ja) * 1983-02-14 1983-09-08 Hitachi Ltd プラズマ化学気相堆積装置
JPS59222922A (ja) * 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> 気相成長装置
JPS60249328A (ja) * 1984-05-25 1985-12-10 Kokusai Electric Co Ltd 半導体ウエ−ハ用ドライエツチング・化学気相生成装置
JPH0236276Y2 (ja) * 1985-01-10 1990-10-03
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
WO1987007309A1 (en) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Deposition apparatus with automatic cleaning means and method of use
JPS6372111A (ja) * 1986-09-12 1988-04-01 Sumitomo Metal Ind Ltd 半導体基板の温度調整方法
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
JPS63266072A (ja) * 1987-04-23 1988-11-02 Hitachi Electronics Eng Co Ltd 気相反応装置
JPH0333058Y2 (ja) * 1987-06-26 1991-07-12

Also Published As

Publication number Publication date
US4987856A (en) 1991-01-29
DE69031192D1 (de) 1997-09-11
JPH0349216A (ja) 1991-03-04
EP0399616B1 (en) 1997-08-06
EP0399616A1 (en) 1990-11-28
DE69031192T2 (de) 1998-01-15

Similar Documents

Publication Publication Date Title
JP3178824B2 (ja) 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置
US5091217A (en) Method for processing wafers in a multi station common chamber reactor
KR100277807B1 (ko) 열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치
CA2138292C (en) Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten cvd
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
US6599367B1 (en) Vacuum processing apparatus
US6113984A (en) Gas injection system for CVD reactors
US6176198B1 (en) Apparatus and method for depositing low K dielectric materials
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US5383971A (en) Differential pressure CVD chuck
US5273588A (en) Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5534072A (en) Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3480271B2 (ja) 熱処理装置のシャワーヘッド構造
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
JPH03287770A (ja) 枚葉式常圧cvd装置
EP0823491B1 (en) Gas injection system for CVD reactors
JP2003133233A (ja) 基板処理装置
US4651674A (en) Apparatus for vapor deposition
JP2002155366A (ja) 枚葉式熱処理方法および枚葉式熱処理装置
JPS63150912A (ja) 薄膜生成装置
JP3738494B2 (ja) 枚葉式の熱処理装置
JPH09237763A (ja) 枚葉式の熱処理装置
JPH0930893A (ja) 気相成長装置
JPH06124909A (ja) 縦型熱処理装置
JPH1187251A (ja) 気相成長装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080413

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090413

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees