KR100277807B1 - 열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치 - Google Patents

열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치 Download PDF

Info

Publication number
KR100277807B1
KR100277807B1 KR1019940704608A KR19940704608A KR100277807B1 KR 100277807 B1 KR100277807 B1 KR 100277807B1 KR 1019940704608 A KR1019940704608 A KR 1019940704608A KR 19940704608 A KR19940704608 A KR 19940704608A KR 100277807 B1 KR100277807 B1 KR 100277807B1
Authority
KR
South Korea
Prior art keywords
wafer
susceptor
gas
support surface
processing apparatus
Prior art date
Application number
KR1019940704608A
Other languages
English (en)
Inventor
에프. 포스터 로버트
이. 레베니 헬렌
이. 레블랑크 린
엘. 화이트 카알
에어로라 리크히트
Original Assignee
히가시 데츠로
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데츠로, 도쿄 엘렉트론 가부시키가이샤 filed Critical 히가시 데츠로
Application granted granted Critical
Publication of KR100277807B1 publication Critical patent/KR100277807B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 반도체웨이퍼처리장치(10)에 관한 것이며, 거기에는 블랭킷, 즉, 질화텅스텐이나 질화티타늄으로 된 선택적인 부착층 등과 같은 필름의 CVD와 기체제거 및 어닐링처리를 위해 웨이퍼(44)를 지지하는 서셉터(40)가 제공된다. 양호하게는, 아래쪽으로 대면한 샤워헤드(35)가 혼합기체를 냉각된 혼합실(30)로부터 서셉터(40)상의 위쪽으로 대면한 웨이퍼(44)상으로 지향시킨다. 반응로의 매끈한 내면은 난류형성을 최소화하기 위한 형태를 갖는 배플(90, 101, 102)과 서셉터립(162) 및 벽(130)을 포함한다. 서셉터구조체에 있는 틈을 채움으로써 난류를 최소화하고 이동부분의 오염을 방지하며 서셉터와 웨이퍼간의 열전달을 하고 웨이퍼를 서셉터에 대해 진공적으로 고정시키도록 불활성 기체가 흐른다. 서셉터의 립(162)은 웨이퍼(44)를 둘러싸고 있으며 세척을 위해 제거될 수 있고 다양한 크기의 웨이퍼를 수용할 수 있으며 다양한 공정에 따라 립의 재료를 변경시킬 수 있는, 즉, 선택적인 CVD 중에는 부착을 저지하는 것으로 하고 블랭킷 CVD 에서는 비소모기체를 배기시키는 것으로 할 수 있는 것이다. 립(162)은 기체유동을 매끄럽게 하고 웨이퍼의 외주에서의 열구배를 줄인다. 서셉터의 형태는 전도나 복사에 의한 서셉터로부터 기타의 부분으로의 열흐름을 줄일 수 있게 되어 있다.

Description

[발명의 명칭]
열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치
[발명의 상세한 설명]
본 발명은 반도체 웨이퍼 처리용 화학 증기 증착(CVD) 반응로에 관한 것으로서, 특히 반도체 웨이퍼 처리 클러스터 툴(cluster tool)을 위한 CVD 반응로 모듈에 관한 것이다.
그중에서도 특히, 본 발명은 텅스텐, 질화티타늄 및 다른 금속과 절연체를 실리콘 반도체 웨이퍼상에 코팅하는 CVD에 적용할 수 있고 그리고 질량 수송(mass transport)이 나타나지만 프로세스의 제어 특성이 아닌 다른 실리콘 프로세스에 적용할 수 있다.
[발명의 배경]
반도체 웨이퍼 및 이와 유사한 다른 제조품의 제조에 있어서, 코팅, 에칭, 열처리 및 패터닝을 포함하는 프로세스의 과정은 연속적으로 사용된다. 이러한 프로세스의 대부분은 보통 증기로써 운반되는 재료를 기판의 표면에서 화학적 또는 물리적으로 첨가 또는 제거하는 것을 포함한다.
그러한 과정에서 어떤 코팅 프로세스는 화학 증기 증착(CVD)에 의해 실시된다. CVD는 예로서 절연층등을 가로질러 접속시키기 위한 목적으로 전도막을 도포(apply)하는 것과 같이 하부층(underlying loyer)을 통과하는 홀(hole)의 여러가지 대향면에 막을 도포하기에는 바람직하다.
반도체 웨이퍼에서 홀 또는 바이어(Via)를 채워서 층간의 접속부를 형성하기 위한 CVD 프로세스의 최종 결과는 주로 막의 선택적 증착 즉, 웨이퍼 표면의 선택부에만 영구막을 형성하는 것이다. 그러한 코팅의 CVD에 의한 선택적 직접 도포는 자주 신뢰성이 떨어지고, 성공하지 못하고, 느리며 따라서 값비싼 기계의 신속한 처리율 및 효과적인 사용이 중요시되는 상업적인 규모로서는 바람직하지 못하다. 그러므로 선택성 최종 생성막은 자주 블랭킷(blanket) 모양으로 도포된 다음에 영구막이 불필요한 영역에서는 에치백(etch-back)된다.
증착된 물질의 에치백을 동반하는 텅스텐과 같은 재료의 블랭킷 CVD는 블랭킷 막 특히, 재료를 에칭하도록 되어 있는 기판의 영역에서 고도의 균일성을 필요로 한다. 그러한 에치백 영역에서 코팅이 불규칙하게 되면, 에칭 프로세스는 에칭해야할 블랭킷 막이 얇은 웨이퍼 영역에서는 하부층에 선택적으로 피해를 줄수 있으며 또는, 잔류막이 남아 있게 되는 영역을 만들 수가 있다. 종래 기술의 CVD 반응로는 제한된 균일성 또는 제한 속도에서 기판을 코팅하였다. 이에 따라, 특히 텅스텐과 같은 재료의 블랭킷 코팅을 도포하기 위해서는 더욱 균일한 막의 도포 및 고속의 CVD 반응로를 필요로 하게 된다.
CVD에 의해 반도체 웨이퍼에 텅스텐과 같은 막을 균일하게 도포하기 위해서는, 웨이퍼 표면을 가로질러 반응기체(reactant gas)를 균일하게 공급하고 또 코팅되어 있는 표면에서 소비한 기체 및 반응 부산물을 균일하게 제거할 필요가 있다. 이런 점에서, 종래 기술의 CVD 반응로는 제한적으로 성공하였다. 이와 유사하게, 물리 및 화학 에칭과 열처리 프로세스와, 그리고 예열 및 어닐링 프로세스를 포함하며 기타 프로세스에서, 종래 시스템은 증기를 처리중인 표면과 균일하게 접촉시키고 이 표면에서 균일하게 제거하는 일에는 부적당하였다. 이에 따라, 처리되고 있는 웨이퍼 표면 특히, CVD 프로세스에 의해 코팅되는 웨이퍼 표면에서 반응 기체 및 기타 기체를 보다 효과적이고 보다 균일하게 공급 및 제거할 필요가 있다.
반도체 웨이퍼의 효과적인 상업적 생산은 처리 장치가 가능한 연속적으로 작용할 것을 요구한다. CVD 반응로의 처리실(processing chamber)과 같이 처리실의 내장 부품에 증착물이 형성되면, 반응로가 비효율적으로 되고, 세척을 위해 사용이 일시 중단되어야 한다. 종래 기술의 많은 반응로가 불필요한 주기로서 세척을 필요로 하거나, 또는 세척이 매우 어렵고 아주 느리게 진행되며, 따라서 반응로 중단 시간이 지나치게 길어진다. 이에 따라, CVD 반응로의 처리실과 같은 처리실에 대해서, 부품의 세척을 다소 줄이고 부품에의 불필요한 증착을 감소시키고 또한 보다 신속하게 세척할 수 있는 처리실을 지금까지도 필요로 하고 있다.
종래 기술의 CVD 반응로의 챔버 및 기타 웨이퍼 프로세서에서, 반응 기체의 유동시 난류는 코팅 프로세스에서 효율 및 균일성을 방해하고, 반응실내의 오염물의 증착 및 이동을 악화시킨다. 따라서 그러한 반응실내에서 기체 유동의 개선과, 유동 난류의 감소를 필요로 한다.
반도체 웨이퍼에 텅스텐 코팅을 도포하기 위한 프로세스와 같은 CVD 프로세스는 통상적으로 냉각벽 반응로에서 실시되는데, 이 반응로에서 코팅해야 할 웨이퍼는 서셉터(susceptor)의 반응 온도까지 가열되며 반면에 반응로의 다른 표면은 이 표면에 막의 증착을 방지하는 비반응 온도(subreaction temperature)로 유지된다. 텅스텐 CVD에 대해서는 예로서 반응로 벽은 가끔 대략 실온으로 냉각된다. 다른 방법으로서, 질화티타늄(TiN) CVD에 대해서는, 벽은 실온 이상으로 가열될 수 있지만, 처리되는 기판의 온도보다 낮은 온도로 가열될 수 있다. 그런 경우에, 그러한 웨이퍼 처리 장치의 디자인에는 웨이퍼 또는 서셉터와 장치의 다른 부품간에 열의 흐름을 방지하기 위하여 다른 온도로 유지되는 부품을 가질 필요가 있다.
텅스텐 CVD 프로세스에서, 보통 텅스텐 헥사플루오라이드 기체(WF6)가 사용되고 있다. 상기 WF6기체는 다른 많은 웨이퍼 처리 프로세스에서 사용되는 기체와 같이 값비싸다. 기체 이용 효율이 종래 기술의 많은 반응로의 경우와 같이 낮을때, 기체의 원가가 높아질 수 있다. 많은 텅스텐 CVD 반응로에 의하면, WF6의 이용 효율은 20% 이하이고, WF6의 원가는 가끔 텅스텐 막의 도포를 위한 프로세스의 성능의 원가 전체의 30%를 초과한다. 이에 따라, WF6와 같은 반응 기체의 소비를 더욱 효율적으로 하는 CVD 반응로가 필요하게 된다.
CVD 프로세스는 2개의 범주로 분류될 수 있는데, 즉 질량 수송 제어식의 것과, 표면 상태 또는 온도 제어식의 것이 있다. 질량 수송 제어식 프로세스는 통상적으로 갈륨-비소 웨이퍼와 같은 기판에 또는 실리콘의 에피택셜 성장을 위해 Ⅲ-Ⅴ 족 물질의 CVD를 포함하는 프로세스이다. 이러한 프로세스는 웨이퍼 표면에서의 기체의 수송에 의해 제어되고 있고, 기판을 유동 기체내에서 축을 중심으로 궤도 이동을 일으키는 회전식 기타 이동식 서셉터상에 통상 다수가 장착된 웨이퍼를 이동시킴으로써 다른 방법으로서 웨이퍼를 가로지르는 기체 유동을 강화 및 제어하는 기술을 이용함으로써 사용되어 왔다. 통상적으로, 질량 수송 제어식 CVD 프로세스는 아레니우스 플롯(Arrhenius plot) 즉, 곡선의 무릎(knee) 위에서, 증착률 대 온도의 역수의 로그의 플롯에서 얻게 될 것이다.
웨이퍼 온도 또는 표면 상태 제어식 프로세스는 통상적으로 아레니우스 플롯 곡선의 무릎 아래에서 얻게 된다. 이 프로세스는 저온과 보통 130 내지 13000 n/㎡ (1 내지 100 Torr)의 저압에서 발생된다. 일반적으로, 그러한 프로세스는 종래 기술에서, 저속 이동에 따라 촉진되는 온도 또는 반응 균일성을 달성하는 것을 제외하면 웨이퍼 이동에 의해 강화될 수 있는 것으로 간주되지 않는다.
[발명의 개요]
본 발명의 주목적은 반도체 웨이퍼와 같은 제품의 박막처리를 위해 효과적이고 생산성 있는 장치를 제공하는 데 있다. 또한 본 발명의 특별한 목적은 예로서 CVD 프로세스에 의해 텅스텐, 질화티타늄 및 이와 유사한 재료를 실리콘 반도체 웨이퍼상에 블랭킷 또는 선택 증착과 같이, 반도체 웨이퍼에 막의 화학 증기 증착에 기본적으로 유용한 그러한 장치를 제공하는 데 있다.
본 발명의 다른 목적은, CVD 프로세스와 기타 실리콘 프로세스 즉, 플레이너(planar) 또는 보다 중요하게는 비플레이너이든지 패턴되었든지 웨이퍼 코팅 또는 기타 처리된 표면의 비율 및 질을 강화하기에 효과적이며 기본적으로 표면온도 제어식이고 종속적인 프로세스에 의하여 텅스텐, 기타 금속 및 절연체의 블랭킷 막을 도포하기 위한 것과 같이 반도체 웨이퍼에 막을 균일하게 도포하기 위한 CVD 장치와 같은 그러한 처리 장치를 제공하는 데 있다.
본 발명의 또 다른 목적은 가열된 웨이퍼 또는 서셉터로부터 냉각을 유지해야 할 장치의 기타 부품에 최소의 열흐름을 갖는 냉각벽 CVD 반응로와 같은 밀봉실 처리 장치를 제공하는 데 있다.
본 발명의 더욱 다른 목적은 불필요한 증착물이 내부에 형성되는 것을 방지하고 오염물의 전파를 방지하여 세척을 위한 중단 시간을 줄이고 효과적으로 세척되는 처리 장치를 제공하는 데 있다.
본 발명의 특별한 목적은 반응로내에서 기체의 난류를 축소시킴으로써 CVD 처리 장치내에 코팅의 도포 균일성을 강화시키고, 깨끗한 내장 표면을 유지하고 또 가열된 웨이퍼 또는 서셉터를 열적으로 차단시키는 데 있다.
본 발명의 또 다른 목적은 서셉터에 웨이퍼를 용이하게 유지하도록 하고, 웨이퍼와 서셉터간의 열전도를 강화시키고, 반응로의 내장 부품을 불필요한 증착물 및 오염물로부터 보호하고 그리고 반응로를 통과하는 반응 기체의 비난류성 유동을 보조하기 위하여 반응로내에 불활성 기체 유동을 제공하는 것을 포함한다.
본 발명의 더욱더 다른 목적은 코팅을 위한 다른 크기의 웨이퍼를 용이하게 수용하며 또 다른 코팅 프로세스를 받아 들이는 CVD 반응로를 제공하는 데 있다.
본 발명의 특별한 목적은, 단일 웨이퍼 회전 서셉터를 이용하는 웨이퍼 처리 클러스터 툴(wafer processing cluster tool) 또는 단독형 프로세서(stand-alone processor)를 위해 특히, 텅스텐, 질화티타늄과 같은 재료의 블랭킷 막과 상기 프로세스에 순종하는 그러한 막을 화학 증기 증착을 하기 위해 그리고 선택 사항으로서 그러한 재료의 선택 증착을 위한 프로세서 및 모듈을 제공하는 데 있다.
본 발명의 원리에 따라, 웨이퍼가 처리 온도에서 유지되는 단일 웨이퍼 회전 서셉터와, 다른 온도에서 유지되는 반응로 벽을 갖는 반응로를 갖춘 CVD처리 장치가 제공된다. 텅스텐과 같은 막의 도포를 위한 반응로에서, 반응로 벽은 대략 실온으로 냉각되고 반면에, 질화티타늄 막의 도포를 위한 반응로에서 반응로 벽은 실온 이상이면서 서셉터의 최적 처리온도 이하에서 가열된다.
본 발명의 양호한 실시예는, 수직축선에서 회전하며 바람직하게 상향을 바라보며, 샤워헤드(showerhead)로부터 웨이퍼를 향해 수직 아래로 지향하는 반응 기체의 유동을 가지며 동시에 웨이퍼 표면 위에서 얇은 경계층을 형성할 정도로 충분히 빠르게 서셉터가 회전하며 웨이퍼 표면과 반응하는 기체가 그 표면을 가로지르며 확산하는 프로세스를 갖는, 회전 웨이퍼 유지 서셉터를 갖는 웨이퍼 처리 클러스터 툴을 위한 CVD 모듈을 제공한다. CVD 반응로에서, 반응 기체는 회전축선에 있는 웨이퍼 중심의 정체점에서부터 방사상 외부로 향해 흐른다.
본 발명의 양호한 실시예에서, 기체는 챔버 상단에 있는 하향 샤워헤드로부터 상향 웨이퍼 표면을 향해 아래로 흐르며, 웨이퍼 표면에 걸쳐 방사상 외부로 향하며 웨이퍼를 둘러싸고 있는 링 또는 립을 거쳐 서셉터 측벽을 따라 아래로 흐르고, 배플(baffle)에 의해 형성된 환상 구멍을 통과한 다음 샤워 헤드에 대향한 챔버의 단부 또는 바닥에 있는 있는 단일 진공 배출구에서 빠져나가면서 최소의 난류로서 흐르게 된다. CVD 적용에서, 플라즈마 세척 전극이 설치되며, 비난류성 기체흐름을 용이하게 하기 위한 형상의 구조체와 조합되어 있다. 서셉터의 벽은 가열된 부품에서 반응로의 냉각된 부품으로 열의 흐름을 억제하는 단면을 가지면서 끝마무리(finish)되어 있다.
본 발명의 다른 실시예에서, 불활성 기체는 웨이퍼와, 웨이퍼 지지부 및 서셉터 회전체 주위의 여러 지점에서 도입되어 입자 및 반응 기체에 의한 오염을 방지하고 또 서셉터 부품의 접합부를 가로질러 챔버를 통과하는 기체의 원활한 유동을 용이하게 만든다. 기타 다른 실시예에서, 불활성 기체는 상대 진공에 의해 서셉터에 웨치퍼를 보유하는 데 사용되고 또한 서셉터와 웨이퍼간의 열전도를 강화하기 위해 사용된다. 서셉터에 웨이퍼를 진공 부착시키기 위해 웨이퍼의 림(rim) 주위에서 불활성 기체가 도입되는 실시예에서, 불활성 기체는 개별 공급원에서 도입되는 데, 림 기체는 처리실 압력이나 그 이상에서 도입되고, 진공 부착 기체는 저압에서 도입된다.
본 발명의 양호하게 예시된 실시예에 따라, CVD 반응로는 서셉터를 둘러싸고 있는 반응실과 기체 혼합실을 분리시키며 수평으로 배치되어 반응 기체를 분배하는 하향 샤워헤드에서 아래로 이격된 상향 회전 서셉터를 가진다. 반응실의 상단에 위치한 혼합실은 반응실의 벽을 따라 비교적 낮은 비반응 온도에서 유지된다. 텅스텐 증착의 경우에는, 혼합실 및 반응실 벽은 에틸렌 글리콜(ethylene glycol), 물 또는 다른 적절한 유체에 의해 대략 실온으로 냉각되고, 반면 질화티타늄 증착의 경우에는 상기 벽은 실온과 서셉터의 반응 온도 사이의 온도까지 가열된다.
증착 반응중에, 서셉터는 회전된다. 텅스텐 증착 프로세서에서, 150mm 웨이퍼에 대해 약 6.5KN/㎡ (50Torr)의 반응 압력에서는, 서셉터는 적어도 200RPM, 바람직하게는 2000RPM 이하, 가장 양호하게는 500 내지 1500RPM의 범위에서 회전된다. 그러한 회전은 웨이퍼의 중심에서 정체점을 만들고, 웨이퍼 표면 바로 위에서 경계층의 두께를 최소로 만들어서 프로세스 기체가 웨이퍼에 더욱 빨리 도달하게 할 수 있고 프로세스로부터 나온 부산물이 웨이퍼 상부면에서 빠져나가게 할 수 있다. 그와 같이, 이러한 현상은 CVD와 같은 증착 프로세스 뿐만아니라, 에칭 프로세스와, 기타 프로세스 즉, 기체가 웨이퍼 표면과 효율적으로 접촉해야 하며 또한 그 표면에서 효율적으로 제거되어야 하는 어닐링 및 탈가스 프로세스와 다른 열처리 프로세스에서 장점이 된다.
양호하게 예시된 실시예에서, 서셉터는 대략 400 내지 550℃ 바람직하게는 450℃로 가열되고, 가열된 서셉터에서 나오는 열은 회전축을 심하게 가열하지 않도록 방지되고, 서셉터는 회전축에 장착 및 조립됨으로써 지탱된다. 회전 서셉터 내면에 있는 모든 요소의 고반사성 표면은 서셉터의 가열된 웨이퍼 지지부와 구동 조립체간의 열전달을 최소화 시킨다. 덧붙여 서셉터로부터 냉각되어 있는 챔버벽을 향해 열 복사를 최대로 하고 또 가열된 챔버벽으로부터 열흡수를 최소로 하기 위하여 회전 서셉터의 외부에 표면 덜가공(dull finish)이 제공된다. 극히 얇은 서셉터 벽은 가열된 웨이퍼 지지부와 구동 조립체간의 열 전달을 더욱 최소로 줄인다.
또한 챔버벽은 구동 조립체로부터 열적으로 차단된다. 서셉터 장착 디스크는 서셉터 베이스의 환상 플랜지를 서셉터 구동축의 상단에 연결시키고, 가열된 웨이퍼 지지부와 구동 조립체간의 열전달을 더욱 줄이기 위한 열블록(thermal block)으로서 작용을 하기 위하여 최소 접촉면을 나타내는 돌출 지지체를 갖추고 있다.
반응 기체는 최소의 난류로서 샤워헤드로부터 반응실 바닥에 있는 단일 배출구를 향해 아래로 흐르게 된다. 챔버의 바닥 영역에 있는 복수 배플은 서셉터 축을 둘러싸고, 축 주위에 환상 기체 유동 구멍을 제공하여 기체 유동에 대해 점차 감소하는 단면적을 부여하고, 따라서 배출 기체가 챔버내에 난류를 일으키지 않고 챔버 바닥의 단일 포트를 통해 균일하게 배출시키도록 만드는 압력구배(pressure gradient)를 제공한다. 회전 서셉터의 외부 형상 또는 외장(envelope)은 난류를 더욱 최소로 줄이기 위해 평탄한 모양으로 되어 있다. 환상 립은 가열된 웨이퍼 지지부의 상부면에서 웨이퍼 둘레에 제공되고, 웨이퍼의 원형 모서리에 밀접한 간격을 두고 그 상부면과 동일 수준을 유지하며 난류를 더욱 줄이고 또한 웨이퍼 모서리 영역에서 웨이퍼의 방사상 열구배(thermal gradients)를 제거한다. 웨이퍼 포위 립(wafer-encircling lip)은 다른 크기의 웨이퍼를 수용하기 위하여 용이하게 제거되어 내경이 다른 요소로 대체될 수 있는 개별 환상 요소이다. 환상 립요소의 상부 원형 모서리에 있는 둥근 코너는 난류를 최소로 줄인다. 이러한 립 요소는 사용되지 않은 텅스텐 헥사 플루오라이드 기체 또는 기타 반응 기체를 소기하기 위한 스캔빈저(scavenger)로서 작용하여 배출구로부터 세척해야 하는 반응 가스량을 최소로 줄이는 상향 환상면을 가진다.
이 양호한 실시예에서, 한쌍의 환상 전극이 반응로의 플라즈마 세척을 위해 챔버의 상단과 하단에 하나씩 제공되어 있다. 각각의 전극은 텅스텐 증착의 경우에 NF3기체나 또는 프로세스에 적합한 다른 세척 기체를 챔버내에 분사하기 위한 구멍을 갖추고 있다. 이 구멍은 챔버의 내장 부품의 플라즈마 세척을 용이하게 하기 위하여 상부 및 하부 전극에서 원형 배열로서 배치된다. 상부 전극은 샤워헤드의 직경에 반응실 벽을 향해 각을 이루는 원추형 내면을 가지며, 또한 이 내면은 난류의 최소화에 기여한다. 하부 전극은 최상부 배플내에 합체되어 있다.
또한 본 발명의 다른 실시예에 따라, 진공 통로의 위와 아래에 있으며 챔버 베이스에서 구동축을 둘러싸고 있는 질소기체 통로는 베어링과 기타 축 지지 및 이동 구조체의 반응 기체 및 입자 오염을 줄인다. 이러한 특징은 베어링의 사용 수명을 연장시키고 사용에 따라 시간을 늘일 것을 필요로 하는 경우에 바람직하다.
서셉터에 웨이퍼의 진공유지가 이용되는 실시예에서, 헬륨 누설로는 서셉터 표면에서 웨이퍼 리프팅핀 주위에 제공되고, 이것은 회전 서셉터 내부의 압력이 CVD 챔버의 압력 이하로 유지될때 웨이퍼의 진공 포착을 용이하게 만든다. 게다가, 웨이퍼의 후면과 가열된 웨이퍼 지지부의 상부면 사이에서 웨이퍼 아래로 지나가는 헬륨 기체는 그 압력이 너무 낮지 않는 한 웨이퍼의 후면과 웨이퍼 지지부 사이에 기상 열전도를 통해 열전달을 제공할 것이다.
본 발명의 양호한 실시예는 1.3 내지 13KN/㎡ (10 내지 100Torr)의 압력과 425 내지 525℃의 웨이퍼 온도에서 수행되는 텅스텐의 블랭킷 CVD에 대해 가장 유리하다. 이 프로세스는 WF6가 실란(silane)에 의해 감소되는 핵형성 단계와 그 다음에 WF6가 수소에 의해 감소되는 증착 단계에 의하여 양호하게 수행된다.
본 발명의 다른 실시예에서, 반응로는 접점을 코팅하고 바이어를 채우도록 계획된 텅스텐의 선택 증착을 위해 유익하게 사용될 수 있다. 이 실시예에서, 웨이퍼와 접촉하는 서셉터 표면 특히 웨이퍼의 가장자리를 둘러싸는 립과 웨이퍼가 착석하는 상부 지지면 뿐만아니라, 이들을 체결하는 노출된 나사 및 디바이스와 이들과 접촉하는 링 실(ring seals)은 텅스텐이 핵이 되지 않거나 또는 다만 예기치 않게 긴 핵형성 시간에만 핵이 되는 재료로 형성된다. 그러한 재료는 산화 알루미늄, 질화 붕소, 폴리이미드 및 어떤 석영의 형태들을 포함할 수 있다. 게다가, 서셉터의 립 링 및 상부 지지면은 제거 및 교체 가능하고, 서셉터는 비선택성 분야간에 전환될 수 있다.
이러한 텅스텐 선택 증착 분야에서, 프로세스는 13 내지 1300N/㎡ (0.1 내지 10.0Torr)의 압력과 250 내지 400℃의 온도에서 양호하게 수행된다. 이러한 낮은 압력에서, 웨이퍼는 그 후방에서의 진공 보다는 정전기 클램핑에 의해 서셉터에서 유지될 수 있다.
본 발명의 장치는 전술한 목적들을 달성하고, 종래 기술의 단점을 해결한다. 블랭킷 텅스텐 증착 프로세스를 사용하면 WF6소모가 50%를 초과할 수 있고, 종래 달성했던 것보다 여러배 빠른 증착 속도를 얻을 수 있다.
본 발명은 특히 웨이퍼 온도 제어식 프로세스에 의해 실리콘 웨이퍼에 막을 도포하는 CVD에서 도포 속도, 질 및 균일성을 강화하는 데 유익하고 또한 어닐링과 같은 다른 온도 제어식 실리콘 처리 방법에 유익하다. 본 발명의 많은 특징들은 실리콘 반도체 웨이퍼에 블랭킷 텅스텐, 선택적 텅스텐 및 질화 티타늄의 CVD에 유익하고, 텅스텐 실리사이드(tungsten silicide), 산화 탄탈륨, 알루미늄 및 구리와 같은 재료 뿐만아니라, SiO2와 같은 산화물의 CVD에 유익하다.
본 발명의 많은 특징은 회전 서셉터를 포함하지 않는 처리 장치와 함께 사용될 수 있다. 본 발명의 플라즈마 세척 특징은 여기서 기술된 반도체 웨이퍼 처리 분야와, 증착물 및 오염물이 형성되는 경향이 있는 다른 분야와 함게 사용될 때 이점을 제공한다. 덧붙여, 서셉터에 대해 열을 제한하고 또 반응로내에서 기체 유동을 원활한 비난류성 방법으로서 흐르게하는 특징은 반도체 웨이퍼 처리시에 광범위한 용도를 갖는다.
본 발명의 상기 목적 및 장점들은 도면의 상세한 설명에 따라 용이하게 이해될 것이다.
[도면의 간단한 설명]
제1도는 본 발명의 원리를 이용하는 웨이퍼 처리 클러스터 툴을 위한 CVD 모듈의 입면도.
제2도는 제1도의 모듈의 CVD 반응로의 단면도.
제3도는 서셉터 회전 및 웨이퍼 리프팅부를 도시하며 제2도의 반응로의 하부 부품의 단면도.
제3a도는 제3도의 선 3A-3A을 취한 단면도.
제4도는 처리실을 도시하며 제2도의 반응로의 상부 부품의 단면도.
제4a도는 제4도의 선 4A-4A를 취한 단면도.
제4b도는 제4도의 선 4B-4B를 취한 단며도.
제4c도는 제4도의 선 4C-4C를 취한 단면도
제5도는 하나의 다른 실시예로서 반응실의 하우징 베이스 부근에서 서셉터 구동축과 관련된 구조를 도시하며 제4도의 챔버 일부의 확대 단면도.
제6도는 제4도의 반응실내에 있는 서셉터의 확대 단면도.
제6a도는 제6도의 선 6A-6A를 취한 단면도.
제6b도는 제6도와 유사하며, 블랭킷 텅스텐 증착 프로세스에 특히 적합한 본 발명의 다른 실시예인 서셉터의 확대 단면도.
제6c도는 제6도와 유사하며 제6b도의 실시예와는 다른 서셉터의 확대 단면도.
제7도는 웨이퍼를 제거한 상태에서 제6b도의 서셉트의 평면도.
제8도는 웨이퍼를 제거한 상태에서 제6c도의 서셉트의 평면도.
[도면의 상세한 설명]
제1도는 본 발명의 특징을 구체화하는 웨이퍼 처리 클러스터 툴을 위한 CVD모듈(10)을 도시한다. 모듈(10)은 바퀴달린 베이스(12)를 갖는 프레임(11)을 포함하고, 베이스에는 모듈(10)의 수평을 맞추며 마루바닥에 모듈(10)을 고정하기 위한 한세트의 조정다리(13)가 매달려 있다. 모듈(10)은 프레임(11)에 부착된 캐비넷(14)을 포함하고, 이 캐비넷은 역시 프레임(11)에 부착된 화학 증기 증착(CVD) 반응로(15)에 반응 기체를 공급하는 유입 라인에 연결되는 접속부를 갖는 유동 제어기를 내장하고 있다. 캐비넷(15)은 도시되지 않은 반응로 보조 시스템의 기타 부품들과 연관되어 있으며, 상기 시스템은 유체 도관, 밸브, 펌프, 제어 장치, 그리고 반응 기체, 불활성 기체, 세척 기체, 반응로용 냉각 유체등의 공급부 및 이 공급부에의 접속부를 포함한 반응로(15)의 작동을 위한 관련 하드웨어를 포함하고 있다.
본 발명의 양호한 실시예에서, 반응로(15)에서 실시해야 할 메인 CVD 프로세스를 위한 반응 기체는 실리콘 반도체 웨이퍼에서 블랭킷 텅스텐 증착 프로세스를 위해 사용되는 기체이고, 캐비넷(14)과 반응로(15) 사이에 연결된 라인(16)(4개가 도시됨)을 통해 공급된다. 이러한 기체들은 예로서 텅스텐 헥사플루오라이드(WF6), 수소(H2) 및 실란(SiH4)을 포함한다. 그러나 반응로는 질화티타늄 막과 CVD 프로세스를 통해 도포될 수 있는 다른 많은 막에 유용하다. 또한 라인(16)중 하나를 통해 아르곤과 같은 불활성 기체가 공급될 수 있다. 덧붙여, 니트로진 트리플루오라이드(NF3) 기체와 같이, 반응로(15)의 플라즈마 세척용 반응 기체는 캐비넷(14)과 반응로(15) 사이에 연결된 기체 유입 라인(17)을 통해 공급된다. 또한 모듈(10)은 하나이상의 진공 펌프(18)를 포함하는데, 반응로(15)를 진공화시키며 필요한 작동 압력 수준에서 반응로(15)의 내부를 진공으로 유지하며 또 반응로를 통해 흐르는 사용되지 않은 반응 기체, 반응 부산물, 세척 기체 및 불활성 기체를 배출하기 위해 보통 하나의 고용량 저진공 펌프와 하나의 저용량 고진공 펌프를 포함한다. 잔류 기체 분석기 포트(19)가 기체의 구성물을 모니터하기 위해 설치되어 있다.
반응로(15)는 이것의 하단에 매달려 있는 서셉터 회전 및 웨이퍼 승강 기구(20)를 포함한다. 반응로(15)의 주요한 진공화(evacuation)는 반응로(15)와 진공 펌프 또는 펌프 조립체(18) 사이에 연결된 진공 배출 라인(21)과, 기구(20)와 펌프 조립체(18) 사이에 연결된 하나이상의 보조 진공 배출 라인(22)을 통하여 달성된다. 또한, 결합된 상부 전극 단자 및 냉각유 매니폴드 커넥터(23)와, 결합된 하부 전극 단자 및 세척 기체 커넥터(24)도 반응로(15)와 캐비넷(14) 부근의 지지 시스템과의 사이에 연결되어 있다.
제2도에서, CVD 반응로(15)는 하우징(26)으로 둘러싸며 밀봉시킨 반응실(25)을 가지고 있으며, 하우징에 의하여 반응로(15)가 진동 흡수 고무 패드(29)를 거쳐 프레임(11)에 장착되고, 하우징의 하단에 기구(20)가 지탱되어 있다. 하우징(26)은 바람직하게 고도의 폴리싱 처리된 내부를 갖는 알루미늄으로 제조되고, 가열된 반응로 벽으로부터 복사열에 의해 서셉터가 가열되는 오븐형 반응로가 구별하도록 가끔 포괄적으로 냉각벽 반응로로써 언급되는 반응로를 만들기 위해 반응로 벽을 가열 및 냉각하기 위한 독립 온도 제어 장치를 갖추고 있다. 하우징(26)은 바람직하게도 에틸렌 글리콜 또는 물과 같은 적절한 유체에 의해 냉각된다. 추가로, 저항 가열 요소(도시 안됨)가 하우징을 가열할 수 있도록 하우징(26)내에 설치되거나 또는 선택 사항으로서 또는 추가로 봉형 가열 요소가 챔버내의 여러 장소에 설치될 수 있다. 반응로 벽의 가열 및 냉각은 영역 제어식(zone controlled)일 수 있고, 또한 온도 조절 및 균일성에 능동적으로 반응하는 가열 장치 및 냉각 장치 모두를 가질 수도 있다.
하우징(26)은 그 상단에서, 양호하게 알루미늄으로 제조되어 반응실(25)을 둘러싸는 챔버 커버(27)를 가진다. 커버(27)는 하우징(26)의 상단 또는 사용된다면 스페이서(199)를 공기 압축식으로 밀봉하고, 여기에 나사(28) 또는 클램프에 의해 기계식으로 고정되거나 또는 공기 압축식으로 유지될 수 있다. 제2도에서, 도시된 나사(28)는 하우징(26)의 상단에 스페이서(199)를 고정시키고 있다. 커버(27)는 환상 혼합실 벽으로 둘러싸인 반응 기체 혼합실(30)을 가지고, 환상 혼합실 벽은 알루미늄의 챔버 커버(27)와 일체로 형성되거나 또는, 기계 가공이 가능한 세라믹이나 별개의 알루미늄 또는 다른 금속편과 같은 별개의 재료로 형성되어 챔버 커버(27)의 저면에 고정될 수 있다. 혼합실 벽(31)은 활성적으로 냉각될 수 있는 데 즉, 예로서 텅스텐 증착 프로세스가 필요로 하듯이 하우징(26)과 챔버 커버(27)의 온도와는 관계없이 반응 온도보다 낮은 온도를 유지하기 위하여 벽(31)에 형성된 환상 통로(32)를 통해 흐르도록 공급되는 냉각유에 의해 냉각될 수 있다. 하우징(26)과 같이, 또한 혼합실 벽(31)은 질화티타늄 증착을 위해 프로세스가 필요로 하듯이, 벽 및 혼합실(30)을 가열하기 위해 저항 가열 요소(도시 안됨)를 갖추고 있다. 이러한 환상벽(31)은 온도 제어시에 커다란 굴곡성을 제공하기 위하여 커버(27)의 알루미늄 재료와는 열적으로 절연된 열절연체 또는 열전도체로 제조될 수 있다. 혼합실(30)의 상부는 바람직하게 스테인레스강으로 제조된 제거식 커버 또는 상단판(33)으로 폐쇄되고, 상단판은 볼트(34 ; 제 4 도)에 의해 챔버 커버(27)에 밀봉 연결된다. 챔버 하우징(26), 챔버 커버(27) 및 상단판(33)은 모듈(10)의 작동시 진공압 수준을 유지하는 내부 용량을 둘러싸고 있는 밀봉 용기를 형성한다.
기체 혼합실(30)의 하단은 혼합실벽(31)의 하단에 연결된 원형 샤워헤드(35 : showerhead)에 의해 폐쇄된다. 샤워헤드(35)는 알루미늄 또는 기계가공이 가능한 세라믹 재료로 제조될 수 있고, 반응실(25) 내에서 처리되는 웨이퍼의 영역에서부터 고도의 반응 온도에 의한 복사열의 흡수를 방해하기 위하여 고도의 폴리싱된 하부면을 갖는다. 하나의 허용가능한 실시예에서, 샤워헤드(35)는 중심에 대해 다수의 동심원의 배열로서 또는 매트릭스 모양으로 양호하게 배열된 홀(36)(제 4 도)의 균일한 패턴을 가지며, 그 중심은 반응로(15)를 지나가는 수직축선상에 놓여 있다. 선택 사항으로서, 샤워헤드(35)는 다공성의 금속 또는 세라믹판으로 형성될 수도 있다.
다수의 기체 유입구(38 ; 제 4 도)가 기체 라인(16)이 연결되어 있는 상단판(33)에 제공되어 있다. 회전 웨이퍼 지지 서셉터(40)는 반응실(25) 내부에 설치된다. 서셉터(40)는 샤워헤드(35) 바로 아래에서 축선(35)상에 놓여서 이 샤워헤드와 축방향 정렬이 되어 있다. 세척 기체 유입구(41)는 챔버 커버(27)에 장착되어 세척 기체 유입 라인(17)에 연결되어 있다. RF 상부 전극 단자 및 냉각수 커넥터(23)도 챔버 커버(27)에 장착된다. RF 하부 전극 단자 및 세척 기체 커넥터(24)는 하우징(26)의 측벽에 장착된다. 단일 진공 배출구(42)는 챔버 하우징(26)의 하단에 제공되어 있고, 이 하단에 있는 진공 배출 라인(21)은 펌프(18)에 연결되어 있고, 이 펌프는 1.3 내지 13 KN/㎡ (1 내지 100Torr) 사이의 웨이퍼 처리 압력과 13 × 10-3내지 13 N/㎡ (0.1 내지 100mTorr)의 반응로 세척 압력과, 13 × 10-3N/㎡ (10-4Torr)의 웨이퍼 전달 압력을 반응실(25) 내에서 달성하기 위하여 초당 400 내지 500 리터의 펌핑 속도에서 작동한다. 게이트 포트(43)는 클러스터 툴의 수송 모듈 또는 웨이퍼 취급 모듈에 연결하기 위해 하우징(26)의 전방벽에 제공되고, 이 모듈을 통해 처리를 위한 웨이퍼가 반응실(25)에 로딩 및 언로딩된다. 게이트(43)는 서셉터(40)의 상향 웨이퍼 지지 상단면(44 : top surface)과 대략 수평으로 정렬되고, 이 상단면에서 샤워헤드(35)에 수직으로 정렬된 상태에서 수평으로 평행하게 배치된 웨이퍼의 상향면이 처리되도록 웨이퍼가 지지되어 있게 된다. 다수의 포트(45)가 진단 장치 또는 다른 장치를 삽입하기 위해 반응실(25)의 대향면에 웨이퍼 지지면(44) 또는 하우징(26)과 수평 정렬 상태로 제공되어 있다.
서셉터 구동 지지 프레임(47)은 반응로 축선(37)과 정렬되어 하우징(26)의 하단에 부착되어 있다. 중공의 서셉터 구동축(50)은 구동 지지 프레임(47)내에 회전가능하게 장착된다. 구동축(50)은 반응로 축선(37)상에 있는 자신의 축선에서 회전 가능하게 장착되어서 반응로 하우징(26)의 하단에 있는 구멍(51)을 통해 연장하며, 서셉터(40)의 하단에 단단히 연결된다. 구멍(61)에서 구동축(50)은 메인 베어링(52)에서 회전가능하게 지탱되고, 이 베어링은 그 내부 레이스가 구동축(50)과 밀접하며 둘러싸고, 그 외부 레이스가 하우징(26)의 하단에 위치한 프레임(47)에 고정된다. 프레임(47)의 하단부(47)에 연결된 보조 베어링(53)은 구동축(50)의 하단부를 밀접하게 둘러싸면서 지탱한다. 베어링(52)의 바로 아래에서 구동축(50)을 밀접하게 둘러싸는 페로플루이딕 실(54 : ferrofluidic seal)이 지지 프레임(47)에 고정되어 있다.페로플루이딕 실(54)은 내부의 페로플루이드(ferrofluid: 강자성 입자가 분산되어 있기 때문에 자속내에서 자화되는 콜로이드상 현탁액)가 구동축(50)의 열로 인하여 분해되어 자성을 잃지 않도록 하기 위하여 70℃ 이하의 온도에서는 유체 순환성을 가진다. 지지 프레임(47)내에서 보조 베어링(53) 위에는 전기 슬립링 커넥터(55)가 구동축(50)을 둘러싸고 있다. 슬립링(55)은 회전 구동축(50)과 전기 접속되어서 회전 서셉터에 전기 에너지를 공급하고, 이 서셉터에서 감지한 온도 신호를 수신한다. 실(54)과 슬립링(55) 사이에서 구동축(50)에 고정된 구동 풀리(56)는 구동 벨트(57)를 거쳐 서셉터 회전 구동 모터(58)의 출력부와 구동가능하게 연결되어 있다.
프레임(47)의 하단에 고정된 회전 및 승강 기구(20)의 하단부에는 웨이퍼 리프트 기구(60)가 위치하고, 이는 제 3 도에 상세히 도시되어 있다. 리프트 기구(60)는 중공의 수직 리프트 튜브(62)의 하단부를 둘러싸는 중공 내부를 갖는 외측 밀폐 셀(61 : outer fluid-tight shell)을 포함한다. 튜브(62)는 리프트 기구(60)에서 수직 상방으로 프레임(47)과, 구동축(50)의 중공 내부를 통과하며 반응로의 축선(37)을 따라 반응실(25)내로 연장하여 서셉터(40)의 내부에서 종료한다. 튜브(62)는 구동축(50)과 함께 회전하며 축방향에서 대략 9mm의 거리를 미끄럼 이동하면서 반응실(25)내의 서셉터(40)의 웨이퍼 지지면(44)에서 웨이퍼를 상승 및 하강시킨다. 튜브(62)의 하단부는 페로플루이딕 실(64)내에서 회전가능하게 지탱된 허브 부재(62)에 고정되고, 실의 외면은 셀(61)내에서 수직으로 미끄럼 이동가능한 슬리브(65)내에 고정된다. 슬리브(65)의 하단부는 일직선 운동형 압축 공기 리프트(66a)가 있는 셀(61)의 하단내의 구멍(67)을 통해 연장하는 수직 액추에이터(66)에 결합된다. 또 하나의 페로플루이딕 실(68)이 셀(61) 내부의 상부 가까이에 설치되어 회전 및 승강 기구(20)의 프레임(47)의 하단 부근의 축선(37)에서 튜브(62)를 둘러싸고 있다. 페로플루이딕 실(54)의 경우와 같이, 실(64, 68)에는 70℃ 이하의 온도에서 유지되는 유체가 공급된다.
헬륨 기체 공급원(도시안됨)은 리프트 기구(60)의 셀(61)의 하단(61)에 있는 헬륨 기체 유입구(70)와 연결된다. 유입구(70)는 허브 부재(63)의 베이스에 있는 헬륨 유입 통로(71)와 교통하고, 이 통로는 채널(176)과 연통하기 위하여 허브 부재의 중공 내부를 통과하여 튜브 길이를 따라 연장하는 튜브(62)의 축방향 보어(72)와 교통한다.
진공 배출구(74)는 셀(61)내에 설치되어 기다란 중공관(73)과 연결되어서, 제3a도에 도시한 바와 같이 튜브(62)를 둘러싸는 중공관 상단부에 있는 구동축(50) 내부의 공간(75)에 진공을 적용시킨다. 공간(75)은 구동축(50)의 길이를 따라 연장하며 또한 반응실(25)내에서 서셉터(40)의 내부와 연통한다. 제6b도와 관련하여 아래에 상세히 설명된 하나의 실시예에서, 배출구(74)의 진공 압력은 처리중에 웨이퍼를 서셉터 표면(44)에 부착시키는 진공척으로서 작용을 하도록 서셉터(40)내의 진공을 발전시키기 위하여 반응실(25)의 압력보다 충분히 낮은 압력에서 유지된다. 이러한 진공 부착 압력은 중공관(73)내에 위치하여 튜브(62)를 둘러싸고 있는 환상칼럼(79)을 거쳐 구동축(50) 상단의 공간(75)과 진공 배출구(74) 사이를 교통하고 있다. 진공 부착을 사용하지 않는 다른 실시예에서, 배출구(74)의 진공은 반응실(25)내의 압력과 동일하거나 또는 그보다 약간 높은 서셉터(40)내의 진공을 발전시키는 압력에서 유지된다. 이러한 방법에서, 제6도의 실시예와 같이 보호받는 서셉터내로 반응 기체의 유입에 대해 이하에 상세히 설명하기로 한다.
CVD 반응로의 반응실 부분의 세부가 제4도에 도시되어 있다. 기체 혼합실(30)은 제4도 및 제4a도에 도시한 바와 같이, 각각의 유입구(38)와 연결된 4개의 동심의 중공관 모양의 링(77)을 갖추고 있다. 각각의 링(77)은 유입구(38)에서 나오는 각각의 기체를 기체 혼합실(30)내로 균일하게 분배되도록 하기 위하여 축선(37) 둘레에서 링을 따라 이격된 다수의 구멍(76)을 가지며, 그 혼합실에서 기체들이 보통 비반응 온도에서 균일하게 혼합된다. 여러개의 유입구(38)에서 균일하게 혼합된 기체는 기체 혼합실(30)로부터 샤워헤드(35)의 다수의 구멍(36)을 통과하여 축선(37)에 평행하게 아래로 흘러서 화살표(78)로 도시한 바와 같이 서셉터(40)의 웨이퍼 지지면(44)에 대해 수직으로 흐른다.
샤워헤드(35)를 둘러싸고 있는 환상 플라즈마 세척 전극(80)은 알루미늄 챔버 커버(27)에 고정된, 테플론 또는 다른 적절한 절연체로 제조된 인슐레이터(81 : insulator)상에 장착된다. 이 전극은 챔버의 플라즈마 세척을 하기 위해 플라즈마를 발생시키는 에너지를 받는다. 이 전극(80)은 아래로 흐르는 반응 기체에서 난류를 방지하기 위하여 샤워헤드(35)의 직경에서 챔버 하우징(26)의 더 큰 직경으로 원활한 전이부를 나타내는 각도를 갖는 절두 원추형 내면(82)을 가진다. 다수의 기체 유입 오리피스(83)가 내면(82) 주위에 설치되어 전극(80) 내부의 환형인 세척 기체 통로(84)와 연통하고 있다. 상기 통로(84)는 유입구(41)와 연결된 공급관(85)과 서로 통하며, 그 유입구는 세척 기체 유입 라인(17)에 연결되어 있다.
환상 냉각 통로(87)는 튜브(88)를 통해 냉각액용 상부 전극 커넥터(23)와 연통하고, 이 커넥터는 냉각액을 위한 공급 및 복귀 라인(도시안됨)을 포함한다. 무선 주파수 에너지(RF)는 커넥터(23)로부터 튜브(88)를 거쳐 전극(80)에 공급된다. 에틸렌 글리콜 또는 물과 같은 냉각액은 냉각액 유입 및 복귀포트(89)를 통해 혼합실벽(31)의 냉각 통로(32)에 개별적으로 제공된다.
하부 플라즈마 세척 전극(90)은 챔버 하우징(26)에 장착된 반응실(25)의 베이스에서 적절한 절연체로 제조된 전기 인슐레이터(91)상에 설치된다. 이 전극(90)은 제4도, 4b도 및 4c도에 도시한 바와 같이, 반응실(25)의 처리부와 진공 배출구(42) 사이에서 기체 유동 배플로서 사용되는 환상링의 모양이다. 전극(90)은 서셉터 구동축(50)을 둘러싸면서 하우징(26)의 베이스에 고정된 슬리브(93)와 전극(90) 사이에서 환상 기체 유동로(92)를 형성하고, 이 유동로를 통해 세척 기체 및 세척 부산물이 아래로 지나가며 반응실(25)에서 배출된다. 전극(90)의 상단 둘레에 제공된 구멍(94)은 전극(90)내의 환상 통로(95)와 연통하고, 이 환상 통로는 또한 다른 세척 기체 공급관(96)과 서로 통하며, 다음에 상기 공급관은 하부 전극 단자 및 세척 기체 커넥터(24)의 세척 기체 유입구(97)와 연통한다. 전극(90)은 하부 전극 단자 및 커넥터(24)로부터 튜브(96)를 통해 하부 전극(90)에 RF 에너지를 공급하는 전원(도시되지 않음)과 전기적으로 연결되어 있다. 반응실(25)의 내장 부품의 내부를 플라즈마 세척하기 위해 NF3기체와 같은 세척 기체는 각각의 전극(80, 90)에 있는 구멍(83, 94)을 통해 유입하고, 배출구(42)를 통해 배출된다.
2개의 보조 알루미늄 배플(101, 102)은 전극(90)과 하우징(26)의 베이스 사이에 설치된다. 이 배플(101, 102)은 하우징(26)의 베이스에 위치한 스페이서(spacer)(104)에 수직으로 적층되어서 다수의 볼트(105)로서 스페이서에 고정된다. 상부 배플(101)은 디스크 모양이며 슬리브(93)에서 외부로 연장하여 하우징(26)의 측벽 둘레에서 환상으로 공간(106)을 형성한다. 또한 하부 배플(102)도 디스크 모양이며, 하우징(26)의 측벽에서 내부로 연장하여 슬리브(93) 둘레에서 환상으로 공간(107)을 형성한다.
서셉터(40)는 반응실(25)내에서 반응 기체의 난류를 최소로 줄이기 위하여 원활한 모양으로 된 외측면(110)을 가진다. 외측면(110)은 그의 가장 넓은 부분에서, 서셉터(40)와 챔버 하우징(26)의 측벽과의 사이에서 공간 또는 구멍을 형성한다. 구멍(111)의 수평 단면적은 전극(90)에 의해 형성된 유동로(92)의 단면적보다 크고, 다음에 상기 유동로는 배플(101)에 의해 형성된 공간(106)의 수평 면적보다 크고, 또한 이 공간(106)은 배플(102)에 의해 형성된 공간(107)의 수평 단면적 보다 크다. 이러한 면적들의 비율은 반응 기체가 반응실(25)을 통해 흐를때 압력 구배를 만들어서, 반응실(25)을 통해 단일 진공 배출구(42)로 흐르른 기체 유동에 난류를 최소로 줄이며 동시에 서셉터(40) 주위에 균일성을 제공한다. 이 흐름은 화살표(112, 113, 114, 115)로 도시되어 있다.
어떤 상황에서는, 예로서 밀봉부의 수명이 계획된 반응로 유지시간을 줄이는 경우 메인 베어링(52)의 신뢰성을 높이고 수명을 연장시키기 위하여 구조를 변경시킬 필요가 있을 수 있다. 구동축(50)이 하우징(26)의 베이스를 통과하는 지점에서 구조를 변경한 예가 제 5 도에 도시되어 있다. 이러한 변경에서, 하우징(26)의 베이스는 질소 기체 유입구(117)와 질소 기체 진공 배출구(118)(점선)를 갖추고 있고,이를 통해 질소 기체는 제 5 도에 도시한 바와 같이 서셉터 구동축(50)과 슬리브(93) 사이의 공간(120) 내부를 유동하게 된다. 유입구(117)를 통해 흐르는 질소 기체는 슬리브(93)의 베이스에 위치한 구동축(50)을 둘러싸는 환상 통로(121)내로 분사되고, 화살표(122) 방향을 따라 슬리브(93)내의 통로(121)위의 환상 통로(123)로 흘러 들어간 다음 배출구(118)로 빠져 나간다. 유사하게도, 질소 기체는 유입구(117)로부터 통로(123)위의 제 3 환상 공간(124)으로 흘러 들어간다. 통로(124)에서 나온 기체의 일부는 화살표(125) 방향을 따라 통로(123)로 흘러가 배출구(118)에서 빠져 나가고, 그동안 통로(124)에서 나온 기체의 다른 부분은 화살표(126) 방향을 따라 공간(120)내로 흘러간 다음, 화살표(127) 방향을 따라 하부 세척 전극(90)의 외부 모서리 둘레에 있는 공간 또는 구멍(92) 부근에서 반응실내로 흐른다. 화살표(127) 부근에서 질소 기체의 외향 유동은 반응 프로세스중에 반응 기체가 공간(120)내로 유입하는 것을 방지하고 또한 공간(127)내로 입자 및 다른 오염물이 흘러가지 않도록 방지한다.
서셉터(40)는 제 6 도 및 6b도의 2실시예에 도시되어 있다. 각 실시예는 적용에 따라 요구될 수 잇는 변경된 몇가지 모양을 포함한다. 제 6a도는 제 6도 또는 6b도의 실시예 둘다 또는 어느 하나에 나타나는 모양의 배치를 도시하는 단면도이다. 제6도의 실시예의 서셉터(40)는 정전기 웨이퍼 부착, 전기 절연된 웨이퍼 지지면, 이 웨이퍼 지지면의 외부 모서리 주위의 절연링, 웨이퍼 지지시에 온도 감지를 위한 RTD, 웨이퍼의 가장자리 주위의 불활성 세척 기체, 및 반응실(25)내의 압력이나 또는 그보다 약간 큰 압력에서 유지되는 서셉터내의 불활성 기체를 이용한다. 제 6도의 실시예의 많은 특징들은 아래에 설명한 바와 같이 텅스텐과 같은 어떤 재료의 선택 증착에 더욱 적합하다.
제 6b도의 실시예의 서셉터(40)는 진공 웨이퍼 부착, 금속 웨이퍼 지지체 및 이 웨이퍼 지지체의 외면 주위의 금속 스캐빈저 링, 이 웨이퍼 지지체에서 온도를 감지하는 열전대, 및 반응실(25)의 압력보다 낮은 압력에서 유지되는 서셉터내의 불활성 기체를 이용한다. 제 6b도의 실시예의 많은 특징들은 역시 아래에 설명한 바와 같이 질화 티타늄 및 텅스텐과 같은 재료의 블랭킷 증착에 적합하다.
제6도, 6a도 및 6b도의 서셉터(40)는 구동축(50)의 상단에 볼트(131)로써 장착되어 있는 얇은 외부 금속벽(130)을 갖추고 있으며, 이는 한 실시예의 서셉터 구조를 도시하고 있는 제 6도에 더욱 잘 도시되어 있다. 벽(130)은 예로서 알루미늄과 같은 열 고전도체로 제조되고, 서셉터의 상부에서 구동축(50)으로의 열 유동을 최소화하기 위하여 얇은 단면적을 갖는다. 벽의 외면으로서 서셉터(40)의 표면(110)을 갖는 벽(130)은, 서셉터(40)의 가열된 상부의 하향 표면(129)에서 나와 서셉터(40)의 중공 내부 공간(135)을 통해 흐르는 열을 반사시켜 열흡수를 최소로 줄이기 위하여 고도의 폴리싱 처리된 반사상 내면(132)을 가진다. 외측면(110)은 벽(130)으로부터 열 복사를 최대로 하기 위하여 덜 가공(dull finish)이 된다.
서셉터 벽(130)의 베이스에서, 이와 일체로 형성된 하향 연장 칼라(136)는 구동축(50)을 둘러싸고, 벽(130)으로부터 구동축(50)에 직접적인 열 전도를 감소시키기 위하여 칼라(136)와 구동축(50)과의 사이에 작은 원통형 갭(137)을 남기도록 구동축에서 이격되어 있다. 서셉터 장착 플랜지(138)는 벽(130)과 일체로 형성되어 칼라(136)에서 내부로 돌출하여 있다. 구동축(50)의 상단부에 위치한 환상의 상향 돌출 숄더(140 : shoulder)에는 플랜지(138) 및 벽(130)이 지지되고 이에 의해 서셉터(40)를 구동축(50)과 함께 회전가능하게 지탱한다. 숄더(140)는 플랜지(138)와 작은 접촉 면적을 부여하므로 그들간의 열접촉을 최소로 줄이며 또한 서셉터 벽(130)에서 구동축(50)으로의 열전달을 최소로 줄인다. 상향 연장 숄더(140)는 구동축(50)의 상단과 플랜지(138) 사이에 작은 갭(141)을 만들어 플랜지(138)의 내부와 구동축(50)의 상단 사이에 직접적인 열전도를 더욱 감소시킨다.
디스크(142)를 통과하여 연장하는 볼트(131)는 구동축(50)의 상단내로 삽입된다. 제 6 도의 실시예에서, 플랜지(138)에는 상향 연장 숄더(143)가 형성되어 있어서 플랜지(138)에서 디스크(142)를 이격시키고, 디스크와 플랜지간에 최소의 접촉 면적을 부여하여 열전도를 감소시키고 또한 플랜지(138)와 벽(130)과 디스크(142) 사이에 다른 갭(144)을 형성하게 된다. 이러한 숄더(143)는 제 6b 도의 변경예에서는 생략되어 있다. 서셉터(40)와 구동축(50) 사이의 추가의 열절연은 필요하면, 플랜지(138)와 구동축(50) 사이에 절연 와셔 또는 스페이서와 같은 절연체층을 제공함으로써 달성될 수도 있다. 실(145)(제 6 도에서 0 링으로, 제 6a도에서 연성 금속 실로서 도시됨)은 구동축(50)과 칼라 (136)와 서셉터 벽(130)의 플랜지(138)와의 사이에서 구동축(50) 상단부의 외측면 주위에 형성된 환상 공간(146)내에 제공된다. 디스크(142)를 통해 제공되어 있는 다수의 홀(147)은 구동축(50) 내부의 공간(75)과 서셉터(40) 내부의 공간(135)을 연통시키며 공간(135)내의 진공은 대략 1.3 KN/㎡ (10Torr)에서 유지하도록 한다.
축선(37)에서 디스크(142)의 상단으로부터 위로 돌출하는 수직 허브부(49)는 중공의 리프트 로드(62 : hollow lift rod)의 상단부가 관통하여 연장하는 내부 홀을 갖는다.
서셉터(40)의 상부는 상부 디스크(151) 및 하부 디스크(152)로 구성된 한쌍의 디스크로서 형성된 웨이퍼 지지체(150)를 포함한다.
제 6 도의 실시예에서, 하부 디스크(152)는 그 외측 모서리에서 서셉터 벽(130)과 일체로 형성된 내향 연장 지지 플랜지(153)에 지지되고, 이 플랜지의 상부면에서는 환상 채널(154)을 가지며, 이 채널은 디스크(152)의 외부림과 벽(130)과의 사이에 형성된 환상 공간(156)과 공간(135)을 격리시키기 위해 실(155)을 수용한다. 이 실시예에서 공간(156)은 원주상으로 이격된 한 세트의 도관(157)을 통해 헬륨 공급관(158)과 연통하는 헬륨 분배 채널이며, 상기 헬륨 공급관은 방사상으로 연장하여 허브(149)의 상단면 위에서 튜브(62)의 상단부와 기계적으로 연결된다. 이러한 배치에 따라, 헬륨 기체는 튜브(62)를 통해 위로 흐르며 공급관(158)을 통과하여 도관(157)을 지나 채널(156)로 흘러 들어간다. 공급관(158)은 외측 단부가 플랜지(153)에 관하여 고정되어 있는 동안에 벽(130)에 관하여 리프트 튜브(62)의 수직 운동을 허용하도록 가요성 중간부(159)를 가진다. 이 헬륨 기체는 갭(166) 바로 위에서 흐르는 반응 기체의 압력과 동일하거나 또는 그보다 약간 큰 압력을 만들기 위하여 독립적으로 조절되는 압력에서 유지된다.
또한 제 6 도의 실시예에서, 상부 디스크(151)는 하부 디스크(152)의 상단에서 지지되고, 그 위에 상부 웨이퍼 지지면(160)을 가지며, 이 웨이퍼 지지면은 시셉터 상부면(44)의 일부를 형성하고, 그 나머지 부분은 환상 서셉터 립부재(162)의 상부면(161)으로 형성되어 있다. 제 4b도 및 6도에 도시한 바와 같이, 립부재(162)는 서셉터 벽(130)의 상단에 볼트(163)로써 조여진다. 립부재(162)는, 웨이퍼(165)가 지지면(160)에 지지되어 있을때 립부재의 상부면(161)이 웨이퍼(165)의 상부면(164)과 동일한 수평면상에 놓이게 되는 그러한 형상을 갖는다. 웨이퍼(165)의 주변에 있는 작은 환상 갭(166)은 웨이퍼의 열팽창 및 웨이퍼 직경의 허용 오차를 허용하기 위하여 립부재(162)와 웨이퍼(165) 사이에 충분한 틈을 제공한다. 따라서 웨이퍼(165)에 대한 립부재(162)의 관계는 웨이퍼(165)의 표면과 서셉터(40)의 상부면(44)을 가로지르는 기체 유동에서 난류를 회피하게 만든다.
제 6 도의 실시예에서, 디스크(151)는 하부 디스크(152)의 상단에 있는 채널(172)내의 실(171)상에 착석하고, 다른 실(173)은 립부재(162)와 서셉터 벽(130) 사이에서 서셉터 벽(130)의 상단부에 위치한 채널(174)내에 제공된다.
제 6 도의 실시예의 서셉터에서, 서셉터 벽(130)과 립부재(162)를 관통하는 원형 배치된 도관(175)은 립부재(162) 아래의 상부 디스크(151)를 둘러싸는 주변 채널(176)과 헬륨 채널(156) 사이를 연통시킨다. 이 실시예는 환상 공간(156)에서부터 도관(175) 및 채널(176)을 통과하여 웨이퍼(165)의 주변에 있는 갭(166)을 통해 외부로 흐르는 헬륨 기체의 경로를 제공하여 반응 기체가 웨이퍼(165)의 주변에 있는 갭(166)으로 흐르지 않도록 방지하고 또한 반응 기체가 웨이퍼(165)의 상부면 및 립(162)의 상부면(161)을 가로지르며 외부로 원활하게 유동하도록 만든다. 립(162)의 외측 모서리(178)는 둥글게 되어 있어서 기체가 서셉터(40)의 모서리 주위로 흐를 때 난류를 더욱 회피하도록 되어 있다.
텅스텐의 블랭킷 증착과 같은 사용에 대해서, 지지체(150) 및 립(162)은 바람직하게도 NF3로 플라즈마 세척하는 동안 다른 금속보다는 스퍼터링에 더욱 잘 견디는 모넬(Monel)로 제조되어 있다. 그러한 프로세스에서, 립(162)은 사용되지 않은 반응 기체를 위한 스캔빈저로서 사용된다. 선택 증착 프로세스에 대해, 디스크(151) 및 립(162)은 증착해야할 텅스텐이 핵을 형성하지 않는 재료로 제조되는 데, 왜냐하면 웨이퍼에 인접한 서셉터 표면에서의 그 재료의 핵형성이 그 표면 부근에서 막을 블랭킷 형태로 웨이퍼에 증착시키는 원인이 되기 때문이다.
제 6 도의 실시예의 서셉터는 선택 텅스텐 증착 프로세스에 적합한 모양을 포함한다. 이 실시예의 디스크(151, 152)는 지지체상에서의 핵형성을 방지하기 위해 또한 서셉터에 웨이퍼의 정전기 부착을 위한 전하를 원조하기 위하여, 그래파이트(graphite)와 같은 절연체로 제조된다. 선택 증착에 대해서, 이 증착은 130 N/㎡ (1Torr)이하의 압력에서 프로세스를 작동시키는 것을 자주 필요로 하기 때문에, 진공 부착이 효과적이지 못할 것이다. 또한 진공 부착을 하지 않기 때문에 캐버티(176)내로 헬륨을 더욱 효과적으로 분사시킴으로써 제공되는 가장자리 세척 특징을 만들게 되고, 따라서 정교한 밀봉 기술이 없어도 이러한 특징을 헬륨 기체를 웨이퍼 아래로 흐르게 하여 웨이퍼의 진공 부착에 필요한 차압을 중화시킬 수도 있으며 또는 웨이퍼 아래와 서셉터 내부의 공간(135)내로 반응 기체의 유동을 용이하게 하는 역효과를 가질 수도 있다.
제 6 도의 실시예에서, 다수의, 바람직하게는 3개의 리프트 핀(184)이 제공되어 있는데, 각각의 핀은 서셉터(40)의 디스크(151, 152)를 통과하는 홀(181)에서 미끄럼 이동이 가능하다. 홀(181)은 핀(184)을 미끄럼 이동시키고, 그렇지 않으면 웨이퍼의 후면과 서셉터 내부의 공간(135) 사이에서 이 홀(181)을 통해 흐르는 기체의 흐름을 최소로 줄이는데 필요로 하는 것보다 더 크지 않다.
제 6b도의 실시예의 서셉터 모양은 블랭킷 텅스텐의 증착에 더욱 적합하고, 이에 따라 서셉터(40)의 나머지 구조는 이 모양의 실시예와 관련하여 설명될 것이다.
제 6b도에서 디스크(15, 152)는 모델과 같은 재료로 제조될 수 있다. 선택 사항으로서, 디스크(151)내에는 필요하면 디스크(151)와 웨이퍼(165)간에 기체의 열전도를 위해 웨이퍼(165)의 후면을 가로지르는 헬륨 기체를 분배하기 위하여 덕트(180)(일점 쇄선으로 도시됨)를 설치할 수 있다. 이러한 덕트(180)는 웨이퍼(165)의 테 주위에서 가장자리 공간(166)으로부터 멀리 떨어져 있으므로 웨이퍼 후방의 공간내로 향하는 반응 기체의 흐림이 이에 의해 강화되지는 않는다.
덕트(180)는 제 7도에 도시한 바와 같이 디스크(151)의 상부면에서 홈(groove)의 형태로 되어 있다. 이 덕트는 120°로 이격된 3세트의 방사상 홈에 의해 서로 연결되는 3개의 동심의 원형 홈(180a, 180b, 180c)을 포함하고, 또한 서셉터의 축선(37) 상에서 연결되며 가장 안쪽의 원형 홈(180a)까지 연장하는 홈(181a)과, 원형 홈(180a)과 원형 홈(180b) 중에서 중간 홈과 각각의 홀(182)을 연결시키는 방사상 홈(181b)과, 원형 홈(180b)을 가장 바깥쪽의 원형 홈(180c)과 연결시키는 방사상 홈(181c)을 포함한다.
웨이퍼(165)의 후면에 있는 기체는 과대한 크기의 수직 홀(182)을 거쳐 반응실(25)내의 압력보다 낮은 압력에서 유지되고, 상기 홀은 제 6 도의 실시예와는 달리, 리프트 핀(184)을 느슨하게 끼우며 따라서 웨이퍼(165)외 후면과 서셉터(40)내의 공간(135)을 연통시켜서 웨이퍼(165)를 지지면(160)에 진공 부착시킨다. 공간(135)을 채우는 헬륨 기체는, 공간(135)과 구동축(50) 상단의 공간(75)과를 연통시키는 디스크(142)내의 홈(147)을 통해 개별적으로 조절되는 압력에서 유지되는 헬륨이다. 헬륨은 짧은 튜브(158a)를 통해 제 6b도의 실시예와 같이 공간(135)내로 공급된다. 진공 부착 압력은 약 1.3 KN/㎡ (10Torr)에서 공간(135)내에 유지될 수 있으며, 블랭킷 텅스텐 CVD 프로세스에 대해서는 웨이퍼(165) 위에서 반응실(25)의 반응 공간내의 반응 압력은 대략 6.5 내지 7.8 KN/㎡ (50 내지 60Torr)이다.
13 내지 650 N/㎡ (0.1 내지 5.0Torr)에서 수행될 수 있는 선택 텅스텐 CVD와 같은 프로세스에서, 웨이퍼의 진공 부착 이외에 제 6 도의 실시예에 도시한 바와 같이 정전기 부착과 같은 다른 부착 수단이 바람직하지만, 웨이퍼와 디스크(151)간의 열전달을 강화하기 위하여 약간의 헬륨이 웨이퍼 뒤에서 반응실 압력이나 또는 그보다 약간 높은 압력으로서 여전히 제공되어야 한다.
제 6b도의 실시예에서, 상부 디스크(151)는 서셉터 벽(130)의 상단을 거쳐 연장하여 홈에 들어간 볼트(168)에 의해 직접 벽에 결합되며, 디스크(151)와 서셉트 벽(130) 사이에서 연성 금속의 평평한 실(169)을 압착한다. 립(162)의 변경된 형태의 립(170)은 디스크(151)의 상단에 있는 구멍에 나사머리를 넣고 볼트(168)를 덮어 씌우며, 웨이퍼(44)의 상부면(164)과 서셉터 벽(130)의 외측면(110)이 연속면을 형성하도록 평평하게 장착시킴으로써 부착된다. 이러한 형태의 립(170)은 모넬과 같은 금속 재료로 제조될때 가장 적절하다.
제 6도 및 제6b도의 양 실시예의 서셉터에서, 공급관(158) 위에서와 디스크(142)의 허브 또는 부싱(149) 바로 위에 있는 리프트 튜브(62)의 상단에 장착되어 있는 수평 테이블(183)은 리프트 튜브(62)와 함께 상하 이동한다. 테이블(183)의 주변에서부터 홀(181(제 6 도) 또는 182(제 6b 도))을 통과하여 위로 연장하는 다수의 바람직하게는 3개의 리프트 핀(184)은 상승하였을때 웨이퍼(165)의 저면과 접촉하여 지지면(160)에서 웨이퍼를 들어올리며 또는 홀(181 또는 182)(제 6 도 및 제 6b 도에 도시된 위치)내에서 하강하여 웨이퍼(165)를 지지면(160)상에 내려 놓는다. 테이블(183)의 상향 위치에서, 웨이퍼(165)는 게이트 포트(43)(제 4 도)를 통해 반응실의 내부 및 외부로 전달가능한 위치에 있을 것이며, 테이블(183)의 하향 위치에서 웨이퍼(165)는 지지면(160)상에 내려가서 처리되기 위한 위치에 있게 된다.
또한 제 6 도 및 제 6b 도의 양 실시예의 서셉터에서, 디스크(151, 152) 사이에 장착되어 있는 저항 가열기(185)는 내부 원형 요소(186), 중간 환상 요소(187) 및 외부 환상 요소(188)를 포함하고, 각 요소는 웨이퍼 지지체(150)에 있는 여러 반경 지점에서 개별적으로 제어가능한 다수의 가열기 영역을 제공한다. 제 6 도의 실시예에서, 각각의 영역은 요소(186, 187, 188)에 의해 가열되는 영역에 각각 해당하는 RTD 또는 열전대 형식의 온도 감지기(191, 192, 193)를 갖추고 있다. 각각의 요소는 스프링 장전식 전기 접점 조립체(195)(제 6 도에는 중간 요소(187)에 관하여 하나만 도시됨)를 갖추고 있다. 2개의 접점이 가열 요소(186, 187, 188)의 각각에 대해 제공된다. 상기 요소들과 감지기(191, 912, 193)를 위한 전기 전도체(198)는 구동축(50)을 통해 아래로 연장하여 슬립링(55)(제 2 도)을 거쳐 전원 및 제어 회로부와 전기적으로 접속하게 된다.
제 6b 도의 실시예에서, 열전대 방식의 3개의 온도 감지기(189)(제 6b도에서는 하나만 도시됨)는 가열기(186, 187, 188)의 홀을 통과하여 디스크(151)의 후면에 있는 홈까지 연장하며 가열기 영역의 각각을 직접 덮어 씌운다. 이러한 열전대에서 감지한 것은 가열기 제어기(도시안됨)로 복귀하여 디스크(151)에서 균일한 온도를 유지한다. 각각의 감지기(189)는 공간(135)내에서 벽(130)에 있는 브래킷에 장착된 커넥터(190)에서 구동축(150)의 도선을 통해 제어기와 연결된다. 가열기 단자의 전기 커넥터는 제 6b 도의 실시예에서 하부 디스크(152)의 하부면(129)내에 오목하게 들어간 양호한 형태(196)로서 도시되어 있으며 세라믹 나사(194)에 의해 리드(198)와 연결된다.
제 6 도 및 6b 도에 도시된 조립체 전체는 슬리브(93)를 제외하고는 500 내지 1500rpm으로 회전하여 상부면(164) 위에서 경계층의 두께를 최소로 줄이며, 프로세스 기체가 웨이퍼에 더욱 빨리 도달할 수 있게 하고 CVD 프로세스에서 나온 부산물을 웨이퍼 상부면(164)에 더욱 쉽게 빠져나갈 수 있게 한다. 이러한 흐름은 제 4 도에서 화살표(78, 197)로 도시되어 있다. 그러한 흐름은 웨이퍼(165)의 상부면(164)에서 교차할때 축선(37)의 중심(198)에서 정체점을 형성한다. 립(162)은 텅스텐이 핵형성하는 재료로 제조되어 블랭킷 증착 프로세스에서 텅스텐 헥사플루오라이드와 같은 사용되지 않은 반응 기체를 위해 스캐빈저로서의 역할을 하며, 이에 의해 반응실(25)로부터 배출되는 텅스텐 헥사플루오라이드의 양을 최소로 줄이는 실제로 상향 영역의 상부면(161)을 갖추고 있다. 상기 립(162)은 제거 가능하며, 또한 크기가 다른 웨이퍼(165)를 수용하기 위해 내경이 다른 내향 연장부(167)를 갖는 립으로 대체될 수 있다.
제 6c 도는 제 6 도 및 6b도의 서셉터와는 다른 변경예를 도시한다. 제 6c도의 실시예는 약간 변경함에도 불구하고 여러가지 면에서 제 6b도와 유사하고, 또한 제 6 도의 실시예의 웨이퍼 모서리 세척 특징을 변경한 형태를 포함한다.
제8도에 도시한 바와 같이, 제 6c도의 실시예는 제 6b도의 홈(180a, 180b, 181a, 181b)을 포함한다. 그러나 홈(181c)은 제거되어 있고, 홈(180c)은 웨이퍼(165)의 모서리 또는 테의 안쪽 위치에서만 제 6도의 환상 홈 또는 채널(176)을 기능상 대신하고 있다. 제 6c도의 실시예에서 상기 홈(181c)은 공급관(158)에 의하여 튜브(62)의 보어(72)로부터 개별적으로 조절된 기체원과 연결되고, 이 공급관은 각각 한쌍의 단단한 튜브(158a)를 거쳐 구멍이 뚫린 플러그가 갖는 각쌍의 포트(158b) 및 방사상으로 위치한 각쌍의 포트(158c)와 연통한다. 상기 기체는 예로서 홈(180a, 180b, 181a, 181b)내의 압력 통상 1.3 KN/㎡ (10Torr)보다 낮은 대략 65 내지 130 N/㎡ (0.5 내지 1.0Torr) 이상인 반응실(25)내의 압력보다 약간 높은 압력에서 공급된다. 이 기체는 헬륨과 같은 불활성 기체이거나 또는, CVD 프로세스에서 텅스텐이 도포되어 있는 경우 NF3와 같이 웨이퍼(165)와 립(162 또는 170) 사이의 공간(166)에서 형성할 수도 있는 침전물을 세척하게 될 반응 기체일 수도 있다.
추가로, 웨이퍼 표면에서 반응 기체의 최적 흐름은 기체 샤워헤드(35)와 서셉터(40)간의 틈을 변경시킴으로써 달성된다. 이를 위한 장치는 반응로 하우징(26)의 상단 모서리와 챔버 커버(27; 제 2 도)와의 사이에 스페이서 링(199)과 같은 스페이서 링을 하나이상 부가함으로써 만들어진다.
반도체 실리콘 웨이퍼에의 텅스텐의 블랭킷 및 선택 증착에 대해 위에서 설명한 모듈(10)의 작동은 본원과 동일자로 출원되고 참조로 합체되어 있는, 발명의 명칭이, "패턴된 웨이퍼 기판에의 막의 화학 증기 증착(CVD) 방법"으로서 로버트 에프. 포스터(Robert F. Foster) 및 헬렌 이. 레벤(Helen E. Rebenne) 씨의 계류중인 특허출원에 상세히 기술되어 있다.
본 발명의 상기 실시예들은 CVD 방식의 프로세서에 관한 것이지만, 본 회전 디스크 서셉터, 기체 유동, 온도 유지 및 본 발명의 기타 특징들은 다른 형식의 프로세스 특히, 웨이퍼 표면에서 증기 물질의 신속하고 균일한 수송을 필요로 하는 프로세스와 관련하여 유용하게 사용될 수 있다. 예로서, 질화티타늄막의 증착과 관련하여 탈가스화 프로세스(degassing process)는 TiN 증착전에 별개의 모듈에서 수행되는 것이 바람직하다. 그러한 프로세스에서, 예를 들어 TiN 프로세스전에 웨이퍼상에 증착된 포스포실리케이트 유리(PSG: phosphosilicate glass) 또는 보로포스포실리케이트 유리(BPSG: borophosphosilicate glass) 막내에 흡수되듯이 웨이퍼내에 흡수된 물은 웨이퍼를 가열시킴으로써 제거된다. 또한 TiN 막 증착에 이어서 염소(chlorine)는 개별 모듈에서 어닐링 프로세스에 의해 제거될 수 있다. 그러한 프로세스에서, 전술한 바와 같이 독립적으로 제공된 처리 모듈은 예로서 예열 또는 탈가스화 프로세스의 실행시에 아르곤 또는 질소 기체와 함께 사용될 수 있고, 반면에 한번더 제공된 유사 모듈은 예로서 어닐링 프로세스의 실행시에 암모니아와 함께 사용될 수 있다. 양쪽 분야에서 그러한 모듈은, CVD 프로세스에서 하던 것과 같이 기판에 재료가 첨가되는 대신에 기판에서 재료를 제거하는 것을 제외하면, 전술한 CVD 모듈과 동일한 기능을 할 것이다. 그럼에도 불구하고 본 발명의 회전 디스크 및 기타 특징의 이점은 그러한 프로세스에 적용한다. 이러한 이점은 서셉터의 회전에 의해 얇아지는 균일한 경계층을 포함하고, 다음에 물 또는 염소 제거 속도를 더 빠르게 하고 웨이퍼 표면을 가로지르는 더욱 균일한 제거 속도를 만든다. 게다가, 기체의 방사상 외향 흐름은 웨이퍼의 표면에서 물, 염소 또는 기타 물질의 세척에 기여하고, 제거 효율을 강화시킨다. 이것은 제거된 물질이 웨이퍼 표면에 재증착되지 않도록 방지한다.
탈가스화 및 어닐링 모듈에 본 발명의 원리를 적용할때, 상술한 실시예에서 CVD 적용에 필요로 하는 구조 모두를 필요로 하는 것은 아니다. 예를 들면, RF 세척 전극(80, 90)은 전원 접속부 및 전원과 마찬가지로 제거될 수도 있다. 게다가 반응실(25)의 하단에서 보통 하나의 배플이면 충분하다. 기체 공급원 및 관련 장비의 수도 적용에 필요로 하는 대로 제한될 수도 있다. 또한 그러한 프로세스가 기본적으로 열처리 프로세스이기 때문에 챔버 하우징(26)은 외부로부터 절연되는 것이 바람직하다.
상기 실시예에서 설명한 회전 서셉터로서 최적의 처리 균일성을 달성하기 위하여, 프로세스는 회전 속도가 지시한 상태하에서 작동되어야 한다. CVD 적용시에 이러한 최적화는 막의 균일성 또는 성질을 희생시키지 않고 최고의 증착률 및 반응 변환을 달성할 것이다. 이러한 상태를 만들기 위하여, 서셉터 표면에서 방사상 외부로 흐르는 기체의 총질량 유랑은 샤워헤드로부터 서셉터 표면을 향해 축선을 따라 흐르며 부딪치는 기체의 동일한 질량 유량에 의해 조화를 이루게 된다. 하향 유량은 유입 기체의 분사 속도에 의해 공급 및 제어된다. 유입 기체 유량이 너무 작으면, 서셉터는 유체를 더 원하게 되고, 반면에 유입 기체 유량이 너무 많으면 서셉터 표면 부근에서 유체가 역류하게 된다. 어느 경우에서도 속도 프로필은 서셉터 표면 부근에 균일한 경계층 두께을 부여하는 적절한 형상이 되지 않을 것이며 따라서 회전 이득이 완전하게 실현되지 않을 것이다. 주어진 온도, 압력, 유입 기체 구성 및 서셉터 회전 속도에서, 하나의 유입 기체 유량 또는 유입 기체 유량의 좁은 범위가 최적의 작동을 부여한다. 이러한 유량은 보통 상태들의 주어진 세트에 대해 "조화 유량(matched flow rate)"으로서 언급된다. 상태들은 각 프로세스와 각 반응로에 대해 이론적으로 또는 실험에 의해 결정될 수 있으며, 바람직하게는 먼저 이론을 세운 다음에 실험으로 검증하거나 양호하게 조절시키는 것이다. 블랭킷 및 선택 텅스텐 증착에 대해 유입 기체 유량은 대체로 전술한 온도, 압력, 기체 구성 및 회전 속도에 관하여 0.5slpm 내지 5.0slpm의 범위내에 들어갈 것이다. 예를들면, 블랭킷 텅스텐 증착을 위해서는, 425℃, 10.4 KN/㎡ (80Torr) 및 750RPm에 대해서 총유량 2.1slpm에서 WF60.1slpm과 H22.0slpm 이 바람직한 것으로 알려졌다. 선택 텅스텐 CVD 을 위해서는, 280℃, 650 N/㎡ (5Torr) 및 250RPm에 대해서 총유량 3.0slpm에서 SiH4는 0.1slpm, WF6는 0.15slpm, H2는 2.75slpm 이 바람직한 것으로 알려졌다. 일반적으로 온도, 회전 속도 또는 점성이 증가될때 유량은 증가되어야 하고, 또는 압력이 감소되고 다른 변수가 일정하게 유지될때 유량이 증가되어야 한다.
본 발명의 양호한 실시예에 대해 상세히 설명하였는데, 기술에 숙련된 자는 본 발명의 원리를 벗어나지 않고 변경 및 수정이 가능함을 이해할 것이다. 본 발명의 원리는 CVD에 가장 유용하고 다른 웨이퍼 처리 분야 특히, 기체에서 웨이퍼에 전달되거나 또는 웨이퍼에서 기체에 전달되어야 하는 재료의 적용 분야에 유용한 여러가지 개념을 포함한다. 전술한 실시예의 반응로의 다양한 세부 사항은 설계시 변경될 수 있고 동일 구조에 조합될 수도 있다. 예로서 하부 플라즈마 전극은 배플을 구성하는 구조체와 결합된 것을 기술되었다. 유사한 방식으로 양호한 실시예에서 별개의 구조체로서 제공된 상부 플라즈마 전극은 샤워헤드와 결합하거나 또는 합체시킬 수도 있다. 따라서 본 발명의 요지는 청구 범위에 의해서만 제한을 받게 된다.

Claims (23)

  1. 일정한 내부체적(an interior volume)을 형성하고, 상기 내부체적을 진공수준의 압력(a vacuum pressure level)으로 유지하기 위해 상기 내부체적의 한쪽 단부에 배기수단(18, 32)이 연결되어 있는 밀봉용기(26; a sealed vessel)와,
    상기 밀봉용기(26)의 내부체적내의 처리공간(25; a processing space)에서 축(37)상에 지지되어 있으며, 상기 축(37; a axis)에 대해 직각방향으로 지향된 웨이퍼 지지면(44; wafer supporting surface)을 갖는 서셉터(40; a susceptor)와,
    상기 배기수단(18, 42)으로부터 상기 서셉터(40)의 반대쪽에 있는 상기 내부 체적의 한쪽 단부에서 일반적으로 상기 축상에 중심을 두고 상기 웨이퍼 지지면(44)으로부터 이격되게 배치되어 있으며, 상기 처리공간속으로 유입되는 처리기체의 유동방향을 상기 축(37)에 평행하고 서셉터(40)의 웨이퍼 지지면(44)에 대해 직각방향으로 향하도록 지향시키기 위한 흡기수단(35; gas introduction means)과,
    하나 이상의 처리기체(processing gas)를 상기 흡기 수단(35)으로 공급되는 처리기체 공급수단(30)과,
    상기 반도체 웨이퍼의 한쪽면을 코팅처리하기 위해 상기 반도체 웨이퍼를 상기 웨이퍼 지지면에 유지하도록 상기 웨이퍼 지지면상에 중심을 두고 상기 흡기수단(35)과 대면하도록 상기 서셉터(40)에 구비된 반도체 웨이퍼 유지수단을 포함하는 반도체 웨이퍼를 처리하기 위한 반도체 웨이퍼 처리 장치(10; a semiconductor wafer processing appratus)에 있어서,
    상기 처리기체를 원활한 비난류성(non-trubulent) 유동으로 상기 흡기수단(35)으로부터 웨이퍼(165)를 가로질러 상기 서셉터를 지나서 상기 배기수단(18, 42)으로 지향시키기 위한 지향수단이 상기 축의 둘레에 균일하게 분포되어 있으며,
    상기 지향수단은 상기 웨이퍼지지면(44)상에 지지된 웨이퍼(165)의 원형 외주(a circular outer edge)에 대해 꼭 맞는 크기를 갖는 내공(an inside opening)을 갖고 상기 웨이퍼 지지면(44)을 둘러싸는 상기 서셉터(40)상의 환형 립(162, 170; an annular lip)을 포함하고,
    상기 립(162 170)은 난류를 감소시키고, 상기 웨이퍼 외주 근방에서 상기 웨이퍼(165)가 갖는 반경방향의 열구배(radial thermal gradients)를 감소시키기 위해 상기 웨이퍼(165)의 상기 표면(164)과 같은 높이로 배치된 외부 표면수단(161; outer furface means)을 구비하는 것을 특징으로 하는 반도체 웨이퍼 처리장치.
  2. 제 1 항에 있어서,
    상기 배기수단은 배기구(42; an exhaust port)를 포함하고,
    상기 장치가 상기 처리공간(25)의 내부에서 난류를 발생시키지 않고 상기 배기구(42)를 통해 상기 처리기체를 배기시키는 것을 돕기 위해 상기 서셉터의 상기 웨이퍼 지지면(44)과 상기 배기 수단(18, 42) 사이에 축방향으로 배치되어 상기 축(37)을 둘러싸는 배플수단(90, 101, 102; baffle means)을 추가로 포함하는 반도체 웨이퍼 처리장치.
  3. 제 2 항에 있어서
    상기 배플수단(90, 101, 102)은 축방향으로 이격된 복수개의 배플을 포함하며, 각각의 상기 배플은 상기 축(37)의 둘레에 환형 통로(92, 106, 107; an annular passage)를 형성하고 있는 반도체 웨이퍼 처리장치.
  4. 제 3 항에 있어서,
    상기 통로(92, 106, 107)는 상기 배기구(42)에 접근함에 따라 단면적이 감소되는 반도체 웨이퍼 처리장치.
  5. 제 4 항에 있어서,
    상기 처리공간은 용기 하우징(26; a vessel housing)에 의해 경계가 형성되어 있고,
    상기 서셉터(40)는 상기 처리공간에서 처리기체의 유동의 난류를 감소시키기 위해 매끈한 외형(a smooth exterior shape)을 갖는 외벽 수단(110; outer wall means)을 구비하고,
    상기 서셉터의 외벽수단은 상기 하우징으로부터 이격되어 상기 하우징과의 사이에 상기 통로(92, 106, 107)의 단면적보다 큰 단면적을 갖는 통로(111)를 형성하는 반도체 웨이퍼 처리장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 반도체 웨이퍼 처리장치(10)는 CVD 반응로(a CVD reactor)이고,
    상기 처리기체는 하나 이상의 반응기체(reactant gas)를 포함하는 반도체 웨이퍼 처리장치.
  7. 제 6 항에 있어서,
    상기 립(162, 170)의 표면수단(161)은 비사용 반응기체(unused reactant gas)를 위한 스캐빈저(a scavenger)로서 적용하기에 충분하도록 큰 면적을 갖고, 상기 표면수단의 재료는 그 위에 코팅(a coating)을 부착시킬 때, 상기 반응기체가 핵이될 수 있도록 하는 물질로 형성됨으로서 상기 립(162, 170)을 넘어서 상기 반응공간 내부로 흐르는 반응기체의 양을 감소시키도록 하는 반도체 웨이퍼 처리장치.
  8. 제 6 항에 있어서,
    상기 반도체 웨이퍼 처리장치가 상기 웨이퍼(165)로부터 상기 립(162, 170)과 상기 웨이퍼 외주의 사이로 반응기체가 유동하는 것을 방지함으로서 상기 웨이퍼(165)상의 외주와 하부 가장자리(bottom margin)상에 부착되는 양을 감소시키도록 비반응 기체(non-reactive gas)를 상기 립(162, 170)과 상기 웨이퍼(165)의 외주 사이로 유입시키는 비반응 기체 유입수단(156 내지 158, 175, 176)을 추가로 포함하는 반도체 웨이퍼 처리장치.
  9. 제 6 항에 있어서,
    상기 웨이퍼 유지수단이 상기 웨이퍼를 상기 서셉터(40)에 대해 유지하는 것을 용이하게 하기 위하여 상기 웨이퍼(165)와 상기 웨이퍼 지지면(44)의 사이로 비반응 기체를 유입시키고 상기 웨이퍼(165)와 상기 웨이퍼 지지면(44)의 사이에서 상기 반응공간에서의 압력보다 낮은 압력인 진공압력(a vacuum pressure)을 유지시키는 비반응 기체 유입수단(70 내지 74, 117 내지 121, 123)을 포함하는 반도체 웨이퍼 처리장치.
  10. 제 9 항에 있어서,
    상기 웨이퍼(165)와 상기 웨이퍼 지지면(44)의 사이에 있는 비반응 기체가 상기 웨이퍼(165)와 상기 웨이퍼 지지면(44) 사이에서 기체전도식(gas conduction) 열전달이 이루어지기에 충분한 압력으로 유지되게 하는 반도체 웨이퍼 처리장치.
  11. 제 6 항에 있어서,
    상기 웨이퍼(165)와 상기 웨이퍼 지지면(44) 사이의 기체 전도식 열전달을 일으키기에 충분한 압력으로 비반응 기체를 상기 웨이퍼와 상기 웨이퍼 지지면의 사이로 유입시키는 비반응 기체 유입수단(70 내지 74, 117 내지 121, 123)을 추가로 포함하는 반도체 웨이퍼 처리장치.
  12. 제 9 항에 있어서,
    상기 웨이퍼(165)와 상기 웨이퍼 지지면(44)의 사이에 있는 비반응 기체가 상기 웨이퍼(165)와 상기 웨이퍼 지지면(44) 사이에서 기체전도식 열전달이 이루어지는 압력을 넘지 않으면서 130N/㎡(1 토르) 이상의 압력으로 유지되게 하는 반도체 웨이퍼 처리장치.
  13. 제 8 항에 있어서,
    상기 비반응 기체 유입수단(70 내지 74, 117 내지 121, 123, 147, 156 내지 158, 158a, 175, 176)이 헬륨기체의 공급원을 포함하는 반도체 웨이퍼 처리장치.
  14. 제 1 항에 있어서,
    상기 서셉터의 립(162, 170)이 상기 처리공간에서의 난류를 감소시키기 위한 둥근 외주테수단(178; a rounded circular outer rim means)을 갖는 반도체 웨이퍼 처리장치.
  15. 제 14항에 있어서,
    상기 서셉터 립(162, 170)이 상기 서셉터(40)에 대해 분리 가능하게 부착된 반도체 웨이퍼 처리장치.
  16. 제 1 항에 있어서,
    상기 립은 상기 웨이퍼 지지면상에 지지된 제 1 크기의 웨이퍼의 원형 외주에 꼭 맞는 크기를 갖는 내공(an inside opening)을 갖고,
    상기 반도체 웨이퍼 처리장치는 상기 제 1 크기와 다른 제 2 크기의 웨이퍼의 원형 외주에 꼭 맞는 크기를 갖는 내공을 추가로 포함하여 상기 서셉터(40)가 다른 크기의 웨이퍼를 수용할 수 있도록 하며,
    상기 립(162, 170)은 상기 서셉터(40)에 대해 분리가능하고, 교체가능하게 부착될 수 있는 반도체 웨이퍼 처리장치.
  17. 일정한 내부체적을 형성하고, 서셉터 설치부(50; a susceptor mount)를 포함하는 밀봉식 하우징(a sealed housing)을 구비하고, 상기 내부체적을 진공수준의 압력으로 유지하기 위해 상기 내부 체적의 한쪽 단부에 배기수단(18, 32)이 연결되어 있는 밀봉용기(26)와,
    상기 밀봉용기의 내부체적내의 처리공간(25)에서 상기 서셉터 설치부(50)상에 지지되어 있으며, 웨이퍼 지지면(44)을 갖는 서셉터(40)를 포함하는 반도체 웨이퍼를 처리하기 위한 반도체 웨이퍼 처리장치에 있어서,
    상기 지지면(44)상에 유지된 웨이퍼(165)를 처리온도(processing temperatured)로 가열하는 가열수단(185)과 상기 서셉터(40)와 상기 서셉터 설치부(50)나 상기 하우징(26)의 사이의 열흐름(the flow of heat)을 방지하기 위한 열흐름 방지 수단(110, 130, 132, 136, 138, 140, 142, 143)을 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리장치.
  18. 제 17 항에 있어서,
    상기 서셉터(40)가 서셉터벽(130)에 의해 경계가 형성된 내부를 갖는 반도체 웨이퍼 처리장치.
  19. 제 18 항에 있어서,
    상기 서셉터가 중공의 내부(a hollow interior)를 갖고,
    상기 서셉터벽(130)의 내부(132)는 상기 서셉터의 웨이퍼 지지면(44)으로 및 상기 서셉터 설치부(50)로의 열전달을 감소시키기 위해 반사성이 큰 표면수단을 갖는 반도체 웨이퍼 처리장치.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 서셉터벽의 외부(110)가 상기 서셉터(40)로부터의 열방사(radiation of heat)를 증대시킴으로서 상기 웨이퍼 지지면(44)과 상기 서셉터 설치부(50) 사이의 열전달을 감소시키기 위해 반사성이 작은 표면수단을 갖는 반도체 웨이퍼 처리장치.
  21. 제 20 항에 있어서,
    상기 서셉터벽(130)이 상기 웨이퍼 지지면(40)과 상기 서셉터 설치부(50)간의 열전달을 감소시키기 위해 얇게 형성된 반도체 웨이퍼 처리장치.
  22. 제 21 항에 있어서,
    상기 서셉터 설치부(50)가 상기 서셉터벽(130)상의 제 1 설치 구조체(136, 138, 143)와 상기 서셉터 설치부(50)에 대해 부착된 제 2 설치 구조체(140, 142)를 포함하며,
    상기 제 1 및 제 2 설치 구조체는 서로 접촉하고 있으며, 상기 제 2 설치 구조체는 상기 제 1 및 제 2 설치 구조체의 내면에서 작은 열접촉면(a small thermal contact surface)을 갖게 하도록 감소된 단면적을 갖는 반도체 웨이퍼 처리장치.
  23. 제 22 항에 있어서,
    상기 서셉터 설치부(50)에 대해 상기 서셉터(40)를 부착하고, 상기 웨이퍼 지지면(44)과 상기 서셉터 설치부(50)간의 열전달을 줄이도록 열차단부(a thermal block)를 제공하기 위해 상기 서셉터(40)와 상기 서셉터 설치부(50)간의 열전달성이 작은 설치수단(136, 138 140, 142, 143)을 포함하는 반도체 웨이퍼 처리장치.
KR1019940704608A 1992-06-15 1993-06-11 열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치 KR100277807B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US898,800 1992-06-15
US07/898,800 US5356476A (en) 1992-06-15 1992-06-15 Semiconductor wafer processing method and apparatus with heat and gas flow control
PCT/US1993/005656 WO1993026038A1 (en) 1992-06-15 1993-06-11 Semiconductor wafer processing method and apparatus with heat and gas flow control

Publications (1)

Publication Number Publication Date
KR100277807B1 true KR100277807B1 (ko) 2001-01-15

Family

ID=25410052

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940704608A KR100277807B1 (ko) 1992-06-15 1993-06-11 열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치

Country Status (9)

Country Link
US (1) US5356476A (ko)
EP (1) EP0646285B1 (ko)
JP (1) JP3051941B2 (ko)
KR (1) KR100277807B1 (ko)
AU (1) AU4535393A (ko)
CA (1) CA2136863A1 (ko)
DE (1) DE69321954T2 (ko)
TW (1) TW310447B (ko)
WO (1) WO1993026038A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190050256A (ko) 2017-11-02 2019-05-10 인베니아 주식회사 기판 처리장치용 가스 공급유닛 및 이의 제어방법

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875726B2 (ja) * 1993-10-28 1999-03-31 新日本無線株式会社 化合物半導体の熱処理方法
US5378501A (en) * 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5883778A (en) * 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
US6022806A (en) * 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US6544357B1 (en) * 1994-08-01 2003-04-08 Franz Hehmann Selected processing for non-equilibrium light alloys and products
US5648113A (en) * 1994-09-30 1997-07-15 International Business Machines Corporation Aluminum oxide LPCVD system
US5614247A (en) * 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5938943A (en) 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
US5635244A (en) * 1995-08-28 1997-06-03 Lsi Logic Corporation Method of forming a layer of material on a wafer
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6053982A (en) * 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6110844A (en) * 1995-09-29 2000-08-29 Sandia Corporation Reduction of particle deposition on substrates using temperature gradient control
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
DE69815163T2 (de) * 1997-01-24 2004-05-06 Applied Materials, Inc., Santa Clara Verfahren und Vorrichtung zur Abscheidung von Titanschichten
KR19980071011A (ko) * 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6190113B1 (en) * 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP3844274B2 (ja) * 1998-06-25 2006-11-08 独立行政法人産業技術総合研究所 プラズマcvd装置及びプラズマcvd方法
US6957690B1 (en) 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
US6108937A (en) 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
KR100634642B1 (ko) 1998-11-20 2006-10-16 스티그 알티피 시스템즈, 인코포레이티드 반도체 웨이퍼의 급속 가열 및 냉각 장치
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP3965258B2 (ja) * 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6461801B1 (en) 1999-05-27 2002-10-08 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
US6395095B1 (en) 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP3556882B2 (ja) * 2000-05-10 2004-08-25 東京エレクトロン株式会社 塗布現像処理システム
JP3630073B2 (ja) * 2000-05-17 2005-03-16 セイコーエプソン株式会社 半導体装置の製造方法
US20010035403A1 (en) 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6896929B2 (en) * 2001-08-03 2005-05-24 Applied Materials, Inc. Susceptor shaft vacuum pumping
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20050211167A1 (en) * 2002-06-10 2005-09-29 Tokyo Electron Limited Processing device and processing method
KR101018259B1 (ko) 2002-09-10 2011-03-03 액셀리스 테크놀로지스, 인크. 일정 온도 척을 사용하여 가변 온도 프로세스로 기판을 가열하는 방법
US8366830B2 (en) * 2003-03-04 2013-02-05 Cree, Inc. Susceptor apparatus for inverted type MOCVD reactor
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7026581B2 (en) * 2003-08-22 2006-04-11 Axcelis Technologies, Inc. Apparatus for positioning an elevator tube
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
WO2005109486A1 (en) * 2004-05-12 2005-11-17 Viatron Technologies Inc. System for heat treatment of semiconductor device
JP2006179613A (ja) * 2004-12-21 2006-07-06 Rigaku Corp 半導体ウエハ縦型熱処理装置用磁性流体シールユニット
CN101583620B (zh) 2005-11-28 2016-08-17 马里纳斯医药公司 加奈索酮组合物及其制备和使用方法
DE102006042501B4 (de) * 2006-09-07 2010-11-25 Eisenmann Anlagenbau Gmbh & Co. Kg Verfahren und Anlage zum Trocknen von Gegenständen
KR100826502B1 (ko) * 2006-09-18 2008-05-02 삼성전자주식회사 반도체 제조장치
EP2109517B8 (en) * 2007-02-01 2012-03-21 Conex Universal Limited Insertion and release tool for pipe fitting arrangement and method using such tool
KR101480738B1 (ko) * 2007-04-27 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 환형 배플
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101560138B1 (ko) * 2008-06-24 2015-10-14 어플라이드 머티어리얼스, 인코포레이티드 저온 pecvd 애플리케이션을 위한 받침대 히터
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US9633889B2 (en) * 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
US10351956B2 (en) * 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
DE102014109196A1 (de) * 2014-07-01 2016-01-07 Aixtron Se Vorrichtung zum Erzeugen eines Dampfes aus einem festen oder flüssigen Ausgangsstoff für eine CVD- oder PVD-Einrichtung
JP6356516B2 (ja) * 2014-07-22 2018-07-11 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
ITUA20161980A1 (it) 2016-03-24 2017-09-24 Lpe Spa Suscettore con substrato trattenuto mediante depressione e reattore per deposizione epitassiale
EP4233861A3 (en) 2016-08-11 2023-10-11 Ovid Therapeutics, Inc. Compositions for treatment of essential tremor
US11004704B2 (en) 2017-03-17 2021-05-11 Applied Materials, Inc. Finned rotor cover
US10704142B2 (en) 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
US11427912B2 (en) * 2018-06-25 2022-08-30 Applied Materials, Inc. High temperature rotation module for a processing chamber
TWI709203B (zh) * 2018-09-11 2020-11-01 大陸商北京北方華創微電子裝備有限公司 腔室冷卻裝置及半導體加工設備
US11266662B2 (en) 2018-12-07 2022-03-08 Marinus Pharmaceuticals, Inc. Ganaxolone for use in prophylaxis and treatment of postpartum depression
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
CA3145923A1 (en) 2019-08-05 2021-02-11 David Czekai Ganaxolone for use in treatment of status epilepticus
US11772058B2 (en) 2019-10-18 2023-10-03 Taiwan Semiconductor Manufacturing Company Limited Gas mixing system for semiconductor fabrication
EP4072559A4 (en) 2019-12-06 2023-06-21 Marinus Pharmaceuticals, Inc. GANAXOLONE FOR USE IN THE TREATMENT OF TUBEROUS SCLEROSIS COMPLEX
US11972957B2 (en) * 2020-07-31 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gas flow accelerator to prevent buildup of processing byproduct in a main pumping line of a semiconductor processing tool
CN113652645B (zh) * 2021-08-05 2023-08-11 江苏微导纳米科技股份有限公司 一种旋转镀膜设备
CN115478262B (zh) * 2022-09-19 2023-11-10 拓荆科技股份有限公司 晶圆承载结构、热力学原子层沉积设备及薄膜制备方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
JPS60137016A (ja) * 1983-12-26 1985-07-20 Mitsubishi Electric Corp 成膜装置
FR2566808B1 (fr) * 1984-06-27 1986-09-19 Mircea Andrei Procede et reacteur de croissance epitaxiale en phase vapeur
US4649859A (en) * 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
US4807562A (en) * 1987-01-05 1989-02-28 Norman Sandys Reactor for heating semiconductor substrates
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US4996942A (en) * 1987-03-31 1991-03-05 Epsilon Technology, Inc. Rotatable substrate supporting susceptor with temperature sensors
JPH02295116A (ja) * 1989-05-10 1990-12-06 Mitsubishi Electric Corp 半導体製造装置
EP0403293B1 (en) * 1989-06-16 1995-12-06 Kabushiki Kaisha Toshiba Method of manufacturing III-V group compound semiconductor device
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5173336A (en) * 1991-01-22 1992-12-22 Santa Barbara Research Center Metal organic chemical vapor deposition (MOCVD) reactor with recirculation suppressing flow guide
GB2255857A (en) * 1991-05-13 1992-11-18 Integrated Plasma Ltd Plasma deposition and etching of semiconductor substrates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190050256A (ko) 2017-11-02 2019-05-10 인베니아 주식회사 기판 처리장치용 가스 공급유닛 및 이의 제어방법

Also Published As

Publication number Publication date
JPH07508132A (ja) 1995-09-07
DE69321954D1 (de) 1998-12-10
EP0646285A1 (en) 1995-04-05
TW310447B (ko) 1997-07-11
DE69321954T2 (de) 1999-03-25
CA2136863A1 (en) 1993-12-23
AU4535393A (en) 1994-01-04
US5356476A (en) 1994-10-18
EP0646285B1 (en) 1998-11-04
JP3051941B2 (ja) 2000-06-12
WO1993026038A1 (en) 1993-12-23

Similar Documents

Publication Publication Date Title
KR100277807B1 (ko) 열흐름과 기체유동을 제어한 반도체웨이퍼처리방법 및 장치
KR100313309B1 (ko) 온도제어식프로세스를실시하는방법및반도체웨이퍼의처리장치
US5273588A (en) Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP3178824B2 (ja) 複合形単一ウエーハ用の高生産性形マルチステーシヨン方式処理装置
US4709655A (en) Chemical vapor deposition apparatus
US8123860B2 (en) Apparatus for cyclical depositing of thin films
US6159299A (en) Wafer pedestal with a purge ring
EP0467624B1 (en) Apparatus for and method of backside protection during substrate processing
KR100445018B1 (ko) 고종횡비 실리콘 반도체 디바이스 콘텍트들을 금속화하는 방법 및 장치
JP2642005B2 (ja) 基板支持装置
EP0850323B1 (en) Method and apparatus for cold wall chemical vapor deposition
US20030019428A1 (en) Chemical vapor deposition chamber
JP2004534905A (ja) チタン化学気相堆積用リアクタ
WO1999007915A1 (en) Mini-batch process chamber
WO2009009607A1 (en) Apparatus and method for processing a substrate edge region
JPS63144513A (ja) バレル型エピタキシヤル成長装置
US6254687B1 (en) Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
US5575856A (en) Thermal cycle resistant seal and method of sealing for use with semiconductor wafer processing apparatus
KR101395222B1 (ko) 기판 처리 장치 및 방법
KR20140037906A (ko) 기판 처리 장치 및 방법
JPH0533811B2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101012

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee