JP2642005B2 - 基板支持装置 - Google Patents

基板支持装置

Info

Publication number
JP2642005B2
JP2642005B2 JP3201316A JP20131691A JP2642005B2 JP 2642005 B2 JP2642005 B2 JP 2642005B2 JP 3201316 A JP3201316 A JP 3201316A JP 20131691 A JP20131691 A JP 20131691A JP 2642005 B2 JP2642005 B2 JP 2642005B2
Authority
JP
Japan
Prior art keywords
platen
gas
substrate
wafer
shield
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3201316A
Other languages
English (en)
Other versions
JPH04233221A (ja
Inventor
エバーハーダス・ピー・バンデ・バン
エリオット・ケイ・ブロードベント
ジェフリー・シー・ベンジング
バリー・エル・チン
クリストファー・ダブリュ・バークハート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/554,225 external-priority patent/US5230741A/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JPH04233221A publication Critical patent/JPH04233221A/ja
Application granted granted Critical
Publication of JP2642005B2 publication Critical patent/JP2642005B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体の加工技術に関
し、より詳細に言えば、半導体の加工作業中に於けるウ
エハの或る特定部分の保護に関する。
【0002】
【従来の技術】化学蒸着(「CVD」)は、半導体工業
に於て集積回路基板の上にフィルム即ち薄膜として知ら
れる材料の薄い膜層を形成するために一般に使用されて
いる。このCVD法は、選択ガスの熱、プラズマ、また
は熱及びプラズマ分解及び反応を基礎としている。絶縁
体及び誘電体、半導体、導体、超伝導体、及び磁性体に
適当なCVD薄膜としては様々なものが周知されている
にも拘らず、最も広範に使用されているCVD薄膜は二
酸化ケイ素、窒化ケイ素及びポリシリコンである。
【0003】CVD薄膜は微粒子の汚染から保護しなけ
ればならない。タングステン、ケイ化タングステン及び
窒化チタンのような金属または他の導体の化学蒸着に於
ける特に厄介な微粒子の発生源は、一定の条件下でウエ
ハの裏側に形成される薄膜である。例えば、ウエハの裏
側が成膜時に全く保護されずまたは十分に保護されてい
ない場合、CVD材料の被膜が部分的に前記ウエハ裏側
に形成される。このような部分的な被膜は、或る種類の
材料では容易に剥がれて薄片化する傾向があり、成膜時
及びその後の処理過程に於て反応室内に微粒子が持ち込
まれることになる。
【0004】ウエハ裏面への材料の被着の問題を解消す
るために様々な方法が開発されている。或る方法によれ
ば、前記材料がウエハ裏面に被着するのは構わないが、
その場合には成膜工程の直後にその場でプラズマエッチ
ングを用いて除去するようになっている。この方法で
は、追加の処理工程が必要であり、かつ追加の設備能力
が要求されると共に、ウエハの平面性に影響がある。別
の方法では、CVDガスから裏側領域を密封しかつ絶縁
する目的で、ウエハを基板ホルダに把持する。実際上十
分なシール作用を達成することは困難であり、かつ把持
手段とウエハ自体との間の機械的な動作によって微粒子
が生じる。
【0005】更に別の方法が、イトウ(Itoh)の米国特
許第4,817,558号、1989年4月4日発行の
明細書に開示されている。円筒形状をなす基板支持部材
が、ウエハを載置する平坦な支持面に設けられている。
3個のピンが前記支持面の周縁部分から突出している。
シールドの側壁がカバーによって反応ガスから絶縁さ
れ、かつそれには更に基板の高さ位置で該基板の周囲を
包囲する上方の湾曲した領域が設けられている。この上
方の湾曲した領域がウエハの側面にある反応ガスを捕集
し、それによって薄膜のウエハ裏面への被着が防止され
ることが記載されている。
【0006】
【課題を解決するための手段】本発明によれば、基板裏
面への物質の好ましくない被着が低減される。或る実施
例では受台ベースと、該受台ベース上に取付けられ、か
つその上面の周辺領域に形成されたガス溝と該上面の内
部領域に形成された真空溝とを有するプラテンと、前記
真空溝と一体をなす真空管路と、前記ガス溝と一体をな
すガス管路とを備える。
【0007】別の実施例では、本発明は、受台ベース
と、該受台ベース上に取付けられかつそれと共に閉鎖空
間を形成するプラテンとを備える。加熱要素が前記閉鎖
空間内に配置され、かつ該閉鎖空間内に加圧されたガス
を導入するためにオリフィスが設けられている。プラテ
ンの上面周辺領域にはガス溝が形成され、かつ該ガス溝
と一体をなすガス管路が前記プラテンの中を貫通して前
記閉鎖空間内に延長している。
【0008】ウエハの裏面、縁部及び表面周辺部への物
質の好ましくない被着を防止するための上述した利点を
有する別の実施例では、第2表面領域によって閉鎖され
る第1表面領域を有するシールドが付加されている。前
記第2表面領域が前記プラテン周辺領域の連続部分と接
触するようになっているのに対して、前記第1表面領域
は前記周辺領域の一部分と共働してキャビティを郭定す
るようになっている。前記キャビティは前記プラテンに
装着された基板の裏側周辺領域、縁部及び表側周辺領域
を包み込むようになっている。前記プラテンに対して前
記シールドを当接させるための手段が設けられる。
【0009】本発明の別の実施例では、プラテンが、該
プラテンの周辺表面領域に対して基板裏側の周辺部を十
分には密閉し得ない基板保持手段を有する。前記プラテ
ンの周辺表面領域の上に背面ガスを導入するために、前
記プラテン周辺表面領域にガス分散手段が配置されてい
る。前記プラテン周辺表面領域の閉鎖接触部分と接触す
るように閉鎖接触下部を有するシールドが設けられてい
る。このシールドの接触下部が、基板の表側周辺部に対
応する幅と基板の厚さに対応する深さとを有する底部を
包囲している。前記シールドを各接触部分に沿って前記
プラテンと係合するように選択的に降下させるために位
置決め手段が設けられている。
【0010】更に別の実施例では、基板が保持され、か
つ反応ガスとキャリアガスとの混合物からなるプロセス
ガスが所定の圧力で反応室内に導入される。反応ガスと
不活性ガスとの混合物からなる背面ガスが基板裏側の周
辺部に導入され、かつ基板裏側周辺部に於ける圧力に反
応室内の圧力との間で正の圧力差が維持される。その実
施例の変形例では、プロセスガスがWF6 の生成反応物
と、水素の反応ガスと、アルゴンまたは不活性ガスの混
合物からなるキャリアガスとからなるのに対して、前記
背面ガスが水素の反応ガスとアルゴンまたは不活性ガス
の混合物からなる不活性ガスとからなる。本実施例の更
に別の変形例では、基板裏側の周辺部、基板の縁部及び
基板表側の周辺部を収容するようにされたキャビティの
中に前記背面ガスが送給される。同様に、前記キャビテ
ィ内部には前記反応室との間に正の圧力差が維持され
る。
【0011】
【実施例】以下、本発明の好適実施例を添付の図面につ
いて詳しく説明する。
【0012】高圧化学蒸着(「CVD」)装置の反応室
が、図1の上面図及び図2の側面図に概略図示されてい
る。反応室2はロードロック室1に連通し、そこから処
理されるべきウエハが反応室2内に導入され、かつその
中に処理されたウエハを反応室2から受け入れるように
なっている。反応室2内には、5個のウエハ処理ステー
ション4a〜4eとウエハロード/アンロードステーシ
ョン5とが設けられている。
【0013】室内のガスは通気ポート6a〜6fを介し
て排気される。反応室2内でステーションからステーシ
ョンにウエハを移動させるためのシステムがピンリフト
・プラットホーム8a〜8c及びウエハ運搬機構10を
有する。また、図2には、当業者にとって周知の構造か
らなる真空排気ポート24、スピンドルリフト/回転機
構26及びピンリフト機構28が示されている。
【0014】ウエハ処理ステーション4b〜4dが図2
の側面図により詳細に示されている。例えば処理ステー
ション4cは、処理されるべきウエハの上に単一のプロ
セスガスまたは混合プロセスガスを導入するためのガス
分散ヘッド12cと、処理されるべき前記ウエハを支持
するためのプラテン14cと、プラテン14cを加熱し
かつ処理されるべきウエハに熱を間接的に供給するため
のヒータを有する受台ベース16cと、ピン20c、2
1c、22c(図示せず)に関連してウエハ運搬機構1
0の動作に合わせて処理されるべき前記ウエハを昇降さ
せるためのピンリフト・プラットフォーム8bとを備え
る。同様に、処理ステーション4bは、ガス分散ヘッド
12bと、プラテン14bと、受台ベース16bと、ピ
ン20b、21b、22bと共働するピンリフト・プラ
ットフォーム8aとを備える。同様に、プロセスステー
ション4dは、ガス分散ヘッド12dと、プラテン14
dと、受台ベース16dと、ピン20d、21d、22
d(図示せず)と共働するピンリフト・プラットフォー
ム8bとを有する。
【0015】受台ベース16b、16c、16dの例示
として図3乃至図5に受台ベース100が詳細に示され
ている。図3の上面図及び図5の底面図に於て、最も顕
著な特徴がベースプレート102である。ベースプレー
ト102はアルミニウムで形成されている。他の適当な
材料には、品質を低下させることなく反応プロセス環境
内で使用し得るステンレス鋼及びニッケルを含む一定の
金属またはセラミック合金が含まれる。
【0016】3個の孔105a〜105c及びベースプ
レート102から突出するスペーサスリーブ104a〜
104cが、図示されるプラテンアセンブリ200(図
6)を受台ベース100に結合させるために使用される
図示されないねじを受容するようになっている。スペー
サスリーブ104a〜104cの外径は7.87mm
(0.31インチ)であり、かつスペーサスリーブ10
4a〜104cの上端はベースプレート102の底面か
ら10.41mm(0.41インチ)の高さである。ベー
スプレート102を貫通する他の3個の孔107a〜1
07c及び割出しスリーブ106a〜106cは、例え
ば図2のピン20c、21c、22cのようなウエハリ
フティングピンを受容するために4.83mm(0.19
インチ)の直径を有する。スリーブ106a〜106c
は直径が7.87mm(0.31インチ)であり、かつプ
ラテン200(図8及び図9)の各孔224a〜224
cに係合するようにベースプレート102の上面から1
9.05mm(0.75インチ)の高さを有する。
【0017】孔107は直径が4.83mm(0.19イ
ンチ)であり、かつその対応するスリーブ106に対し
て必要に応じて同心に設けることもできるが、偏心され
ている。ベースプレート102には、プラテン200を
受容するべく環状溝108が設けられており、プラテン
200と受台ベース100との間を密封するようになっ
ている。溝108の上端はベースプレート102の底面
から10.41mm(0.41インチ)の高さにある。
【0018】受台110及び受台取付ブロック112が
図4に示されている。受台110は筒状のアルミニウム
または他の適当な材料で形成され、その外周に於てベー
スプレート102の底部に設けられた円形開口に溶接さ
れている。環状取付ブロック112も同様にアルミニウ
ムまたは他の適当な材料からなり、かつ適当な手法で反
応室の床面に取付けるために、ピンホール114a〜1
14f及び割出しピン116a、116bが設けられて
いる。取付ブロック112は受台110の中に挿入して
溶接される。
【0019】プラテン200の実施例が図6乃至図8に
示されている、プラテン200の主要な構成要素は、ア
ルミニウムまたはステンレス鋼のような適当な他の材料
からなる円形ブロック202である。上面図に示される
ように、プラテンブロック202の上面には、放射方向
に真空溝206a〜206hが延出する中央オリフィス
で表される真空管路204が設けられている。真空管路
204は直径が6.35mm(0.25インチ)であり、
かつプラテンブロック202の中を貫通している。放射
方向溝206a〜206hは断面が矩形であるが、同様
に他の適当な形状とすることができ、かつ深さが1.5
2mm(0.06インチ)で幅が1.52mm(0.06イ
ンチ)である。8個の放射方向溝206a〜206hが
互いに45度の角度で一定間隔で配置されている。
【0020】放射方向溝206a〜206hは、それら
と同様に矩形の断面を有しかつ深さが1.52mm(0.
06インチ)で幅が1.52mm(0.06インチ)であ
る同心の環状真空溝208a、208bと交差してい
る。内側の環状溝208aの外径は35.8mm(1.4
1インチ)であり、かつ外側の環状溝208bの外側半
径は61.0mm(2.40インチ)である。環状ガス溝
210がプラテンブロック202の上面の周辺領域に設
けられている。環状溝210は断面が矩形であり、2.
29mm(0.09インチ)及び12.7mm(0.50イ
ンチ)の深さを有する。ガス溝210の外側半径は6
7.1mm(2.64インチ)である。
【0021】本例でのガス分配手段を構成するガス溝2
10は、最も外側の環状真空溝208bの外側にあるプ
ラテンブロック202の上面の周辺領域209内に設け
られている。図7に示されるように、周辺領域209の
部分211はプラテンブロック202の上面に関して凹
んでいる。図7に示される凹みは0.25mm(0.0
1インチ)である。周辺領域209の他の形状として
は、プラテンブロック202の上面と同じ高さまたはそ
れよりも高い部分211を有する場合及び、処理される
べきウエハの直径より僅かに大きい環状の突出部の形態
をなすバッファが提供される場合がある。
【0022】ガス溝210は、処理されるべき前記ウエ
ハの裏側にガスを分配するために放射方向のガス管路2
12a〜212jからなるネットワークと交差してい
る。放射方向ガス管路212a〜212jは図6に破線
で図示され、かつ図7にはその一部が断面図示されてい
る。ガス管路212a〜12jは、プラテンブロック2
02内に36度の間隔で放射方向に分岐されている。1
0個のガス管路212a〜212jの各孔は直径が3.
30mm(0.13インチ)であり、プラテンブロック2
02の垂直な縁端部のプラテンブロック202上面から
孔の中心線まで2.79mm(0.11インチ)の位置を
始点として、プラテンブロック202上面から20度の
角度をなし、かつプラテンブロック202の底面から延
長するガス管路216a〜216jの10個の垂直孔
(図9)の対応する各1個とそれぞれに交差するように
プラテンブロック202内に十分に延長している。
【0023】ガス管路212a〜212jは、それぞれ
栓218a〜218j(例えば図7の栓218d及び2
18iを参照)で閉塞され、該栓はプラテンブロック2
02の垂直な縁端部からガス溝210の僅か手前まで1
9.05mm(0.75インチ)延長している。栓218
a〜218jは前記孔内に摺合され、かつプラテンブロ
ック202の外面で溶接されている。ガス管路216a
〜216jの前記孔の直径は3.30mm(0.13イン
チ)である。
【0024】図2に示される20c、21c及び22c
のようなウエハリフトピンを受容する孔107a〜10
7cに背面ガスを供給するために、第2の放射ガス管路
214a〜214cのネットワークがプラテンブロック
202内に穿設されている。放射ガス管路214a〜2
14cは図6に於て破線で示され、かつ図8にはその一
部が断面図示されている。3個のガス管路214a〜2
14cの各孔は直径が3.30mm(0.13インチ)で
あり、プラテンブロック202の垂直な縁端部に於てプ
ラテンブロック202の上面から孔の中心線に19.3
mm(0.76インチ)の距離の位置を始点とし、プラテ
ンブロック202の上面と平行をなし、かつプラテンブ
ロック202の底面から延長する(図9)ガス管路22
0a〜220cに対応する3個の垂直孔のそれぞれと交
差するようにプラテンブロック202内に延長してい
る。
【0025】ガス管路214a〜214cはそれぞれ栓
220a〜220cで閉塞され(例えば図8の栓222
aを参照)、該栓はプラテンブロック202の垂直な外
縁部から各ガス管路220a〜220cの僅か手前まで
19.05mm(0.75インチ)延長している。栓22
2a〜222cは前記孔に摺合され、かつプラテンブロ
ック202の外側に於て溶接されている。ガス管路22
0a〜220cの前記孔の直径は1.52mm(0.06
インチ)である。
【0026】図2に示される20c、21c及び22c
のようなウエハリフトピンを受容する孔107a〜10
7cは直径が4.83mm(0.19インチ)であり、
かつプラテンブロック202を貫通している。プラテン
ブロック202の前記底面に向けて、107a〜107
cはそれぞれ割出し孔224a〜224cに結合してい
る。割出し孔224a〜224cは直径が7.87mm
(0.31インチ)であり、受台ベース100の割出し
スリーブ106a〜106cをそれぞれ受容するように
なっている(図3)。孔107a〜10cの中心軸は
それぞれ孔224a〜224cの中心軸から偏心して、
孔107a〜107cとスリーブ106a〜106cと
の偏心率を調整している。
【0027】また、プラテン200は、プラテンブロッ
ク202の中心に穿孔された直径6.35mm(0.25
インチ)の孔である真空管路204を有する。プラテン
ブロック202の底面に向けて、真空管路204は前記
プラテンに溶接されたアルミニウム製のプレス嵌め取付
具226を受容する孔に結合している。取付具226
は、一体的に溶接されたアルミニウム管228を受容す
るためにその全長に亘って設けられた溝を有する。その
反対側の端部には、管228が適当なアルミニウム製真
空継手230に溶接されている。
【0028】プラテンブロック202の底部には、図1
0に示される要素300のような加熱要素を受容するた
めに渦巻溝232が設けられている。溝232はプラテ
ン200に熱を均一に分散させるように不定間隔で設け
られており、かつ加熱要素300を受容するように適当
な大きさに形成されている。加熱要素300は適当な軟
質の熱伝導性材料で形成され、プラテンブロック202
内に摺合されまたは圧入により嵌合される。その嵌合い
の抵抗及び前記渦巻によって生じる放射方向の力の作用
によって、溝232内に加熱要素300が固定的に保持
される。
【0029】受台ベース100はプラテン200に対し
て、ベースプレート102の上部から延長する割出しス
リーブ106a〜106cをプラテンブロック202の
底部の割出し孔224a〜224cと整合させ、かつプ
ラテンブロック202の底部から延長する環状フランジ
234がベースプレート102内の環状溝236内に設
置されるように、受台ベース102とプラテン200と
を一体化することによって組付けられる。環状フランジ
234は内径が175.5mm(6.91インチ)であり
幅は4.57mm(0.18インチ)、長さが6.58mm
(0.259インチ)である。溝108は内径が17
3.0mm(6.81インチ)で内径が178.1mm
(7.01インチ)であり、従って幅が5.08mm
(0.20インチ)である。
【0030】フランジ234の先端部及び溝108の底
部は、気密性が得られるように互いに歯合する形の鋸歯
状に形成されている。受台ベース100とプラテン20
0とは、ベースプレート102の底部から孔105a〜
105cを貫通し(図5)、かつプラテンブロック20
2の底部のねじ孔236a〜236cにそれぞれ係合す
るねじ(図示せず)を用いることによって互いに固定さ
れる。
【0031】受台ベース100及びプラテン200が様
々な異なる技術によって形成され得ることは容易に理解
することができる。例えば、受台ベース100及びプラ
テン200は単一の材料からなるブロックを切削加工し
て製造することができる。また、受台ベース100は異
なる形状に製造することができ、プラテン200は様々
な管部材及び板部材を組立てて製造することができる。
受台ベース100及びプラテン200が別個の部品であ
る場合には、それらは溶接、締着及び接着を含む多数の
異なる技術によって結合することができる。
【0032】図示されるロード/ロックステーション5
が処理ステーション4a〜4eとは異なるにも拘らず、
リフトピンホール107a〜107cを含むその主要な
構成要素を保持しつつ、ロード/ロックステーション5
を処理ステーション4a〜4eと略同一にできることが
理解される。しかし、この場合に背面ガスシステムの溝
210及び多数のガス管路212a〜212j及び21
6a〜216jと、真空チャックシステムの多数の溝2
06a〜206h及び208a〜208b並びにガス管
路204は除外される。別の実施例では、ロード/ロッ
クステーション5が別の処理機能を備えるために処理ス
テーション4a〜4eと同一にすることができる。
【0033】タングステン、ケイ化タングステン及び窒
化チタンを含む様々な材料が、以下に説明するように図
1及び図2の装置を用いてウエハに被着される。処理さ
れるべき前記ウエハは、40トルのような低い圧力でロ
ードロック室1から反応室2内に導入され、かつ空のロ
ード/アンロードステーション5に受容されて上昇位置
のリフトピン20f、21f、22fの上に降下され
る。ウエハ運搬機構10の回転を調節しかつリフトピン
20a〜20f、21a〜21f、22a〜22fを昇
降させることによって、前記ウエハは連続する各ステー
ション4a〜4e、5に運搬される。ロード/ロックス
テーション5のウエハは完全に処理されており、ロード
ロック室1内に取出される。
【0034】各ステーション4a〜4e、5のピン20
a〜20f、21a〜21f、22a〜22fが降下す
ると、処理されるべき前記ウエハは各ガス分散ヘッド1
2a〜12eの下側にあるプラテン14a〜14eの上
にそれぞれ載置される。前記ウエハが一旦各プラテン1
4a〜14e上に載置されると、例えば反応室圧力より
20〜40トル低い圧力のような適当な真空が各処理ス
テーション4a〜4eの真空クランプ又は真空チャック
内に維持される。ここで言う「真空」とは、別の圧力よ
り低い圧力を意味すると言う相対的な意味で使用されて
おり、例えば、反応室2内の圧力に関する各処理ステー
ション4a〜4eに於ける前記真空クランプ内の圧力で
ある。各プラテン14a〜14eは、ウエハをプラテン
ブロック202の表面上の所定位置に保持して、真空ク
ランプ又は真空チャックを形成するために、放射方向溝
206a〜206h及び環状溝208a、208bのよ
うな真空溝を有する。真空管路204、管部材228及
び継手230を介して真空が作用する。
【0035】各ステーション4a〜4eに於ける真空ク
ランプが一旦作動されると、ガスが各ステーション4a
〜4eに於ける前記ウエハの裏側に導入される。前記背
面ガスの導入は、ガス分散ヘッド12a〜12eに於け
るプラテンの導入に整合される。
【0036】前記背面ガスの機能をよりよく理解するた
めに、図6乃至図9のプラテン200について考える。
前記背面ガスは、ウエハ裏側と周辺領域209内のプラ
テンブロック202の表面との間の空間内に(図7及び
図8の実施例に於ける領域211)、例え周辺領域20
9がプラテンブロック202の上面と同じ高さであった
としても、環状溝210を介して導入される。真空溝2
06a〜206h、208a、208bから作用する力
と反応室2内のプロセス圧力より幾分高い圧力を有する
前記ウエハ上に直接分散される前記ガスから作用する力
とを組合わせた力は、周辺領域209内の前記ウエハの
下側に生じる前記背面ガスの圧力によって生じる抗力を
十分に圧倒する大きさである。溝210に供給される背
面ガスの量は、前記ウエハ縁部の下側から流れ出る所望
の流量及び前記背面ガスの前記ウエハ表側の成膜への影
響に基づいて決定される。
【0037】前記背面ガスは、周辺領域209の上に配
置される前記ウエハ縁部の下側から流れ出て反応室2内
に入る。反応室2内では、前記背面ガスが反応ガスと混
合されて、通気ポート6a〜6fを介して排出される。
周辺領域209の上に背面ガスが存在しかつ周辺領域2
09から前記ウエハ縁部を通過して反応室2内に外向き
に流れることが前記反応ガスを妨害してウエハ裏側に到
達することを防止し、それによってウエハ裏側への被着
が防止される。
【0038】また、前記背面ガスはリフトピンホール1
07a〜107cを介してベースプレート102から流
出して、前記プロセスガスがリフトピンホール107a
〜107cを介して前記リフトピンの周辺領域及び前記
ウエハ裏側に到達することを防止する。
【0039】前記背面ガスは、ブロック112内のオリ
フィス113を介して受台110の内部容積内に導入さ
れ、かつプラテンブロック202の下側及びベースプレ
ート102のオリフィス103を介して加熱要素300
周辺の内部容積内に導入される。受台117f容積及び
プラテンブロック202の下側から、前記背面ガスはガ
ス管路216a〜216jに入り、かつ各ガス管路21
2a〜212jを介して溝210へと流れる。
【0040】また、前記背面ガスはガス管路220a〜
220cに入り、そこから各ガス管路214a〜214
cを介してリフトピンホール107a〜107cに流れ
る。ガス管路220a〜220cがガス管路216a〜
216jに比して小さくなるにつれて、それらを流れる
ガス流が相対的に制限される。前記背面ガスは、プラテ
ンブロック202の下側の容積内及び前記各ガス管路を
流通する際に加熱される。
【0041】様々なプロセスガス及び背面ガスを選択す
ることができる。例えば、タングステン薄膜を毎分20
00オングの被着率で被着させる場合には、例えば生成
反応物WF6 を400℃の被着温度及び40トルのプロ
セス圧力でH2 及びArの反応物条件下で使用する。図
1及び図2の装置では、各ガス分散ヘッド12a〜12
eへのプロセスガスの流れが毎分約2標準リットル程度
である。処理されている前記ウエハに作用する実際の圧
力は、前記ガス分散ヘッドからのガス流が直接前記ウエ
ハの表面に衝突するので、40トルより幾分大きい。
【0042】このようなプロセス条件下で、適当な背面
ガスはアルゴンまたは、ガス分散ヘッド12a〜12e
を介して反応室2内に導入されるアルゴン及び水素の混
合物に比例するアルゴン及び水素の混合物である。当業
者にとって周知のように、様々な成分ガスが供給されか
つ適当なマニホールド内で混合される。そのような条件
下で各処理ステーション4a〜4eに供給される背面ガ
スの流量は、毎分約500標準cm3 乃至毎分約3標準リ
ットルの範囲内である。
【0043】処理されている前記ウエハの縁部近傍に於
ける被膜の均一性は、前記背面ガスが得られるように選
択された単一の不活性ガスまたは複数のガスと反応ガス
を混合することによって更に改善される。生成反応物W
F6 を反応ガスH2 及びキャリアガスArまたはN2 若
しくはAr及びN2の混合物と使用する上述の実施例で
は、反応ガスH2 をArまたはN2 若しくはAr及びN
2 の混合物と混合して前記背面ガスを得ることによっ
て、縁部に於ける被膜の均一性が改善される。
【0044】不活性ガスに対する反応ガスの適当な割合
は、以下のように経験的に決定される。前記ウエハの中
心に於て所望の結果が得られるように反応ガス混合物
(例えば、WF6 +H2 +Ar)を最適化する。キャリ
アガスに対する反応ガスの割合(例えば、H2 :Ar)
が背面ガス混合物(例えば、H2 +Ar)に再生される
ように背面ガス混合物を調整する。何回かのウエハの製
造テストを介して背面ガスに於けるガスの割合(例え
ば、H2 :Ar)を変化させて、最適の均一性が得られ
る割合を決定し、かつ製造に適した割合を選択する。最
初の割合から最終的に決定される割合まで10〜20%
の変化が予想される。
【0045】背面ガス混合物に使用するのに適した活性
ガスはアルゴン、窒素、ヘリウム、フレオン、C2 F6
またはCF4 、若しくはこれらの適当な組合わせであ
る。不活性ガスは、反応室内及びガス供給システム内に
存在する物質とは有害な反応を生じないあらゆるガス、
及び関連する化学反応に関与しないあらゆるガスであっ
てよい。更に、前記不活性ガスの熱伝導率及び熱容量が
処理される前記ウエハの全体に亘って均一な温度が良好
に維持されるようなものであることが望ましい。
【0046】或る種の成膜プロセス、特にタングステ
ン、窒化タングステン及びケイ化物のような金属及び金
属化合物のCVD被着の場合には、ウエハの裏側だけで
なくウエハの縁部及びウエハ表側の周辺部分からも物質
の被着を排除したい場合がある。このような目的は、図
11に示される構造400のような環状の「シュラウ
ド」構造を用いることによって達成される。
【0047】ウエハ402のようなウエハを、上述した
放射方向溝206a〜206h及び環状溝208a〜2
08bからなる真空クランプ又は真空チャックのような
適当な手段によってプラテンブロック202上の所定位
置に保持する。金属またはセラミック(例えばアルミナ
を含む)のような適当な材料で形成されたシュラウド
はボデイ400は、被着が排除されるべきウエハの表側
の周辺部分及び縁部を収容するような適当なキャビティ
を形成するべくその内側基部領域を適当に削除して逃げ
を設けた環状構造である。例えば、図11に示されるよ
うに内側基部領域を削除することによって適当なキャビ
ティが形成される。
【0048】シュラウド400の寸法は厳密なものでは
ないが、ウエハ402の寸法及び背面ガス供給システム
の流量の能力に従って選択される。例えば、様々なウエ
ハのサイズに対して適当な寸法が以下の表1に列挙され
ている。
【0049】
【表1】 この表1に於て、「OD」は外径でありかつ「ID」は
内径である。多くのウエハについて、逃げの大きさは
1.19mm(0.047インチ)が適当である。
【0050】整合精度が正確に要求される場合には、例
えばプラテンブロック200の周辺領域211とのシュ
ラウド400の接触領域内に設けられる孔とピンの対
(図示せず)、または溝とウェッジの対(図示せず)の
ような様々な適当な形及び構成からなる整合手段をシュ
ラウド400に設けることができる。図11に示される
このような別の整合手段には、シュラウド400の外周
縁に沿って離隔されかつプラテンブロック202の該縁
部と係合して強制的にシュラウド400をプラテンブロ
ック202に対して、従ってウエハ402に対して整合
させるように、下向きに延出する3個のまたはそれ以上
のフランジ404を使用したものがある。フランジ部材
404は、図示されるように先細に形成して、プラテン
ブロック202の外縁部と徐々に係合させることができ
る。
【0051】反応室2内の処理ステーション4a〜4e
は必要に応じて覆いを設けたり設けなかったりすること
ができる。処理ステーション4a〜4eを覆ったり外し
たりするのに適当なシュラウドリフトアセンブリ420
が図12に示されている。本例でのポジショナー手段を
構成する円形のシュラウドリフトプレート又は可動プレ
ート422には、それぞれ処理ステーション4a〜4e
及びロード/アンロードステーション5に関連する6個
の半円形の切欠領域430〜435が設けられている。
処理ステーション4a〜4eに関連する各切欠領域43
1〜435は、前記プラテンブロックの直径より僅かに
大きくかつシュラウド400の外径より僅かに小さい直
径を有し、かつそれぞれにシュラウド400と類似する
5個のシュラウド441〜445に係合するように構成
されている。
【0052】一般に各処理ステーション4a〜4eは、
それぞれに単に対応する1個のシュラウド441〜44
5を取付けまたは取外すことによって必要に応じてそれ
ぞれにシュラウドを設けたりまたは設けなかったりする
ことができるが、すべての処理ステーション4a〜4e
がシュラウドを有するかまたは有しないようにするのが
通例である。各シュラウド441〜445は、適当な手
法でシュラウドリフトプレート422に係合される。例
えば、図12では、シュラウド441〜445がそれぞ
れ切欠領域431〜435に整合され、かつシュラウド
リフトプレート422がプラテンブロック202から上
方に上昇する際に、単にシュラウドリフトプレート42
2の上面に接触しかつ該上面によって持上げられる。
【0053】シュラウド441〜445が、単に反応室
2の上部を取外すことによって清掃しかつ取り換えるた
めに接近し得るようになっている点に注意すべきであ
る。また、ロード/アンロードステーション5に関連す
るシュラウドリフトプレート422の切欠領域432は
シュラウドが取付けられていない点に注意すべきであ
る。
【0054】シュラウドリフトアセンブリ420は、図
13に示されるように反応室2内に装着される。ウエハ
運搬機構10の回転時に処理ステーション4a〜4eに
関してシュラウドリフト機構が回転方向に固定されつ
つ、シュラウドリフトアセンブリ420を垂直方向に移
動させてウエハ運搬機構10と結合させるために、適当
な機構が設けられている。例えば、図14に示される手
法では、軸450が例えばボルト締めまたは溶接のよう
な適当な手段によってシュラウドリフトプレート422
の取付け位置424に堅固に結合されている。
【0055】軸450は、ウエハ運搬機構15を支持す
る中空軸454を介してスピンドルリフト/回転機構2
6(図面上要部のみ図示)内に延出しており、かつ例え
ばOリング452のようなシールが設けられて、スピン
ドルリフト/回転機構26内部の機械的動作によって生
じる微粒子が反応室2を汚染することを防止している。
スピンドルリフト/回転機構26内部では、軸受45
6、458によって軸450、454が垂直方向に一体
動作するように結合され、かつ相対的に回転動作するよ
うに分離されている。
【0056】軸450は、ブロック464内の縦溝46
2に係合する剛性延長部460を有する。ブロック46
4は反応室2に関して固定されている。延長部460が
ブロック464の溝462と係合することによって、シ
ュラウドリフトアセンブリ420が垂直方向に移動しつ
つウエハ運搬機構10に関して回転することが防止され
る。
【0057】別の手法では、軸受(図示せず)がシュラ
ウドリフトプレート422上の位置424に堅固に取付
けられる。この軸受は、ウエハ運搬機構10の上部に同
軸にかつ堅固に取付けられたレース(図示せず)に回転
可能に係合する。この軸受は、シュラウドリフトアセン
ブリ420を回転動作に関してウエハ運搬機構10から
分離している。シュラウドリフトプレート422の剛性
延長部(図示せず)が反応室2の壁部の適当な位置に設
けられた縦溝に係合し、シュラウドリフトアセンブリ4
20が垂直方向に移動しつつ回転することを防止してい
る。
【0058】図12及び図13の装置の動作は、シュラ
ウドリフトアセンブリ420の機能が増加された点を除
いて、図1及び図2の装置の動作と同じである。処理さ
れるべきウエハはロードロック室1から反応室2内に導
入され、空のロード/アンロードステーション5に受容
されて上昇位置のリフトピン20f、21f、22f上
に降下される。ウエハ運搬機構10の回転とリフトピン
20a〜20f、21a〜21f、22a〜22fの昇
降動作とを整合させることによって、前記ウエハはステ
ーション4a〜4e、5のそれぞれに連続的に運搬され
る。
【0059】ウエハ運搬機構10がウエハを各ステーシ
ョン4a〜4e、5に於て係合させるために適当な高さ
に向けて上昇させる際に、シュラウドリフトプレート4
02が同様に上昇することによって、シュラウド441
〜445を持ち上げて処理ステーション4a〜4eの上
方に前記ウエハを運搬できるような空間を設けるように
なっている。ウエハ運搬機構10がウエハを各ステーシ
ョン4a〜4e、5に係合させるために適当な高さから
降下すると、シュラウドリフトアセンブリ420も同様
に降下する。リフトピン20a〜20f、22a〜22
fの動作がウエハ運搬機構10及びシュラウドリフトア
センブリ420の上方へ移動する動作に追従し、かつウ
エハ運搬機構10及びシュラウドリフトアセンブリ42
0の降下動作を進行させる点に注意すべきである。
【0060】シュラウドリフトアセンブリ420が降下
すると、441〜440が各処理ステーション4a〜4
eに於て前記プラテンの上部に配置され、前記ウエハに
覆いが設けられる。シュラウド441〜445を所定位
置に保持するために様々な手段が採用される。例えば、
図11に示される手法では、シュラウド441〜445
が適当な重量を有する材料で形成され、その重力の作用
によって前記背面ガスから作用する力に対抗するように
なっている。
【0061】処理ステーション4a〜4eに於ける真空
クランプが一旦作動されると、ガスが各処理ステーショ
ン4a〜4eに於ける前記ウエハの裏側に導入される。
前記背面ガスの導入は、ガス分散ヘッド12a〜12e
に於けるプロセスガスの導入に整合させて行われる。溝
210に供給される背面ガスの量は、前記シュラウドと
前記ウエハの表側との間から流れ出る前記背面ガスの所
望の流量に基づいて決定される。前記シュラウドを用い
ることによって、溝210に供給される前記背面ガスの
量を低減できる場合があることに注意すべきである。
【0062】図11に於て矢印で示されるように、溝2
10内に導入された前記背面ガスは最初ウエハ402の
下側を流れ、次にウエハ402の縁部を通過し、ウエハ
402の表側の周辺部の上を流れて、反応室2の周囲内
に流入する。反応室2内では、前記背面ガスが反応ガス
と混合され、通気ポート426a〜426f、6a〜6
fを介して排気される。周辺領域211の上に前記背面
ガスが存在し、かつ周辺領域211からウエハ402の
前記縁部を通過しかつウエハ402の上部周辺を介して
反応室内に外向きに流れることによって、前記周辺ガス
が前記ウエハ裏側、縁部及び上部周辺部に到達すること
が十分に防止され、それによってこれらの面への物質の
被着を防止することができる。
【0063】以上本発明を上述した特定の実施例及びそ
の変形例に関連して説明したが、これらの実施例及び変
形例は単なる例示であって、本発明がこれらに限定され
るものでないことは明らかである。例えば、上述した様
々な形状及び寸法、並びに様々な流量及び圧力は単なる
例示であり、他の形状、寸法、流量及び圧力を用いて同
様に本発明の目的を達成することができる。更に、上述
した成膜プロセスは単なる例示であり、他のプロセスを
用いて所望の目的を達成することができる。このよう
に、本発明はその技術的範囲内に於て上述した実施例に
様々な変形・変更を加えて実施することができる。
【図面の簡単な説明】
【図1】化学蒸着システムの反応室を上方から見た部分
断面平面図である。
【図2】図1の反応室を側方から見た部分断面側面図で
ある。
【図3】図2の受台ベースを示す上面図である。
【図4】図3の受台ベースを示す断面図である。
【図5】図4の受台ベースを示す底面図である。
【図6】図2のプラテンを示す上面図である。
【図7】図6のプラテンの断面図である。
【図8】図6のプラテンの図7とは別の断面図である。
【図9】図6のプラテンを示す底面図である。
【図10】加熱要素を示す平面図である。
【図11】別の「シュラウド」の特徴を示す図7のプラ
テンの部分断面図である。
【図12】シュラウドリフトアセンブリを示す上面図で
ある。
【図13】図12のシュラウドリフトアセンブリを含む
追加のかつ変形された特徴を示す図1の反応室を側方か
ら見た部分断面側面図である。
【図14】図12のシュラウドリフトアセンブリのウエ
ハ運搬機構との関係を示す断面図である。
【符号の説明】
1 ロードロック室 2 反応室 4a〜4e ウエハ処理ステーション 5 ウエハロード/アンロードステーション 6a〜6f 通気ポート 8a〜8c ピンリフト・プラットフォーム 10 ウエハ運搬機構 12b〜12d ガス分散ヘッド 14b〜14d プラテン 16b〜16d 受台ベース 20b〜20d、21b〜21d、22b〜22d ピ
ン 24 真空排気ポート 26 スピンドルリフト/回転機構 28 ピンリフト機構 100 受台ベース 102 ベースプレート 104a〜104c スペーサスリーブ 105a〜105c 孔 106a〜106c 割出しスリーブ 107a〜107c 孔 108 溝 110 受台 112 環状取付ブロック 114a〜114f ピンホール 116a、116b 割出しピン 113 オリフィス 200 プラテン 202 円形ブロック 204 真空管路 206a〜206h 真空溝 208a〜208b 環状真空溝 209 周辺領域 210 ガス溝 211 部分 212a〜212j ガス管路 214a〜214c ガス管路 216a〜216j ガス管路 218a〜218j 栓 220a〜220c ガス管路 222a〜222c 栓 224a〜224c 割出し孔 226 取付具 228 管 230 継手 232 渦巻溝 234 環状フランジ 236a〜236c ねじ孔 300 加熱要素 400 シュラウド 402 ウエハ 404 フランジ 420 シュラウドリフトアセンブリ 422 シュラウドリフトプレート 424 取付け位置 426a〜426f 通気ポート 430〜435 切欠領域 441〜445 シュラウド 450 軸 452 Oリング 454 中空軸 456、458 軸受 460 延長部 462 縦溝 464 ブロック
───────────────────────────────────────────────────── フロントページの続き (72)発明者 エリオット・ケイ・ブロードベント アメリカ合衆国カリフォルニア州 95138・サンノゼ・ヘリテイジスプリン グスコート 3166 (72)発明者 ジェフリー・シー・ベンジング アメリカ合衆国カリフォルニア州 95129・サンノゼ・ランサードライブ 1073 (72)発明者 バリー・エル・チン アメリカ合衆国カリフォルニア州 94087・サニーベイル・スピノサドライ ブ 1116 (72)発明者 クリストファー・ダブリュ・バークハー ト アメリカ合衆国カリフォルニア州 95124・サンノゼ・ロムフォードドライ ブ 5272 (56)参考文献 特開 昭61−39520(JP,A) 特開 平2−308520(JP,A) 特開 昭62−42410(JP,A) 特開 昭63−202921(JP,A) 特開 平3−30326(JP,A) 実公 昭62−33319(JP,Y2)

Claims (23)

    (57)【特許請求の範囲】
  1. 【請求項1】化学蒸着リアクター反応室内に基板を支持
    する装置であって、 前記反応室内には内部領域と周辺領域とを備え該周辺領
    域は内側周辺領域と外側周辺領域とを有するプラテンを
    設け、 前記内側周辺領域に作動的に関連するガス分配手段を設
    け、 基板との係合のために前記内部領域と共働する真空チャ
    ックを設け、前記内側周辺領域は該真空チャックによる
    基板の係合の際に基板の裏面周辺部と共働して前記ガス
    分配手段の出口に連通する全体的に薄い周辺連続空間を
    形成する形状を有し、 前記真空チャックに真空を供給するための前記真空チャ
    ックと一体的な真空管路を設け、 前記ガス分配手段にガスを供給するための前記ガス分配
    手段と一体的なガス管路を設け、 第2表面領域を取り囲む第1表面領域を有するシールド
    を更に備え、前記第1表面領域が前記外側周辺領域と接
    触する形状になっており、かつ前記第2表面領域が、前
    記第1表面領域が前記外側周辺領域と接触する際に前記
    内側周辺領域と共働して基板の裏面周辺領域、縁部及び
    表面周辺領域を包み込むキャビティを形成する形状にな
    っており、 前記シールドを前記プラテンに対して当接させる手段を
    更に備えることを特徴とする基板支持装置。
  2. 【請求項2】前記シールドが環状の逃げを設けた内側底
    部を有する環状部材であり、前記第2表面領域が該環状
    逃げ部分に対応しかつ前記第1表面領域が前記環状部材
    の底部に対応することを特徴とする請求項1に記載の基
    板支持装置。
  3. 【請求項3】前記プラテンの前記周辺領域が前記内部領
    域に対して凹んでいることを特徴とする請求項2に記載
    の基板支持装置。
  4. 【請求項4】前記環状逃げ部分が環状の段部であること
    を特徴とする請求項3に記載の基板支持装置。
  5. 【請求項5】前記反応室内に取り付けられた受台ベース
    を更に設けて成り、前記プラテンは前記受台ベース上に
    取り付けられ、前記プラテンの下面及び前記受台ベース
    の内部部分は加圧ガスを受け入れる閉鎖容積を形成し、
    前記ガス分配手段は前記内側周辺領域内のガス溝を含
    み、前記ガス管路は前記ガス溝と一体的でありかつ前記
    プラテンを貫通して前記閉鎖容積まで延長することを特
    徴とする請求項1に記載の基板支持装置。
  6. 【請求項6】化学蒸着リアクター反応室内に基板を支持
    する装置であって、 反応室内に取り付けられ、基板の下方に位置するプラテ
    ン面を有するプラテンと、 前記プラテンと一体的であり前記プラテン面に開口を有
    するガス管路と、 貫通状開口を有するボデイであって、該開口を取り囲む
    全体的に連続する表面を有し、プラテン面の対応する部
    分と共通形状の部分、内方向に延出する部分、該共通形
    状の部分と内方向に延出する部分の間の遷移部分とより
    なるボデイと、 前記プラテン及び前記ボデイと共働してこれに対し基板
    を係止する基板保持手段と、 前記ボデイを前記プラテンに対して当接する手段とより
    成り、 前記ボデイの連続する表面は、基板が基板保持手段によ
    って係止され、前記ボデイが前記プラテンに対して当接
    せしめられたときに、基板の周辺表面と前記ボデイの内
    方向に延出する部分の間にボデイの開口を包囲し前記ガ
    ス管路と反応室に連通する全体的に薄い第1の連続空間
    を形成し、かつ基板の縁部と前記ボデイの遷移表面部分
    の間に空間を形成するような形状であることを特徴とす
    る基板支持装置。
  7. 【請求項7】前記プラテン面は、基板が前記基板保持手
    段によって係止され、前記ボデイが前記プラテンに対し
    て当接せしめられたときに、基板の周辺裏面と前記プラ
    テンの間に第2の全体的に薄い周辺連続空間を形成する
    ような形状であり、該第2の連続空間は前記ガス管路及
    び前記第1の連続空間に連通していることを特徴とする
    請求項6に記載の基板支持装置。
  8. 【請求項8】反応室内の基板を支持する装置であって、 受台ベースと、 前記受台ベース上に取り付けられた事実上円形のプラテ
    ンであって、前記プラテンの上面の内部領域内に事実上
    環状及び放射状真空溝のネットワークを有し、かつ前記
    真空溝ネットワークを取り囲む前記プラテン上面の周辺
    領域に事実上環状ガス溝を有する該プラテンと、 前記プラテンの底面の第1表面部分内を始点として前記
    プラテンを少なくとも部分的に放射方向に貫通し各環状
    位置において前記ガス溝と交差する複数個の孔と、 前記プラテンの中心を貫通する垂直孔であって、該垂直
    孔から前記放射方向真空溝が延長する該垂直孔とを含
    み、 前記プラテン底面との垂直孔の交差箇所において前記垂
    直孔に一端にて接続された管であって他端にて真空シス
    テムに接続するための真空接続具を有する該管を設け、
    少なくとも前記プラテン底面の第1表面部分と前記受台
    ベースの内部部分とが加圧ガスを受け入れる閉鎖容積を
    形成し、前記複数個の孔は前記閉鎖容積と連通しかつ前
    記管は前記閉鎖容積を通過するよう配置され、 前記プラテンの上面周辺領域の部分との接触に適した全
    体的に平坦な底面を有する環状シュラウドであって、該
    シュラウドの一部分はその中心に向かって放射方向に延
    出し前記底面の面より上に位置し、前記プラテンに取り
    付けたときに、前記プラテン上面周辺領域と共働して、
    基板の裏面周辺領域と縁部と表面周辺領域を包み込むキ
    ャビテイを形成する該環状シュラウドを設け、 前記シュラウドを前記プラテンに対して当接せしめる手
    段を設けて成ることを特徴とする基板支持装置。
  9. 【請求項9】更に前記シュラウドを前記プラテンに整合
    させる手段を含むことを特徴とする請求項8に記載の基
    板支持装置。
  10. 【請求項10】前記整合させる手段が前記シュラウド底
    面と前記プラテン上面周辺領域と共働する少なくとも2
    対のピンホールより成ることを特徴とする請求項9に記
    載の基板支持装置。
  11. 【請求項11】前記整合させる手段が前記シュラウド底
    面と前記プラテン上面周辺領域と共働する1対のウェッ
    ジ−溝より成ることを特徴とする請求項9に記載の基板
    支持装置。
  12. 【請求項12】前記整合させる手段が前記シュラウドと
    共働し該シュラウド底面下方に延長する少なくとも3個
    のフランジであって前記プラテンの外側縁部に挿入係合
    するに適した該フランジより成ることを特徴とする請求
    項9に記載の基板支持装置。
  13. 【請求項13】蒸着室内にて処理するため基板を支持す
    る装置であって、 プラテンと、 前記プラテン上に基板を保持する基板保持手段であっ
    て、該基板保持手段とプラテンはプラテンの周辺表面領
    域に対して基板の裏面の周辺部を十分に密閉しないよう
    に共働する該基板保持手段と、 前記プラテン周辺表面領域上に背面ガスを導入するため
    前記プラテン周辺表面領域に配置されたガス分配手段
    と、 前記プラテン周辺表面領域の閉鎖接触部分と接触するた
    めの閉鎖接触部分を含む底部と、該底部の閉鎖接触部分
    によって包囲され、かつその幅が基板表面周辺部に対応
    しかつ深さが基板縁部の厚さに対応する閉鎖された逃げ
    部分とを有するシールドと、 前記シールドを選択的に前記シールドの閉鎖接触部分及
    び前記プラテンの閉鎖接触部分に沿って前記プラテンと
    係合するように降下させ、且つ前記シールドを前記プラ
    テンから係合解除するように上昇せしめるポジショナー
    手段であって、前記シールドとプラテンの係合の間前記
    シールドの逃げ部分の幅と前記基板表面の周辺部とを並
    列状に分離し且つ前記シールドの逃げ部分の深さと前記
    基板縁部とを並列状に分離する該ポジショナー手段とを
    備えることを特徴とする基板支持装置。
  14. 【請求項14】前記プラテン及び前記シールドが環状を
    なし、且つ前記シールドの逃げ部分が環状の段部である
    ことを特徴とする請求項13に記載の基板支持装置。
  15. 【請求項15】前記シールドの外径が環状のオーバーハ
    ングを形成するように前記プラテンの外径より大きく、
    且つ前記ポジショナー手段が、前記プラテンの外径より
    大きくかつ前記シールドの外径より小さい直径の半円形
    切欠を有する可動プレートからなり、前記シールド、前
    記プラテン及び前記切欠が同軸をなし、かつ前記可動プ
    レートが、前記切欠の周辺部に沿って前記シールドのオ
    ーバーハングと接触するようになっていることを特徴と
    する請求項14に記載の基板支持装置。
  16. 【請求項16】分散ヘッドを有する化学蒸着反応室内に
    半導体ウエハを支持するための装置であって、 ウエハ受け入れ面を有するプラテンと、 前記ウエハを前記プラテンのウエハ受け入れ面上に保持
    するように制御作動可能なウエハ保持手段と、 前記ウエハの周辺部付近の容積にガスを一様に導入する
    ように制御作動可能なガス分配手段と、 前記ウエハと前記分散ヘッドの間に位置するように制御
    作動可能なシールドであって、部分的に前記容積を包囲
    するために前記ウエハ周辺部と事実上共通形状であり、
    前記ウエハ周辺部を前記分散ヘッドから遮蔽するために
    かつ前記容積からのガス流を前記ウエハ周辺部を越えて
    前記反応室に配向するために前記ウエハ周辺部と前記分
    散ヘッドの間に内方向に延長する部分を有する該シール
    ドとより成ることを特徴とする支持装置。
  17. 【請求項17】前記ウエハ保持手段が真空チャックであ
    ることを特徴とする請求項16に記載の支持装置。
  18. 【請求項18】前記プラテンは前記ウエハ周辺部下方に
    位置する逃げ周辺領域を含み、 前記ガス分配手段は前記プラテンの逃げ周辺領域全体に
    亘って配設された連続状閉鎖溝を含み、ガスは前記ウエ
    ハ周辺部と前記プラテン逃げ領域との間で放射方向に流
    れることにより前記容積内に導入されることを特徴とす
    る請求項16に記載の支持装置。
  19. 【請求項19】前記プラテンは前記プラテン逃げ周辺領
    域を越えかつ前記ウエハ周辺部を越えて延びる延長周辺
    領域を含み、前記シールドは更に前記延長周辺領域に分
    離可能に係合する接触部を含むことを特徴とする請求項
    18に記載の支持装置。
  20. 【請求項20】前記シールドは底部と環状内側逃げ底部
    分とを有する環状部材であり、前記内方向に延長する部
    分は前記環状内側逃げ底部分に対応し、前記ウエハ周辺
    部と共通形状の部分は前記環状部材の底部に対応してい
    ることを特徴とする請求項16に記載の支持装置。
  21. 【請求項21】前記プラテンの上面周辺領域は前記プラ
    テンの上面内部領域に対して凹んでいることを特徴とす
    る請求項20に記載の支持装置。
  22. 【請求項22】化学蒸着工程の間半導体ウエハを支持し
    保護する装置であって、 その表面が蒸着面であるウエハを保持する手段と、 分散ヘッドを介して反応室内にプロセスガスを導入する
    手段と、 ウエハ蒸着表面周辺領域内側の反応室内に開口し、ウエ
    ハの周辺縁部及びウエハ蒸着表面周辺領域を包囲するキ
    ャビテイを形成する手段と、 キャビテイ内に第2のガスを一様に流すためにキャビテ
    イ内に第2のガスを導入する手段と、 キャビテイ内の第2のガスを反応室内のガス圧力よりも
    大きな圧力に維持する手段とより成ることを特徴とする
    支持装置。
  23. 【請求項23】前記第2のガスを導入する手段が、 ウエハの裏面の周辺領域に背面ガスを一様に導入する手
    段と、 ウエハ裏面周辺領域とウエハ縁部とウエハ蒸着表面周辺
    領域を包み込むキャビテイを介して第2のガスを配向す
    る手段とより成ることを特徴とする請求項22に記載の
    支持装置。
JP3201316A 1990-07-16 1991-07-16 基板支持装置 Expired - Fee Related JP2642005B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US07/554,225 1990-07-16
US07/554,225 US5230741A (en) 1990-07-16 1990-07-16 Gas-based backside protection during substrate processing
US07/678,579 1991-03-25
US7/554,225 1991-03-25
US07/678,579 US5238499A (en) 1990-07-16 1991-03-25 Gas-based substrate protection during processing
US7/678,579 1991-03-25

Publications (2)

Publication Number Publication Date
JPH04233221A JPH04233221A (ja) 1992-08-21
JP2642005B2 true JP2642005B2 (ja) 1997-08-20

Family

ID=27070526

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3201316A Expired - Fee Related JP2642005B2 (ja) 1990-07-16 1991-07-16 基板支持装置

Country Status (5)

Country Link
US (1) US5238499A (ja)
EP (1) EP0467623B1 (ja)
JP (1) JP2642005B2 (ja)
KR (1) KR970011643B1 (ja)
DE (2) DE467623T1 (ja)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
US5425842A (en) * 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
JP3566740B2 (ja) * 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5343012A (en) * 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
KR100279763B1 (ko) * 1992-11-12 2001-03-02 조셉 제이. 스위니 저열팽창 클램프 장치 및 클램핑 방법
KR960006958B1 (ko) * 1993-02-06 1996-05-25 현대전자산업주식회사 이시알 장비
KR960006956B1 (ko) * 1993-02-06 1996-05-25 현대전자산업주식회사 이시알(ecr) 장비
DE4305750C2 (de) * 1993-02-25 2002-03-21 Unaxis Deutschland Holding Vorrichtung zum Halten von flachen, kreisscheibenförmigen Substraten in der Vakuumkammer einer Beschichtungs- oder Ätzanlage
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP3190165B2 (ja) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
JPH07153706A (ja) * 1993-05-27 1995-06-16 Applied Materials Inc サセプタ装置
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
US5534110A (en) * 1993-07-30 1996-07-09 Lam Research Corporation Shadow clamp
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5885469B1 (en) * 1996-11-05 2000-08-08 Applied Materials Inc Topographical structure of an electrostatic chuck and method of fabricating same
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
EP0668607A1 (en) * 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck
DE19502777A1 (de) * 1994-02-22 1995-08-24 Siemens Ag Verfahren zur plasmaunterstützten Rückseitenätzung einer Halbleiterscheibe bei belackungsfreier Scheibenvorderseite
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
WO1995023428A2 (en) * 1994-02-23 1995-08-31 Applied Materials, Inc. Chemical vapor deposition chamber
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5738751A (en) * 1994-09-01 1998-04-14 Applied Materials, Inc. Substrate support having improved heat transfer
US5529626A (en) * 1994-10-24 1996-06-25 Nec Electronics, Inc. Spincup with a wafer backside deposition reduction apparatus
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP2773674B2 (ja) * 1995-03-31 1998-07-09 日本電気株式会社 半導体装置の製造装置及び半導体装置の製造方法
WO1997003456A1 (de) * 1995-07-12 1997-01-30 Sez Semiconductor-Equipment Zubehör Für Die Halbleiterfertigung Gesellschaft Mbh Träger für scheibenförmige gegenstände, insbesondere siliziumscheiben
US5938943A (en) * 1995-07-28 1999-08-17 Applied Materials, Inc. Near Substrate reactant Homogenization apparatus
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5863340A (en) * 1996-05-08 1999-01-26 Flanigan; Allen Deposition ring anti-rotation apparatus
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US6098304A (en) * 1996-07-26 2000-08-08 Advanced Micro Devices, Inc. Apparatus for reducing delamination within a polycide structure
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5748435A (en) * 1996-12-30 1998-05-05 Applied Materials, Inc. Apparatus for controlling backside gas pressure beneath a semiconductor wafer
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US6214122B1 (en) 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
US6122562A (en) * 1997-05-05 2000-09-19 Applied Materials, Inc. Method and apparatus for selectively marking a semiconductor wafer
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JP2001521989A (ja) * 1997-11-03 2001-11-13 シーメンス アクチエンゲゼルシヤフト 被膜形成方法及びこの方法を実施するための装置
EP0915499B1 (en) * 1997-11-05 2011-03-23 Tokyo Electron Limited Semiconductor wafer holding apparatus
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6168697B1 (en) * 1998-03-10 2001-01-02 Trusi Technologies Llc Holders suitable to hold articles during processing and article processing methods
US6095582A (en) * 1998-03-11 2000-08-01 Trusi Technologies, Llc Article holders and holding methods
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
US6572814B2 (en) 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
DE19901291C2 (de) * 1999-01-15 2002-04-18 Sez Semiconduct Equip Zubehoer Vorrichtung zur Ätzbehandlung eines scheibenförmigen Gegenstandes
KR100629540B1 (ko) * 1999-02-09 2006-09-27 어플라이드 머티어리얼스, 인코포레이티드 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6273958B2 (en) 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6803546B1 (en) * 1999-07-08 2004-10-12 Applied Materials, Inc. Thermally processing a substrate
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6176931B1 (en) 1999-10-29 2001-01-23 International Business Machines Corporation Wafer clamp ring for use in an ionized physical vapor deposition apparatus
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6521292B1 (en) 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
US6583428B1 (en) 2000-09-26 2003-06-24 Axcelis Technologies, Inc. Apparatus for the backside gas cooling of a wafer in a batch ion implantation system
US6580082B1 (en) * 2000-09-26 2003-06-17 Axcelis Technologies, Inc. System and method for delivering cooling gas from atmospheric pressure to a high vacuum through a rotating seal in a batch ion implanter
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US7282183B2 (en) * 2001-12-24 2007-10-16 Agilent Technologies, Inc. Atmospheric control in reaction chambers
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005103874A2 (en) * 2004-04-16 2005-11-03 Cascade Basic Research Corp. Modelling relationships within an on-line connectivity universe
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
KR100898793B1 (ko) * 2005-12-29 2009-05-20 엘지디스플레이 주식회사 액정표시소자용 기판 합착 장치
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
DE102006018514A1 (de) 2006-04-21 2007-10-25 Aixtron Ag Vorrichtung und Verfahren zur Steuerung der Oberflächentemperatur eines Substrates in einer Prozesskammer
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US8608146B2 (en) 2009-12-18 2013-12-17 Lam Research Ag Reinforced pin for being used in a pin chuck, and a pin chuck using such reinforced pin
US8613288B2 (en) * 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102789960A (zh) * 2011-05-16 2012-11-21 北京北方微电子基地设备工艺研究中心有限责任公司 用于等离子体设备腔室的等离子清洗方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN108425098A (zh) * 2011-12-21 2018-08-21 应用材料公司 用于处理基板的系统和方法
WO2013143081A1 (en) * 2012-03-28 2013-10-03 Acm Research (Shanghai) Inc. Vacuum chuck
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9460915B2 (en) 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP7178177B2 (ja) * 2018-03-22 2022-11-25 東京エレクトロン株式会社 基板処理装置
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11145532B2 (en) * 2018-12-21 2021-10-12 Toto Ltd. Electrostatic chuck
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11199562B2 (en) 2019-08-08 2021-12-14 Western Digital Technologies, Inc. Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same
KR20210030074A (ko) 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
CN117004928B (zh) * 2023-09-21 2023-12-26 上海谙邦半导体设备有限公司 一种化学气相沉积晶圆保护系统

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH632403A5 (de) * 1977-09-08 1982-10-15 Avl Ag Verfahren und einrichtung zum ermitteln von systolischen zeitintervallen.
EP0017472A1 (en) * 1979-04-06 1980-10-15 Lintott Engineering Limited Evacuable equipment containing a device for heat transfer and process for the manufacture of semi-conductor components using this equipment
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
JPS5785235A (en) * 1980-11-18 1982-05-27 Nippon Kogaku Kk <Nikon> Sampling stand
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS593945A (ja) * 1982-06-29 1984-01-10 Matsushita Electric Works Ltd ウエハ−の吸着装置
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4523985A (en) * 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4527620A (en) * 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4535834A (en) * 1984-05-02 1985-08-20 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
JPS6139520A (ja) * 1984-07-31 1986-02-25 Hitachi Ltd プラズマ処理装置
JPS6233319U (ja) * 1985-08-19 1987-02-27
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
US4687682A (en) * 1986-05-02 1987-08-18 American Telephone And Telegraph Company, At&T Technologies, Inc. Back sealing of silicon wafers
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
DE3633386A1 (de) * 1986-10-01 1988-04-14 Leybold Ag Verfahren und vorrichtung zum behandeln von substraten im vakuum
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JP2732463B2 (ja) * 1988-01-07 1998-03-30 コニカ株式会社 磁気記録媒体
ATE95949T1 (de) * 1988-07-15 1993-10-15 Balzers Hochvakuum Haltevorrichtung fuer eine scheibe sowie anwendung derselben.
US4859304A (en) * 1988-07-18 1989-08-22 Micron Technology, Inc. Temperature controlled anode for plasma dry etchers for etching semiconductor
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
DE3943478C2 (de) * 1989-05-08 1995-11-16 Philips Electronics Nv Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumbehandlungsanlage
JP2874186B2 (ja) * 1989-05-23 1999-03-24 ソニー株式会社 低温処理装置
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JPH03201315A (ja) * 1989-12-27 1991-09-03 Hitachi Cable Ltd フラットケーブルの製造方法

Also Published As

Publication number Publication date
KR970011643B1 (ko) 1997-07-12
EP0467623A2 (en) 1992-01-22
EP0467623B1 (en) 1996-03-13
DE69117824D1 (de) 1996-04-18
KR920003409A (ko) 1992-02-29
US5238499A (en) 1993-08-24
EP0467623A3 (en) 1992-05-13
DE467623T1 (de) 1992-07-23
DE69117824T2 (de) 1996-08-08
JPH04233221A (ja) 1992-08-21

Similar Documents

Publication Publication Date Title
JP2642005B2 (ja) 基板支持装置
US5374594A (en) Gas-based backside protection during substrate processing
US5679405A (en) Method for preventing substrate backside deposition during a chemical vapor deposition operation
US5578532A (en) Wafer surface protection in a gas deposition process
US5882417A (en) Apparatus for preventing deposition on frontside peripheral region and edge of wafer in chemical vapor deposition apparatus
US5925411A (en) Gas-based substrate deposition protection
CA2138292C (en) Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten cvd
US6033480A (en) Wafer edge deposition elimination
US5356476A (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
US5556476A (en) Controlling edge deposition on semiconductor substrates
US5273588A (en) Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5447570A (en) Purge gas in wafer coating area selection
US6190732B1 (en) Method and system for dispensing process gas for fabricating a device on a substrate
JPH06342760A (ja) 差圧cvdチャック
JP2004031915A (ja) 環状チャネルを有するプロセスチューブ支持スリーブ
KR20010034921A (ko) 정화 가스 채널과 펌핑 시스템을 갖는 기판 지지 부재
JPH10214798A (ja) ウエハエッジ堆積の排除

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees